commit f902fe37f00cc015a1f0c0fd5b4989e8280506e8 Author: Imbis Date: Wed May 8 22:31:03 2024 +0900 Init Commit diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..8c4f3b3 --- /dev/null +++ b/.gitignore @@ -0,0 +1 @@ +Project/Objects diff --git a/Document/Datasheet/74HC595D_datasheet_en_20161227.pdf b/Document/Datasheet/74HC595D_datasheet_en_20161227.pdf new file mode 100644 index 0000000..5c03ea5 Binary files /dev/null and b/Document/Datasheet/74HC595D_datasheet_en_20161227.pdf differ diff --git a/Document/Datasheet/DS3231.pdf b/Document/Datasheet/DS3231.pdf new file mode 100644 index 0000000..4b51d64 Binary files /dev/null and b/Document/Datasheet/DS3231.pdf differ diff --git a/Document/Datasheet/DS_A31G12x_Eng.pdf b/Document/Datasheet/DS_A31G12x_Eng.pdf new file mode 100644 index 0000000..203329d Binary files /dev/null and b/Document/Datasheet/DS_A31G12x_Eng.pdf differ diff --git a/Document/Datasheet/KCD-HP+sensor+probe+Manual.pdf b/Document/Datasheet/KCD-HP+sensor+probe+Manual.pdf new file mode 100644 index 0000000..c5ef50d --- /dev/null +++ b/Document/Datasheet/KCD-HP+sensor+probe+Manual.pdf @@ -0,0 +1,23995 @@ +%PDF-1.4 +% +1 0 obj +<< +/Lang (ko-KR) +/MarkInfo 2 0 R +/Metadata 3 0 R +/Pages 4 0 R +/StructTreeRoot 5 0 R +/Type /Catalog +/OpenAction 6 0 R +>> +endobj +7 0 obj +<< +/Author (woo) +/CreationDate (D:20180205142815+09'00') +/Creator (PScript5.dll Version 5.2.2) +/ModDate (D:20181105145139+09'00') +/PDFVersion (1.4) +/Producer (3-Heights\(TM\) PDF Security Shell 4.8.25.2 \(http://www.pdf-tools.com\)) +/Title (\(\\\(DOC\\\) KCD-HP MODBUS\\305\\353\\275\\305\\307\\301\\267\\316\\305\\344\\304\\335 B0_HP100.xls\)) +>> +endobj +2 0 obj +<< +/Marked true +>> +endobj +3 0 obj +<< +/Length 3615 +/Subtype /XML +/Type /Metadata +>> +stream + + + + + 2018-02-05T14:28:15+09:00 + PScript5.dll Version 5.2.2 + 2018-11-05T14:51:39+09:00 + 2018-11-05T14:51:39+09:00 + uuid:dd46b564-0c90-11e8-0000-64564935d341 + uuid:8f7539c6-144c-4d56-96ac-bc6594d2f198 + application/pdf + + + (\(DOC\) KCD-HP MODBUS\305\353\275\305\307\301\267\316\305\344\304\335 B0_HP100.xls) + + + + + woo + + + 3-Heights(TM) PDF Security Shell 4.8.25.2 (http://www.pdf-tools.com) + 1.4 + + + + + + + + + + + + + + + + + + + + + + + + + +endstream +endobj +4 0 obj +<< +/Count 6 +/Kids [8 0 R 9 0 R] +/Type /Pages +>> +endobj +5 0 obj +<< +/K [10 0 R] +/ParentTree 11 0 R +/ParentTreeNextKey 5 +/RoleMap 12 0 R +/Type /StructTreeRoot +>> +endobj +6 0 obj +<< +/Type /Action +/S /JavaScript +/JS (this.print\({bUI:true,bSilent:false,bShrinkToFit:true}\);) +>> +endobj +8 0 obj +<< +/Count 5 +/Kids [13 0 R 14 0 R 15 0 R 16 0 R 17 0 R] +/Parent 4 0 R +/Type /Pages +>> +endobj +9 0 obj +<< +/Contents 18 0 R +/CropBox [0 0 595 842] +/MediaBox [0 0 595 842] +/Parent 4 0 R +/Resources << +/ExtGState << +/R7 19 0 R +>> +/Font << +/R107 20 0 R +/R149 21 0 R +/R154 22 0 R +/R156 23 0 R +/R17 24 0 R +/R20 25 0 R +/R301 26 0 R +/R310 27 0 R +/R356 28 0 R +/R379 29 0 R +/R381 30 0 R +/R41 31 0 R +/R65 32 0 R +>> +/XObject << +/R8 33 0 R +>> +>> +/Rotate 360 +/Type /Page +>> +endobj +10 0 obj +<< +/K [34 0 R 35 0 R 36 0 R 37 0 R 38 0 R 39 0 R 40 0 R 41 0 R 42 0 R 43 0 R +44 0 R 45 0 R 46 0 R 47 0 R 48 0 R 49 0 R 50 0 R 51 0 R 52 0 R 53 0 R +54 0 R 55 0 R 56 0 R 57 0 R 58 0 R 59 0 R 60 0 R 61 0 R 62 0 R 63 0 R +64 0 R 65 0 R 66 0 R 67 0 R 68 0 R 69 0 R 70 0 R 71 0 R 72 0 R 73 0 R +74 0 R 75 0 R 76 0 R 77 0 R 78 0 R 79 0 R 80 0 R 81 0 R 82 0 R 83 0 R +84 0 R 85 0 R 86 0 R 87 0 R 88 0 R 89 0 R 90 0 R 91 0 R 92 0 R 93 0 R +94 0 R 95 0 R 96 0 R 97 0 R 98 0 R 99 0 R 100 0 R 101 0 R 102 0 R 103 0 R +104 0 R 105 0 R 106 0 R 107 0 R 108 0 R 109 0 R 110 0 R 111 0 R 112 0 R 113 0 R +114 0 R 115 0 R 116 0 R 117 0 R 118 0 R 119 0 R 120 0 R 121 0 R 122 0 R 123 0 R +124 0 R 125 0 R 126 0 R 127 0 R 128 0 R 129 0 R 130 0 R 131 0 R 132 0 R 133 0 R +134 0 R 135 0 R 136 0 R 137 0 R 138 0 R 139 0 R 140 0 R 141 0 R 142 0 R 143 0 R +144 0 R 145 0 R 146 0 R 147 0 R 148 0 R 149 0 R 150 0 R 151 0 R 152 0 R 153 0 R +154 0 R 155 0 R 156 0 R 157 0 R 158 0 R 159 0 R 160 0 R 161 0 R 162 0 R 163 0 R +164 0 R 165 0 R 166 0 R 167 0 R 168 0 R 169 0 R 170 0 R 171 0 R 172 0 R 173 0 R +174 0 R 175 0 R 176 0 R 177 0 R 178 0 R 179 0 R 180 0 R 181 0 R 182 0 R 183 0 R +184 0 R 185 0 R 186 0 R 187 0 R 188 0 R 189 0 R 190 0 R 191 0 R 192 0 R 193 0 R +194 0 R 195 0 R 196 0 R 197 0 R 198 0 R 199 0 R 200 0 R 201 0 R 202 0 R 203 0 R +204 0 R 205 0 R 206 0 R 207 0 R 208 0 R 209 0 R 210 0 R 211 0 R 212 0 R 213 0 R +214 0 R 215 0 R 216 0 R 217 0 R 218 0 R 219 0 R 220 0 R 221 0 R 222 0 R 223 0 R +224 0 R 225 0 R 226 0 R 227 0 R 228 0 R 229 0 R 230 0 R 231 0 R 232 0 R 233 0 R +234 0 R 235 0 R 236 0 R 237 0 R 238 0 R 239 0 R 240 0 R 241 0 R 242 0 R 243 0 R +244 0 R 245 0 R 246 0 R 247 0 R 248 0 R 249 0 R 250 0 R 251 0 R 252 0 R 253 0 R +254 0 R 255 0 R 256 0 R 257 0 R 258 0 R 259 0 R 260 0 R 261 0 R 262 0 R 263 0 R +264 0 R 265 0 R 266 0 R 267 0 R 268 0 R 269 0 R 270 0 R 271 0 R 272 0 R 273 0 R +274 0 R 275 0 R 276 0 R 277 0 R 278 0 R 279 0 R 280 0 R 281 0 R 282 0 R 283 0 R +284 0 R 285 0 R 286 0 R 287 0 R 288 0 R 289 0 R 290 0 R 291 0 R 292 0 R 293 0 R +294 0 R 295 0 R 296 0 R 297 0 R 298 0 R 299 0 R 300 0 R 301 0 R 302 0 R 303 0 R +304 0 R 305 0 R 306 0 R 307 0 R 308 0 R 309 0 R 310 0 R 311 0 R 312 0 R 313 0 R +314 0 R 315 0 R 316 0 R 317 0 R 318 0 R 319 0 R 320 0 R 321 0 R 322 0 R 323 0 R +324 0 R 325 0 R 326 0 R 327 0 R 328 0 R 329 0 R 330 0 R 331 0 R 332 0 R 333 0 R +334 0 R 335 0 R 336 0 R 337 0 R 338 0 R 339 0 R 340 0 R 341 0 R 342 0 R 343 0 R +344 0 R 345 0 R 346 0 R 347 0 R 348 0 R 349 0 R 350 0 R 351 0 R 352 0 R 353 0 R +354 0 R 355 0 R 356 0 R 357 0 R 358 0 R 359 0 R 360 0 R 361 0 R 362 0 R 363 0 R +364 0 R 365 0 R 366 0 R 367 0 R 368 0 R 369 0 R 370 0 R 371 0 R 372 0 R 373 0 R +374 0 R 375 0 R 376 0 R 377 0 R 378 0 R 379 0 R 380 0 R 381 0 R 382 0 R 383 0 R +384 0 R 385 0 R 386 0 R 387 0 R 388 0 R 389 0 R 390 0 R 391 0 R 392 0 R 393 0 R +394 0 R 395 0 R 396 0 R 397 0 R 398 0 R 399 0 R 400 0 R 401 0 R 402 0 R 403 0 R +404 0 R 405 0 R 406 0 R 407 0 R 408 0 R 409 0 R 410 0 R 411 0 R 412 0 R 413 0 R +414 0 R 415 0 R 416 0 R 417 0 R 418 0 R 419 0 R 420 0 R 421 0 R 422 0 R 423 0 R +424 0 R 425 0 R 426 0 R 427 0 R 428 0 R 429 0 R 430 0 R 431 0 R 432 0 R 433 0 R +434 0 R 435 0 R 436 0 R 437 0 R 438 0 R 439 0 R 440 0 R 441 0 R 442 0 R 443 0 R +444 0 R 445 0 R 446 0 R 447 0 R 448 0 R 449 0 R 450 0 R 451 0 R 452 0 R 453 0 R +454 0 R 455 0 R 456 0 R 457 0 R 458 0 R 459 0 R 460 0 R 461 0 R 462 0 R 463 0 R +464 0 R 465 0 R 466 0 R 467 0 R 468 0 R 469 0 R 470 0 R 471 0 R 472 0 R 473 0 R +474 0 R 475 0 R 476 0 R 477 0 R 478 0 R 479 0 R 480 0 R 481 0 R 482 0 R 483 0 R +484 0 R 485 0 R 486 0 R 487 0 R 488 0 R 489 0 R 490 0 R 491 0 R 492 0 R 493 0 R +494 0 R 495 0 R 496 0 R 497 0 R 498 0 R 499 0 R 500 0 R 501 0 R 502 0 R 503 0 R +504 0 R 505 0 R 506 0 R 507 0 R 508 0 R 509 0 R 510 0 R 511 0 R 512 0 R] +/P 5 0 R +/S /Sect +/Type /StructElem +>> +endobj +11 0 obj +<< +/Nums [0 [105 0 R 34 0 R 35 0 R 36 0 R 37 0 R 38 0 R 39 0 R 39 0 R 39 0 R 39 0 R +39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R +39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 39 0 R 40 0 R 41 0 R 41 0 R 41 0 R +41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R +41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R +41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R +41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R 41 0 R +41 0 R 41 0 R 41 0 R 41 0 R 42 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R +43 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R 43 0 R +43 0 R 43 0 R 44 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R +45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R +45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 45 0 R 46 0 R 47 0 R 48 0 R 49 0 R +49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R +49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R +49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R +49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R 49 0 R +49 0 R 49 0 R 49 0 R 50 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R +51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 51 0 R +51 0 R 51 0 R 51 0 R 51 0 R 51 0 R 52 0 R 53 0 R 54 0 R 55 0 R 55 0 R +55 0 R 55 0 R 55 0 R 56 0 R 56 0 R 56 0 R 56 0 R 56 0 R 56 0 R 56 0 R +57 0 R 57 0 R 57 0 R 57 0 R 58 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R +59 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R 59 0 R +59 0 R 59 0 R 60 0 R 61 0 R 62 0 R 63 0 R 64 0 R 65 0 R 66 0 R 67 0 R +68 0 R 69 0 R 70 0 R 71 0 R 72 0 R 73 0 R 74 0 R 75 0 R 76 0 R 77 0 R +78 0 R 79 0 R 81 0 R 82 0 R 84 0 R 85 0 R 86 0 R 88 0 R 89 0 R 90 0 R +91 0 R 92 0 R 93 0 R 94 0 R 95 0 R 96 0 R 97 0 R 98 0 R 99 0 R 100 0 R +101 0 R 103 0 R 104 0 R 106 0 R 107 0 R 108 0 R 109 0 R 513 0 R 513 0 R 513 0 R +513 0 R 513 0 R 513 0 R 513 0 R 513 0 R 514 0 R 514 0 R 514 0 R 514 0 R 514 0 R +514 0 R 514 0 R 514 0 R 514 0 R 514 0 R 514 0 R 514 0 R 515 0 R 515 0 R 515 0 R +515 0 R 515 0 R 515 0 R 515 0 R 515 0 R 515 0 R 515 0 R 515 0 R 515 0 R 515 0 R +515 0 R 111 0 R 112 0 R 113 0 R 114 0 R 116 0 R 117 0 R 117 0 R 117 0 R 117 0 R +117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R +117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R +117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 117 0 R 118 0 R 516 0 R 516 0 R 516 0 R +516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R +516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R +516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R +516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R +516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 516 0 R 517 0 R 518 0 R +519 0 R 520 0 R 520 0 R 520 0 R 520 0 R 520 0 R 521 0 R 522 0 R 522 0 R 522 0 R +522 0 R 522 0 R 523 0 R 524 0 R 524 0 R 524 0 R 524 0 R 524 0 R 525 0 R 526 0 R +526 0 R 527 0 R 528 0 R 528 0 R 529 0 R 530 0 R 531 0 R 532 0 R 532 0 R 533 0 R +534 0 R 534 0 R 534 0 R 535 0 R 536 0 R 536 0 R 537 0 R 537 0 R 538 0 R 538 0 R +538 0 R 538 0 R 538 0 R 539 0 R 539 0 R 539 0 R 539 0 R 539 0 R 539 0 R 540 0 R +541 0 R 541 0 R 542 0 R 542 0 R 542 0 R 542 0 R 542 0 R 543 0 R 543 0 R 543 0 R +543 0 R 544 0 R 545 0 R 545 0 R 546 0 R 546 0 R 546 0 R 547 0 R 548 0 R 549 0 R +549 0 R 549 0 R 550 0 R 550 0 R 550 0 R 551 0 R 551 0 R 552 0 R 552 0 R 553 0 R +554 0 R 555 0 R 556 0 R 556 0 R 557 0 R 558 0 R 558 0 R 559 0 R 560 0 R 560 0 R +561 0 R 562 0 R 563 0 R 564 0 R 564 0 R 565 0 R 566 0 R 567 0 R 568 0 R 568 0 R +569 0 R 570 0 R 570 0 R 571 0 R 572 0 R 572 0 R 573 0 R 573 0 R 574 0 R 575 0 R +575 0 R 575 0 R 575 0 R 576 0 R 577 0 R 577 0 R 577 0 R 578 0 R 578 0 R 578 0 R +578 0 R 579 0 R 580 0 R 581 0 R 581 0 R 581 0 R 582 0 R 582 0 R 583 0 R 583 0 R +583 0 R 583 0 R 584 0 R 585 0 R 586 0 R 587 0 R 587 0 R 587 0 R 587 0 R 588 0 R +589 0 R 590 0 R 591 0 R 591 0 R 592 0 R 592 0 R 593 0 R 594 0 R 595 0 R 595 0 R +595 0 R 595 0 R 596 0 R 597 0 R 598 0 R 599 0 R 599 0 R 599 0 R 599 0 R 600 0 R +601 0 R 602 0 R 603 0 R 604 0 R 83 0 R 605 0 R 606 0 R] + 1 [206 0 R 119 0 R 120 0 R 121 0 R 122 0 R 123 0 R 124 0 R 125 0 R 126 0 R 127 0 R +128 0 R 129 0 R 130 0 R 131 0 R 132 0 R 133 0 R 134 0 R 135 0 R 136 0 R 137 0 R +138 0 R 139 0 R 140 0 R 141 0 R 142 0 R 143 0 R 144 0 R 145 0 R 146 0 R 147 0 R +148 0 R 149 0 R 150 0 R 151 0 R 152 0 R 153 0 R 154 0 R 155 0 R 156 0 R 157 0 R +158 0 R 159 0 R 160 0 R 161 0 R 162 0 R 163 0 R 164 0 R 165 0 R 166 0 R 167 0 R +168 0 R 169 0 R 170 0 R 171 0 R 172 0 R 173 0 R 174 0 R 175 0 R 176 0 R 177 0 R +178 0 R 179 0 R 180 0 R 181 0 R 182 0 R 183 0 R 184 0 R 185 0 R 186 0 R 186 0 R +186 0 R 186 0 R 186 0 R 186 0 R 186 0 R 607 0 R 608 0 R 608 0 R 608 0 R 609 0 R +610 0 R 610 0 R 610 0 R 610 0 R 610 0 R 611 0 R 612 0 R 612 0 R 612 0 R 612 0 R +612 0 R 613 0 R 614 0 R 614 0 R 614 0 R 614 0 R 615 0 R 616 0 R 617 0 R 618 0 R +619 0 R 620 0 R 620 0 R 620 0 R 621 0 R 622 0 R 623 0 R 624 0 R 625 0 R 626 0 R +626 0 R 626 0 R 627 0 R 628 0 R 629 0 R 630 0 R 631 0 R 631 0 R 631 0 R 631 0 R +631 0 R 632 0 R 632 0 R 632 0 R 633 0 R 634 0 R 635 0 R 636 0 R 637 0 R 637 0 R +637 0 R 637 0 R 638 0 R 638 0 R 638 0 R 638 0 R 638 0 R 638 0 R 638 0 R 638 0 R +638 0 R 638 0 R 639 0 R 640 0 R 641 0 R 642 0 R 642 0 R 642 0 R 643 0 R 644 0 R +644 0 R 644 0 R 645 0 R 646 0 R 647 0 R 648 0 R 648 0 R 648 0 R 649 0 R 650 0 R +650 0 R 650 0 R 651 0 R 188 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R +189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R +189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 189 0 R 190 0 R 190 0 R 190 0 R 190 0 R +190 0 R 190 0 R 190 0 R 190 0 R 190 0 R 190 0 R 190 0 R 190 0 R 191 0 R 192 0 R +192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R +192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 192 0 R +192 0 R 192 0 R 192 0 R 192 0 R 192 0 R 193 0 R 193 0 R 193 0 R 194 0 R 194 0 R +194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R +194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 194 0 R 195 0 R 196 0 R +197 0 R 197 0 R 197 0 R 197 0 R 197 0 R 197 0 R 198 0 R 198 0 R 198 0 R 198 0 R +198 0 R 652 0 R 653 0 R 653 0 R 653 0 R 654 0 R 655 0 R 655 0 R 655 0 R 655 0 R +655 0 R 656 0 R 657 0 R 657 0 R 657 0 R 657 0 R 657 0 R 658 0 R 659 0 R 660 0 R +661 0 R 661 0 R 661 0 R 661 0 R 661 0 R 661 0 R 661 0 R 661 0 R 662 0 R 663 0 R +664 0 R 665 0 R 665 0 R 665 0 R 665 0 R 665 0 R 665 0 R 665 0 R 665 0 R 666 0 R +200 0 R 200 0 R 200 0 R 200 0 R 201 0 R 202 0 R 202 0 R 202 0 R 202 0 R 202 0 R +203 0 R 203 0 R 203 0 R 204 0 R 205 0 R 205 0 R 205 0 R 205 0 R 207 0 R 208 0 R +209 0 R 210 0 R 211 0 R 212 0 R 212 0 R 212 0 R 212 0 R 213 0 R 214 0 R 215 0 R +216 0 R 217 0 R 217 0 R 217 0 R 217 0 R 217 0 R 217 0 R 217 0 R 217 0 R 218 0 R +218 0 R 218 0 R 218 0 R 218 0 R 218 0 R 218 0 R 218 0 R 218 0 R 219 0 R 220 0 R +221 0 R 222 0 R 223 0 R 224 0 R 225 0 R 226 0 R 227 0 R 228 0 R] + 2 [229 0 R 230 0 R 231 0 R 232 0 R 233 0 R 234 0 R 235 0 R 236 0 R 237 0 R 238 0 R +239 0 R 240 0 R 241 0 R 242 0 R 243 0 R 244 0 R 245 0 R 246 0 R 247 0 R 248 0 R +249 0 R 250 0 R 251 0 R 252 0 R 253 0 R 254 0 R 255 0 R 256 0 R 257 0 R 258 0 R +259 0 R 260 0 R 261 0 R 262 0 R 263 0 R 264 0 R 265 0 R 266 0 R 267 0 R 268 0 R +269 0 R 270 0 R 271 0 R 272 0 R 273 0 R 274 0 R 275 0 R 276 0 R 277 0 R 278 0 R +279 0 R 280 0 R 281 0 R 282 0 R 283 0 R 284 0 R 285 0 R 286 0 R 287 0 R 288 0 R +289 0 R 290 0 R 291 0 R 292 0 R 293 0 R 294 0 R 295 0 R 295 0 R 295 0 R 295 0 R +295 0 R 295 0 R 295 0 R 295 0 R 295 0 R 295 0 R 295 0 R 296 0 R 297 0 R 297 0 R +297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R +297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R 297 0 R +297 0 R 667 0 R 668 0 R 668 0 R 668 0 R 669 0 R 670 0 R 670 0 R 670 0 R 670 0 R +670 0 R 671 0 R 672 0 R 672 0 R 672 0 R 672 0 R 672 0 R 673 0 R 674 0 R 675 0 R +675 0 R 675 0 R 675 0 R 675 0 R 675 0 R 675 0 R 676 0 R 677 0 R 678 0 R 679 0 R +679 0 R 679 0 R 679 0 R 679 0 R 679 0 R 679 0 R 679 0 R 680 0 R 681 0 R 682 0 R +683 0 R 683 0 R 683 0 R 683 0 R 683 0 R 683 0 R 683 0 R 683 0 R 683 0 R 683 0 R +683 0 R 684 0 R 685 0 R 686 0 R 687 0 R 687 0 R 687 0 R 687 0 R 687 0 R 687 0 R +687 0 R 687 0 R 687 0 R 687 0 R 687 0 R 688 0 R 689 0 R 299 0 R 300 0 R 300 0 R +300 0 R 300 0 R 300 0 R 300 0 R 300 0 R 300 0 R 300 0 R 300 0 R 300 0 R 300 0 R +690 0 R 691 0 R 691 0 R 691 0 R 692 0 R 693 0 R 693 0 R 693 0 R 694 0 R 695 0 R +695 0 R 695 0 R 696 0 R 697 0 R 697 0 R 697 0 R 698 0 R 699 0 R 699 0 R 699 0 R +700 0 R 701 0 R 701 0 R 701 0 R 702 0 R 703 0 R 704 0 R 705 0 R 706 0 R 707 0 R +708 0 R 708 0 R 709 0 R 710 0 R 711 0 R 712 0 R 713 0 R 714 0 R 715 0 R 715 0 R +715 0 R 715 0 R 716 0 R 717 0 R 718 0 R 718 0 R 719 0 R 720 0 R 721 0 R 722 0 R +722 0 R 723 0 R 724 0 R 725 0 R 726 0 R 727 0 R 728 0 R 728 0 R 728 0 R 729 0 R +730 0 R 731 0 R 732 0 R 733 0 R 734 0 R 735 0 R 736 0 R 736 0 R 736 0 R 736 0 R +736 0 R 737 0 R 738 0 R 739 0 R 740 0 R 741 0 R 742 0 R 743 0 R 743 0 R 744 0 R +302 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R +303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 303 0 R 745 0 R 746 0 R 746 0 R +746 0 R 747 0 R 748 0 R 748 0 R 748 0 R 749 0 R 750 0 R 750 0 R 750 0 R 751 0 R +752 0 R 752 0 R 752 0 R 753 0 R 754 0 R 754 0 R 754 0 R 755 0 R 756 0 R 756 0 R +756 0 R 757 0 R 758 0 R 759 0 R 760 0 R 761 0 R 762 0 R 763 0 R 763 0 R 764 0 R +765 0 R 766 0 R 767 0 R 768 0 R 769 0 R 770 0 R 770 0 R 770 0 R 770 0 R 771 0 R +772 0 R 773 0 R 773 0 R 773 0 R 773 0 R 774 0 R 775 0 R 776 0 R 777 0 R 777 0 R +778 0 R 779 0 R 780 0 R 781 0 R 782 0 R 783 0 R 783 0 R 783 0 R 783 0 R 783 0 R +784 0 R 785 0 R 786 0 R 787 0 R 787 0 R 788 0 R 789 0 R 790 0 R 790 0 R 790 0 R +790 0 R 790 0 R 791 0 R 791 0 R 791 0 R 791 0 R 792 0 R 793 0 R 794 0 R 795 0 R +796 0 R 797 0 R 798 0 R 798 0 R 798 0 R 798 0 R 798 0 R 799 0 R 800 0 R 801 0 R +802 0 R 803 0 R 804 0 R 805 0 R 805 0 R 806 0 R 305 0 R 305 0 R 305 0 R 305 0 R +305 0 R 305 0 R 305 0 R 305 0 R 305 0 R 305 0 R 305 0 R 305 0 R 305 0 R 305 0 R +305 0 R 305 0 R 305 0 R 306 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R +307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R 307 0 R +307 0 R 307 0 R 308 0 R 807 0 R 808 0 R 808 0 R 808 0 R 809 0 R 810 0 R 810 0 R +810 0 R 811 0 R 812 0 R 812 0 R 812 0 R 813 0 R 814 0 R 814 0 R 814 0 R 815 0 R +816 0 R 816 0 R 816 0 R 817 0 R 818 0 R 818 0 R 818 0 R 819 0 R 820 0 R 821 0 R +822 0 R 823 0 R 824 0 R 825 0 R 825 0 R 826 0 R 827 0 R 828 0 R 829 0 R 830 0 R +831 0 R 832 0 R 832 0 R 832 0 R 832 0 R 833 0 R 834 0 R 835 0 R 835 0 R 835 0 R +835 0 R 836 0 R 837 0 R 838 0 R 839 0 R 839 0 R 840 0 R 841 0 R 842 0 R 843 0 R +844 0 R 845 0 R 845 0 R 845 0 R 845 0 R 845 0 R 845 0 R 845 0 R 845 0 R 846 0 R +847 0 R 848 0 R 849 0 R 849 0 R 850 0 R 851 0 R 852 0 R 852 0 R 852 0 R 852 0 R +852 0 R 852 0 R 852 0 R 853 0 R 854 0 R 855 0 R 856 0 R 857 0 R 858 0 R 859 0 R +860 0 R 861 0 R 861 0 R 861 0 R 861 0 R 861 0 R 862 0 R 863 0 R 864 0 R 865 0 R +866 0 R 867 0 R 868 0 R 868 0 R 869 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R +310 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R 310 0 R +310 0 R 310 0 R 311 0 R 312 0 R 313 0 R 314 0 R 315 0 R 316 0 R 317 0 R] + 3 [318 0 R 319 0 R 320 0 R 321 0 R 322 0 R 323 0 R 324 0 R 325 0 R 326 0 R 327 0 R +328 0 R 329 0 R 330 0 R 331 0 R 332 0 R 333 0 R 334 0 R 335 0 R 336 0 R 337 0 R +338 0 R 339 0 R 340 0 R 341 0 R 342 0 R 343 0 R 344 0 R 345 0 R 346 0 R 347 0 R +348 0 R 349 0 R 350 0 R 351 0 R 352 0 R 353 0 R 354 0 R 355 0 R 356 0 R 357 0 R +358 0 R 359 0 R 360 0 R 361 0 R 362 0 R 363 0 R 364 0 R 365 0 R 366 0 R 367 0 R +368 0 R 369 0 R 370 0 R 371 0 R 372 0 R 373 0 R 374 0 R 375 0 R 376 0 R 377 0 R +378 0 R 379 0 R 380 0 R 381 0 R 382 0 R 383 0 R 384 0 R 384 0 R 384 0 R 384 0 R +384 0 R 384 0 R 384 0 R 384 0 R 384 0 R 384 0 R 384 0 R 384 0 R 384 0 R 384 0 R +384 0 R 384 0 R 384 0 R 384 0 R 870 0 R 871 0 R 871 0 R 871 0 R 871 0 R 872 0 R +873 0 R 873 0 R 873 0 R 874 0 R 875 0 R 875 0 R 875 0 R 876 0 R 877 0 R 877 0 R +877 0 R 878 0 R 879 0 R 879 0 R 879 0 R 880 0 R 881 0 R 881 0 R 881 0 R 882 0 R +883 0 R 884 0 R 885 0 R 886 0 R 887 0 R 888 0 R 888 0 R 889 0 R 890 0 R 891 0 R +892 0 R 893 0 R 894 0 R 895 0 R 895 0 R 895 0 R 895 0 R 896 0 R 897 0 R 898 0 R +898 0 R 898 0 R 898 0 R 899 0 R 900 0 R 901 0 R 902 0 R 902 0 R 903 0 R 904 0 R +905 0 R 906 0 R 907 0 R 908 0 R 908 0 R 908 0 R 908 0 R 908 0 R 908 0 R 908 0 R +909 0 R 910 0 R 911 0 R 912 0 R 912 0 R 913 0 R 914 0 R 915 0 R 915 0 R 915 0 R +915 0 R 915 0 R 916 0 R 917 0 R 918 0 R 919 0 R 920 0 R 921 0 R 922 0 R 923 0 R +923 0 R 923 0 R 923 0 R 923 0 R 924 0 R 925 0 R 926 0 R 927 0 R 928 0 R 929 0 R +930 0 R 930 0 R 931 0 R 386 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R +387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R 387 0 R +387 0 R 388 0 R 389 0 R 389 0 R 389 0 R 389 0 R 389 0 R 389 0 R 389 0 R 389 0 R +389 0 R 389 0 R 389 0 R 389 0 R 932 0 R 933 0 R 933 0 R 933 0 R 934 0 R 935 0 R +935 0 R 935 0 R 936 0 R 937 0 R 937 0 R 937 0 R 938 0 R 939 0 R 939 0 R 939 0 R +940 0 R 941 0 R 941 0 R 941 0 R 942 0 R 943 0 R 943 0 R 943 0 R 944 0 R 945 0 R +946 0 R 947 0 R 948 0 R 949 0 R 950 0 R 950 0 R 951 0 R 952 0 R 953 0 R 954 0 R +955 0 R 956 0 R 957 0 R 957 0 R 957 0 R 957 0 R 958 0 R 959 0 R 960 0 R 960 0 R +960 0 R 960 0 R 961 0 R 962 0 R 963 0 R 964 0 R 964 0 R 965 0 R 966 0 R 967 0 R +968 0 R 969 0 R 970 0 R 970 0 R 970 0 R 970 0 R 971 0 R 971 0 R 971 0 R 972 0 R +973 0 R 974 0 R 974 0 R 974 0 R 974 0 R 975 0 R 976 0 R 977 0 R 978 0 R 979 0 R +980 0 R 981 0 R 981 0 R 981 0 R 981 0 R 982 0 R 983 0 R 984 0 R 984 0 R 984 0 R +984 0 R 985 0 R 986 0 R 987 0 R 988 0 R 988 0 R 988 0 R 989 0 R 990 0 R 991 0 R +992 0 R 992 0 R 992 0 R 992 0 R 992 0 R 992 0 R 992 0 R 992 0 R 992 0 R 992 0 R +992 0 R 993 0 R 994 0 R 994 0 R 994 0 R 994 0 R 994 0 R 994 0 R 994 0 R 994 0 R +994 0 R 994 0 R 995 0 R 996 0 R 997 0 R 997 0 R 997 0 R 997 0 R 997 0 R 998 0 R +998 0 R 998 0 R 998 0 R 998 0 R 998 0 R 998 0 R 999 0 R 999 0 R 999 0 R 999 0 R +999 0 R 1000 0 R 1001 0 R 1002 0 R 1002 0 R 1002 0 R 1002 0 R 1003 0 R 1004 0 R 1005 0 R +1006 0 R 1007 0 R 1007 0 R 1007 0 R 1007 0 R 1008 0 R 1009 0 R 1010 0 R 1011 0 R 1012 0 R +1012 0 R 1012 0 R 1013 0 R 1014 0 R 1015 0 R 1016 0 R 1017 0 R 1017 0 R 1017 0 R 1017 0 R +1018 0 R 1019 0 R 1020 0 R 1021 0 R 1022 0 R 1023 0 R 1024 0 R 1025 0 R 1026 0 R 1027 0 R +1028 0 R 1029 0 R 1030 0 R 1031 0 R 1032 0 R 1033 0 R 1034 0 R 1035 0 R 1036 0 R 1037 0 R +1038 0 R 1038 0 R 1039 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R +391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R 391 0 R +392 0 R 393 0 R 394 0 R 395 0 R 396 0 R 397 0 R 398 0 R 399 0 R 400 0 R 401 0 R +402 0 R 403 0 R] + 4 [404 0 R 405 0 R 406 0 R 407 0 R 408 0 R 409 0 R 410 0 R 411 0 R 412 0 R 413 0 R +414 0 R 415 0 R 416 0 R 417 0 R 418 0 R 419 0 R 420 0 R 421 0 R 422 0 R 423 0 R +424 0 R 425 0 R 426 0 R 427 0 R 428 0 R 429 0 R 430 0 R 431 0 R 432 0 R 433 0 R +434 0 R 435 0 R 436 0 R 437 0 R 438 0 R 439 0 R 440 0 R 441 0 R 442 0 R 443 0 R +444 0 R 445 0 R 446 0 R 447 0 R 448 0 R 449 0 R 450 0 R 451 0 R 452 0 R 453 0 R +454 0 R 455 0 R 456 0 R 457 0 R 458 0 R 459 0 R 460 0 R 461 0 R 462 0 R 463 0 R +464 0 R 465 0 R 466 0 R 467 0 R 468 0 R 469 0 R 470 0 R 470 0 R 470 0 R 470 0 R +470 0 R 471 0 R 473 0 R 474 0 R 475 0 R 476 0 R 477 0 R 478 0 R 479 0 R 480 0 R +481 0 R 482 0 R 483 0 R 484 0 R 485 0 R 486 0 R 487 0 R 488 0 R 488 0 R 488 0 R +488 0 R 488 0 R 488 0 R 488 0 R 488 0 R 489 0 R 490 0 R 490 0 R 490 0 R 491 0 R +491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R +491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R +491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R 491 0 R +492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R +492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R +492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 492 0 R 493 0 R 494 0 R +494 0 R 494 0 R 494 0 R 494 0 R 494 0 R 494 0 R 495 0 R 495 0 R 495 0 R 495 0 R +495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R +495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 495 0 R 496 0 R +496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R +496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 496 0 R 497 0 R 497 0 R +497 0 R 497 0 R 497 0 R 497 0 R 497 0 R 497 0 R 497 0 R 497 0 R 497 0 R 497 0 R +497 0 R 497 0 R 497 0 R 497 0 R 498 0 R 498 0 R 498 0 R 498 0 R 498 0 R 498 0 R +498 0 R 498 0 R 498 0 R 498 0 R 498 0 R 498 0 R 498 0 R 498 0 R 499 0 R 499 0 R +499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R +499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 499 0 R 500 0 R 500 0 R +500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R +500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R 500 0 R +500 0 R 500 0 R 501 0 R 501 0 R 501 0 R 501 0 R 501 0 R 501 0 R 501 0 R 501 0 R +501 0 R 501 0 R 501 0 R 501 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R +502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R 502 0 R +503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R +503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R 503 0 R +504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R +504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 504 0 R +504 0 R 504 0 R 504 0 R 504 0 R 504 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R +505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R +505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 505 0 R 506 0 R 506 0 R 506 0 R 506 0 R +506 0 R 506 0 R 506 0 R 506 0 R 506 0 R 506 0 R 506 0 R 506 0 R 506 0 R 506 0 R +506 0 R 506 0 R 506 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R +507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 507 0 R 508 0 R 509 0 R +510 0 R 511 0 R 512 0 R 1040 0 R 1041 0 R 1042 0 R 1043 0 R] +] +>> +endobj +12 0 obj +<< +/Annotation /Sect +/Artifact /Sect +/Chart /Sect +/Chartsheet /Part +/Diagram /Figure +/Dialogsheet /Part +/Endnote /Note +/Footer /Sect +/Footnote /Note +/Header /Sect +/InlineShape /Sect +/Macrosheet /Part +/Slide /Part +/Textbox /Sect +/Workbook /Document +/Worksheet /Part +>> +endobj +13 0 obj +<< +/Contents [1044 0 R 1045 0 R 1046 0 R 1047 0 R 1048 0 R 1049 0 R 1050 0 R 1051 0 R] +/CropBox [0 0 595.32 841.92] +/Group << +/CS /DeviceRGB +/S /Transparency +/Type /Group +>> +/MediaBox [0 0 595.32 841.92] +/Parent 8 0 R +/Resources << +/Font << +/F1 1052 0 R +/F10 1053 0 R +/F11 1054 0 R +/F2 1055 0 R +/F3 1056 0 R +/F4 1057 0 R +/F5 1058 0 R +/F6 1059 0 R +/F7 1060 0 R +/F8 1061 0 R +/F9 1062 0 R +>> +/XObject << +/Image14 1063 0 R +/Image18 1064 0 R +/Image45 1065 0 R +>> +>> +/Rotate 360 +/StructParents 0 +/Tabs /S +/Type /Page +>> +endobj +14 0 obj +<< +/Contents 1066 0 R +/CropBox [0 0 595.32 841.92] +/Group << +/CS /DeviceRGB +/S /Transparency +/Type /Group +>> +/MediaBox [0 0 595.32 841.92] +/Parent 8 0 R +/Resources << +/Font << +/F1 1052 0 R +/F11 1054 0 R +/F13 1067 0 R +/F14 1068 0 R +/F2 1055 0 R +/F3 1056 0 R +/F4 1057 0 R +/F5 1058 0 R +/F6 1059 0 R +/F7 1060 0 R +>> +/XObject << +/Image48 1069 0 R +/Meta50 1070 0 R +>> +>> +/Rotate 360 +/StructParents 1 +/Tabs /S +/Type /Page +>> +endobj +15 0 obj +<< +/Contents 1071 0 R +/CropBox [0 0 595.32 841.92] +/Group << +/CS /DeviceRGB +/S /Transparency +/Type /Group +>> +/MediaBox [0 0 595.32 841.92] +/Parent 8 0 R +/Resources << +/Font << +/F1 1052 0 R +/F13 1067 0 R +/F14 1068 0 R +/F2 1055 0 R +/F3 1056 0 R +/F4 1057 0 R +/F5 1058 0 R +/F6 1059 0 R +/F7 1060 0 R +>> +/XObject << +/Image48 1069 0 R +>> +>> +/Rotate 360 +/StructParents 2 +/Tabs /S +/Type /Page +>> +endobj +16 0 obj +<< +/Contents 1072 0 R +/CropBox [0 0 595.32 841.92] +/Group << +/CS /DeviceRGB +/S /Transparency +/Type /Group +>> +/MediaBox [0 0 595.32 841.92] +/Parent 8 0 R +/Resources << +/Font << +/F1 1052 0 R +/F11 1054 0 R +/F2 1055 0 R +/F3 1056 0 R +/F4 1057 0 R +/F5 1058 0 R +/F6 1059 0 R +/F7 1060 0 R +>> +/XObject << +/Image48 1069 0 R +>> +>> +/Rotate 360 +/StructParents 3 +/Tabs /S +/Type /Page +>> +endobj +17 0 obj +<< +/Contents 1073 0 R +/CropBox [0 0 595.32 841.92] +/Group << +/CS /DeviceRGB +/S /Transparency +/Type /Group +>> +/MediaBox [0 0 595.32 841.92] +/Parent 8 0 R +/Resources << +/Font << +/F1 1052 0 R +/F11 1054 0 R +/F15 1074 0 R +/F16 1075 0 R +/F2 1055 0 R +/F3 1056 0 R +/F4 1057 0 R +/F5 1058 0 R +/F6 1059 0 R +/F7 1060 0 R +>> +/XObject << +/Image48 1069 0 R +/Image66 1076 0 R +>> +>> +/Rotate 360 +/StructParents 4 +/Tabs /S +/Type /Page +>> +endobj +18 0 obj +<< +/Length 6079 +/Filter /FlateDecode +>> +stream +x=kwd3_ӿ{_N'vۆaw0Lr$&ف}~VYwm9.IRT%36r10~5;~nϿ)cqxI^r<&nI}1dxjz7~<H$rRpͩܒ22cCB0gfǟ ^}l\k=zw;|wW,7xlv,X4s0( FKXBzx ywOO_QZm +=a2{ S#6zn8a:{aa-|b4(h^|0xVK̰ @ Jȁ37|g3>p4CSڊ)-׷) rܻRNpm{3Fz}*0 +pFHF)Gm8Rk~;;:.D(F-dXf ъ0 aGƅ< mIG/ɤŷVHsWŗi*ܷVNZyॅ_q 0uqaʣ .=Es\E4>YtIXpmqőbց A `?YT9%OQ/ᖵ >(':,@b~F=]r/gfel{PO~mh)hU׎{ 7stn\ fl()4͝5 +Қ/gyL9yڳ%wGj9 +Fit `~>8bGOK^`Xš7j^?SંX#)NU;-yVС#LPU_ +^+K 뾻P*4bItmmv3d42H;phV͠˫$rR#"J|i@6Lds21|ih1H6*xI:ŵەdUW4u40#l"I=ˤۃW&|wa<<+/L P۞1'd'Guф#4FCd^yx~yǴO7=[Q$(eYĕSSݠ?٭AGZz?Z54}ɰ0oo5N +^j'TƎ9LԢ#Vx)L[C^CdžEXjz!rʌc^q 5v[;ca7~)CI5kc /8u}qrt<&\i4B[!^$8Ms]xbP:xWPo9s7uN+TlSc"tWLPw_–dZNZ %wƛErPA̐W+ +~>y T +~5Q*'Pƿ))S{6r/_:ك +6٦ +g"ȢD4: %Eӊ >JojL,$رed__"wKnP8nG` 1THJX /v;L.n/Jl k|nL3?ocwيv@ +BQ@RCJ䔯WtHU!ڙu|/ޚ"zWpx |pnX7J7_~=>DvDiMEeI]5PR2"4\ňϞ&,t/欄o%!B!UEq5g3FWT/Õp`tz{b]C/hx_[1ɜ}IF~Xq ǻC7;h!lRPxxQW9i_X7 5a ms{7Cvnz[`Nc4)9o|ޔs䊂leb~SH3z{,|%+;t?K^I3m",٨|.5WϥRu+ U'ҐMr.R؞ƍN[/{4!ULs1w'46:W1ʻ<ޣͺ*+x:]i'fQ3ԉ*S▿ Ǝ8(ϢgƷ׎?q0 |Ĺr:O`Jeմ+h3z鹉)?h(sK&#SⷥG:M}Vr(D/̐8vDQi|fٱ 5f%nmD$A(vH7``u\AFkvH%a+,)4N_-&⌕"A1 {1E =)=<.AG𠾋?ڢ~'I%` vqAt`jwk0t2}X.+7U@N91. (X@ +&ɭ +Gfųvbns.|vI 5RH0'@wPqZϷ\U>}ǸeχEz F$辔l"?T.l@|b荕Gyɨ^f|d҅+ܧw-zArҧ7X1Jx$fTג(..tJVo0wh,RK¢v1 +nJ4֊bz3I0; >͸AjQ6%=`i˿^QAWuk] +RngU=/E]EXˢw]Wh iڳt/ *o_i[7iK|XJnYp˭,!2 +eYlK%#, bp82q綀mn̼w_ :^%PڑSO޸&*&P^iE?I eh[o,O+x5ox].=R%Mu%࿽HH-6$%SIyk s|ZQ%; G|oIg845*@we| sSzN~F{ѭTv.w6OI~B_[͆a )_ +\5cUI^$w:34fXn䔄AZR꨷]D%\4̀w:;K`[XZB!eN^m7-G$ؐrY9<ʃ7]߭Fw+Ε nuV?g"-ɫdYt#rI~㫴wISK6) rNZ)r]U$6T?Ŕl@Q 6nJinUlG޴` f W,;XJDӁRr?J ++`>/Jtc* +!w>U= +#82|8BghQkWpUw^Qm#:f^?U҅gJ^jpC=f oJmmT!=Vz$(5^(pU8VF# +., :UB;LnQeCvPk0M-7&3U!AmѝSrX"yqKm3o5N17![;hCbޥO:ܲ皼Fu wzx .gYT aVP⋸ |p}k*lojXCXS~_!skG)2nb\|t@݊tvͻ$o?@Ӧ9ˬ1hh}.Ce͗K }@B E0L7ƽrgƿyC a͇^rP{NI4 ȼo } |AZ!%Ԑ +6^JtA<.;ע&L{VFPUԁw5oT{R 6ہټlGLr" sM үi8]V7: `_7PJ`aarJ/rYWtש Q)q +ܙi+C(`*$Vu664p&BVJVrYHn="%›*K /xT"Ud_sVlLKD7 "qwT)+ ."gŠV ;8&F)7!]\Cuc ?8 +|¹[b.~l?՟͠rL!xKcZKV%j M;em#O]g%;ׇ?[fpM 0 p-@lHU-bz kebtH 5Vm~D{{}\Z߾o#ƪX67 + 0!K`N9J5)h(, SLŘKk̊0`p!:I6xwJoh_@`6phn)ɫB2T~1 (bxF!xp'jvgg7{v>;==R> +Ǐw;|b볋g[+BYp/X*־J{DPVPU0:i6<#$.oE34f )RHo6<+ujyT{}P.R'\W& z>Yi!obؑgbd@| x;ՆzGr *Ι-t$|> +endobj +20 0 obj +<< +/CharProcs << +/g1600 1077 0 R +/g1625 1078 0 R +/g1892 1079 0 R +/g1896 1080 0 R +/g2208 1081 0 R +/g2487 1082 0 R +/g2844 1083 0 R +/g2861 1084 0 R +/g3 1085 0 R +/g636 1086 0 R +/g645 1087 0 R +/g723 1088 0 R +/g774 1089 0 R +>> +/Encoding 1090 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0188679 0 0 -0.0188679 0 0] +/LastChar 13 +/Subtype /Type3 +/ToUnicode 1091 0 R +/Type /Font +/Widths [53 53 53 19 53 53 53 53 53 53 +53 53 53] +>> +endobj +21 0 obj +<< +/CharProcs << +/g1493 1092 0 R +/g20870 1093 0 R +/g20931 1094 0 R +/g22426 1095 0 R +/g22510 1096 0 R +/g23906 1097 0 R +/g24986 1098 0 R +/g26038 1099 0 R +/g26146 1100 0 R +/g26178 1101 0 R +/g27546 1102 0 R +/g27574 1103 0 R +/g27658 1104 0 R +/g27714 1105 0 R +/g27770 1106 0 R +/g27786 1107 0 R +/g29346 1108 0 R +/g31306 1109 0 R +/g31342 1110 0 R +/g31582 1111 0 R +/g849 1112 0 R +/g854 1113 0 R +/g859 1114 0 R +/g861 1115 0 R +/g863 1116 0 R +/g865 1117 0 R +/g866 1118 0 R +/g867 1119 0 R +/g884 1120 0 R +/g896 1121 0 R +/g903 1122 0 R +/g914 1123 0 R +/g918 1124 0 R +/g925 1125 0 R +/g926 1126 0 R +/g929 1127 0 R +/g934 1128 0 R +/g937 1129 0 R +>> +/Encoding 1130 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0169492 0 0 -0.0169492 0 0] +/LastChar 38 +/Subtype /Type3 +/ToUnicode 1131 0 R +/Type /Font +/Widths [33 34 20 59 59 59 59 34 34 54 +22 34 59 59 59 59 43 44 36 34 +14 33 33 59 28 59 59 59 59 59 +59 59 59 22 59 36 54 59] +>> +endobj +22 0 obj +<< +/CharProcs << +/g19 1132 0 R +/g21 1133 0 R +/g22 1134 0 R +/g96 1135 0 R +>> +/Encoding 1136 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0169492 0 0 -0.0169492 0 0] +/LastChar 4 +/Subtype /Type3 +/ToUnicode 1137 0 R +/Type /Font +/Widths [34 42 34 34] +>> +endobj +23 0 obj +<< +/BaseFont /DUCRGK+TT8Bo00 +/Encoding 1138 0 R +/FirstChar 1 +/FontDescriptor 1139 0 R +/LastChar 18 +/Subtype /Type1 +/ToUnicode 1140 0 R +/Type /Font +/Widths [644 634 750 413 769 615 355 960 778 634 +730 567 653 586 999 999 999 999] +>> +endobj +24 0 obj +<< +/CharProcs << +/g14 1141 0 R +/g17 1142 0 R +/g18 1143 0 R +/g36 1144 0 R +/g37 1145 0 R +/g41 1146 0 R +/g44 1147 0 R +/g49 1148 0 R +>> +/Encoding 1149 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0138889 0 0 -0.0138889 0 0] +/LastChar 8 +/Subtype /Type3 +/ToUnicode 1150 0 R +/Type /Font +/Widths [57 62 58 38 57 53 52 52] +>> +endobj +25 0 obj +<< +/CharProcs << +/g3 1151 0 R +/g572 1152 0 R +>> +/Encoding 1153 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0192308 0 0 -0.0192308 0 0] +/LastChar 2 +/Subtype /Type3 +/ToUnicode 1154 0 R +/Type /Font +/Widths [52 18] +>> +endobj +26 0 obj +<< +/CharProcs << +/g20987 1155 0 R +/g21302 1156 0 R +/g21946 1157 0 R +/g22523 1158 0 R +/g26167 1159 0 R +/g26594 1160 0 R +/g26602 1161 0 R +/g27126 1162 0 R +/g27567 1163 0 R +/g27735 1164 0 R +/g30766 1165 0 R +/g875 1166 0 R +>> +/Encoding 1167 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0138889 0 0 -0.0138889 0 0] +/LastChar 12 +/Subtype /Type3 +/ToUnicode 1168 0 R +/Type /Font +/Widths [72 72 72 72 72 72 72 72 72 72 +72 25] +>> +endobj +27 0 obj +<< +/CharProcs << +/g22618 1169 0 R +/g24862 1170 0 R +/g26279 1171 0 R +/g27378 1172 0 R +/g27722 1173 0 R +/g31326 1174 0 R +/g31347 1175 0 R +/g5166 1176 0 R +/g5167 1177 0 R +/g849 1178 0 R +/g857 1179 0 R +/g858 1180 0 R +/g860 1181 0 R +/g865 1182 0 R +/g866 1183 0 R +/g873 1184 0 R +/g874 1185 0 R +/g875 1186 0 R +/g878 1187 0 R +/g884 1188 0 R +/g886 1189 0 R +/g916 1190 0 R +/g917 1191 0 R +/g918 1192 0 R +/g922 1193 0 R +/g927 1194 0 R +/g928 1195 0 R +/g929 1196 0 R +/g933 1197 0 R +/g937 1198 0 R +>> +/Encoding 1199 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0147059 0 0 -0.0147059 0 0] +/LastChar 30 +/Subtype /Type3 +/ToUnicode 1200 0 R +/Type /Font +/Widths [68 68 24 23 40 33 40 68 68 68 +68 68 68 26 40 40 40 40 26 68 +43 38 38 41 22 16 41 39 49 41] +>> +endobj +28 0 obj +<< +/CharProcs << +/g849 1151 0 R +/g860 1201 0 R +/g865 1202 0 R +/g866 1203 0 R +/g867 1204 0 R +/g868 1205 0 R +/g869 1206 0 R +/g872 1207 0 R +/g877 1208 0 R +/g878 1209 0 R +/g882 1210 0 R +/g884 1211 0 R +/g885 1212 0 R +/g887 1213 0 R +/g890 1214 0 R +/g892 1215 0 R +/g895 1216 0 R +/g896 1217 0 R +/g898 1218 0 R +/g899 1219 0 R +/g900 1220 0 R +/g914 1221 0 R +/g916 1222 0 R +/g917 1223 0 R +/g918 1224 0 R +/g919 1225 0 R +/g920 1226 0 R +/g922 1227 0 R +/g925 1228 0 R +/g927 1229 0 R +/g928 1230 0 R +/g929 1231 0 R +/g931 1232 0 R +/g932 1233 0 R +/g933 1234 0 R +/g934 1235 0 R +/g935 1236 0 R +/g937 1237 0 R +/g938 1238 0 R +>> +/Encoding 1239 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0192308 0 0 -0.0192308 0 0] +/LastChar 39 +/Subtype /Type3 +/ToUnicode 1240 0 R +/Type /Font +/Widths [31 25 31 18 32 29 30 29 17 13 +32 38 32 29 37 27 32 17 31 34 +31 31 35 31 39 34 38 31 39 26 +19 35 31 33 31 31 14 25 13] +>> +endobj +29 0 obj +<< +/CharProcs << +/j1 1241 0 R +/j10 1241 0 R +/j11 1241 0 R +/j12 1241 0 R +/j13 1241 0 R +/j14 1241 0 R +/j15 1241 0 R +/j16 1241 0 R +/j17 1241 0 R +/j18 1241 0 R +/j19 1241 0 R +/j2 1241 0 R +/j20 1241 0 R +/j21 1241 0 R +/j22 1241 0 R +/j23 1241 0 R +/j24 1241 0 R +/j25 1241 0 R +/j26 1241 0 R +/j27 1241 0 R +/j28 1241 0 R +/j29 1241 0 R +/j3 1241 0 R +/j30 1241 0 R +/j31 1241 0 R +/j32 1241 0 R +/j33 1241 0 R +/j34 1241 0 R +/j35 1241 0 R +/j4 1241 0 R +/j5 1241 0 R +/j6 1241 0 R +/j7 1241 0 R +/j8 1241 0 R +/j9 1241 0 R +>> +/Encoding 1242 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0169492 0 0 -0.0169492 0 0] +/LastChar 35 +/Subtype /Type3 +/Type /Font +/Widths [59 59 59 59 59 59 59 59 59 59 +59 59 59 59 59 59 59 59 59 59 +59 59 59 59 59 59 59 59 59 59 +59 59 59 59 59] +>> +endobj +30 0 obj +<< +/CharProcs << +/j1 1243 0 R +/j10 1243 0 R +/j11 1243 0 R +/j12 1243 0 R +/j13 1243 0 R +/j14 1243 0 R +/j15 1243 0 R +/j16 1243 0 R +/j17 1243 0 R +/j18 1243 0 R +/j19 1243 0 R +/j2 1243 0 R +/j20 1243 0 R +/j21 1243 0 R +/j22 1243 0 R +/j23 1243 0 R +/j24 1243 0 R +/j25 1243 0 R +/j3 1243 0 R +/j4 1243 0 R +/j5 1243 0 R +/j6 1243 0 R +/j7 1243 0 R +/j8 1243 0 R +/j9 1243 0 R +>> +/Encoding 1244 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0192308 0 0 -0.0192308 0 0] +/LastChar 25 +/Subtype /Type3 +/Type /Font +/Widths [52 52 52 52 52 52 52 52 52 52 +52 52 52 52 52 52 52 52 52 52 +52 52 52 52 52] +>> +endobj +31 0 obj +<< +/CharProcs << +/g10 1245 0 R +/g11 1246 0 R +/g1141 1247 0 R +/g1142 1248 0 R +/g1144 1249 0 R +/g1291 1250 0 R +/g1315 1251 0 R +/g1398 1252 0 R +/g14 1253 0 R +/g1446 1254 0 R +/g1464 1255 0 R +/g15 1256 0 R +/g1539 1257 0 R +/g1587 1258 0 R +/g16 1259 0 R +/g1618 1260 0 R +/g1779 1261 0 R +/g18 1262 0 R +/g1819 1263 0 R +/g1866 1264 0 R +/g1880 1265 0 R +/g1889 1266 0 R +/g19 1267 0 R +/g1966 1268 0 R +/g1990 1269 0 R +/g20 1270 0 R +/g2011 1271 0 R +/g2043 1272 0 R +/g2063 1273 0 R +/g2067 1274 0 R +/g2117 1275 0 R +/g2118 1276 0 R +/g2163 1277 0 R +/g2165 1278 0 R +/g2175 1279 0 R +/g2180 1280 0 R +/g2205 1281 0 R +/g2207 1282 0 R +/g2226 1283 0 R +/g2228 1284 0 R +/g2232 1285 0 R +/g2234 1286 0 R +/g2252 1287 0 R +/g2316 1288 0 R +/g2416 1289 0 R +/g26 1290 0 R +/g2651 1291 0 R +/g2735 1292 0 R +/g2799 1293 0 R +/g2829 1294 0 R +/g2841 1295 0 R +/g2843 1296 0 R +/g2850 1297 0 R +/g2924 1298 0 R +/g3 1178 0 R +/g37 1299 0 R +/g38 1300 0 R +/g40 1301 0 R +/g42 1302 0 R +/g45 1303 0 R +/g47 1304 0 R +/g50 1305 0 R +/g642 1306 0 R +/g7 1307 0 R +/g702 1308 0 R +/g709 1309 0 R +/g783 1310 0 R +/g792 1311 0 R +/g90 1312 0 R +/g941 1313 0 R +>> +/Encoding 1314 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0153846 0 0 -0.0153846 0 0] +/LastChar 70 +/Subtype /Type3 +/ToUnicode 1315 0 R +/Type /Font +/Widths [65 65 65 65 65 23 23 42 42 48 +27 50 40 38 57 65 65 65 65 23 +65 65 65 65 65 65 65 65 65 65 +65 65 17 63 38 35 34 38 65 65 +65 65 65 38 65 65 65 65 65 65 +65 65 65 65 65 65 65 65 65 17 +65 65 65 65 65 65 65 65 65 65] +>> +endobj +32 0 obj +<< +/CharProcs << +/g1045 1316 0 R +/g1052 1317 0 R +/g1061 1318 0 R +/g11 1319 0 R +/g12 1320 0 R +/g1206 1321 0 R +/g1238 1322 0 R +/g13 1323 0 R +/g1307 1324 0 R +/g1308 1325 0 R +/g1318 1326 0 R +/g1339 1327 0 R +/g1393 1328 0 R +/g1420 1329 0 R +/g1458 1330 0 R +/g15 1331 0 R +/g1529 1332 0 R +/g1538 1333 0 R +/g1544 1334 0 R +/g1569 1335 0 R +/g1599 1336 0 R +/g16 1337 0 R +/g1600 1338 0 R +/g1625 1339 0 R +/g163 1340 0 R +/g164 1341 0 R +/g165 1342 0 R +/g1656 1343 0 R +/g166 1344 0 R +/g167 1345 0 R +/g17 1346 0 R +/g1740 1347 0 R +/g1752 1348 0 R +/g1762 1349 0 R +/g1782 1350 0 R +/g18 1351 0 R +/g1813 1352 0 R +/g1849 1353 0 R +/g1883 1354 0 R +/g1892 1355 0 R +/g1894 1356 0 R +/g1896 1357 0 R +/g19 1358 0 R +/g1969 1359 0 R +/g1989 1360 0 R +/g20 1361 0 R +/g2046 1362 0 R +/g21 1363 0 R +/g2113 1364 0 R +/g2120 1365 0 R +/g2121 1366 0 R +/g2163 1367 0 R +/g2166 1368 0 R +/g2178 1369 0 R +/g2183 1370 0 R +/g2193 1371 0 R +/g22 1372 0 R +/g2208 1373 0 R +/g2229 1374 0 R +/g2237 1375 0 R +/g2239 1376 0 R +/g2287 1377 0 R +/g23 1378 0 R +/g2319 1379 0 R +/g24 1380 0 R +/g2487 1381 0 R +/g25 1382 0 R +/g2509 1383 0 R +/g26 1384 0 R +/g2643 1385 0 R +/g2654 1386 0 R +/g2684 1387 0 R +/g27 1388 0 R +/g28 1389 0 R +/g2813 1390 0 R +/g2844 1391 0 R +/g2849 1392 0 R +/g2853 1393 0 R +/g2861 1394 0 R +/g29 1395 0 R +/g3 1396 0 R +/g31 1397 0 R +/g33 1398 0 R +/g36 1399 0 R +/g37 1400 0 R +/g38 1401 0 R +/g39 1402 0 R +/g40 1403 0 R +/g41 1404 0 R +/g42 1405 0 R +/g43 1406 0 R +/g44 1407 0 R +/g46 1408 0 R +/g47 1409 0 R +/g48 1410 0 R +/g49 1411 0 R +/g50 1412 0 R +/g51 1413 0 R +/g53 1414 0 R +/g54 1415 0 R +/g55 1416 0 R +/g56 1417 0 R +/g57 1418 0 R +/g58 1419 0 R +/g6 1420 0 R +/g60 1421 0 R +/g62 1422 0 R +/g636 1423 0 R +/g64 1424 0 R +/g643 1425 0 R +/g645 1426 0 R +/g68 1427 0 R +/g69 1428 0 R +/g70 1429 0 R +/g705 1430 0 R +/g707 1431 0 R +/g71 1432 0 R +/g712 1433 0 R +/g72 1434 0 R +/g723 1435 0 R +/g73 1436 0 R +/g74 1437 0 R +/g748 1438 0 R +/g75 1439 0 R +/g754 1440 0 R +/g76 1441 0 R +/g774 1442 0 R +/g79 1443 0 R +/g795 1444 0 R +/g80 1445 0 R +/g81 1446 0 R +/g82 1447 0 R +/g83 1448 0 R +/g85 1449 0 R +/g86 1450 0 R +/g87 1451 0 R +/g88 1452 0 R +/g89 1453 0 R +/g9 1454 0 R +/g904 1455 0 R +/g91 1456 0 R +/g92 1457 0 R +/g97 1458 0 R +>> +/Encoding 1459 0 R +/FirstChar 1 +/FontBBox [0 0 1 -1] +/FontMatrix [0.0169492 0 0 -0.0169492 0 0] +/LastChar 143 +/Subtype /Type3 +/ToUnicode 1460 0 R +/Type /Font +/Widths [45 39 54 31 42 36 32 36 15 26 +20 21 21 31 29 35 19 31 34 15 +41 59 59 32 13 59 59 25 37 46 +32 29 32 27 32 18 18 16 34 18 +18 24 41 52 34 13 43 56 35 36 +32 29 32 32 32 48 41 33 41 35 +32 37 28 28 34 32 41 59 59 59 +59 59 59 59 32 13 59 59 59 59 +59 59 59 59 59 59 59 59 59 59 +34 35 59 59 59 59 59 59 59 59 +59 59 59 59 59 59 59 59 59 59 +59 59 59 59 59 59 59 59 59 59 +59 59 59 59 59 59 59 59 23 59 +59 59 59 59 59 59 59 59 59 36 +59 59 59] +>> +endobj +33 0 obj +<< +/Length 5364 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /DCTDecode +/Height 43 +/Subtype /Image +/Width 231 +>> +stream +AdobedC   +  + + +   C + ++ + }!1AQa"q2#BR$3br +%&'()*456789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz + w!1AQaq"2B #3Rbr +$4%&'()*56789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz ?ⳏ /ilQrT)5ՙj|W6E%ũ_:43AH,N)J"U qn5 ;^\mq@ߒ*^T)c# |䯊4p)q?@QJ+FrS~fgw[N$Nt֨xU_,Y:}Mb͡ȣ(8;`p(@SzQ@ +(Pnn&NE8@ @ @P@[hPH*gkj*_1Οhݴ3qfQQI= w&']OO/1lJtC[]HLWv:wwyزw)]8ڿ㶭9(xzY-6䃎+ {Q +[^\@2$!42 +f[ƟW|k{p .d|[42)*JDWI$q/nqڀ5G zl=}|8Tŝ\4w 9t? +kFXf1yf=~׿?T챦 KYs"d O(f_?W'_xrD;7w,"RdctďGqg?k7z`9~ZGj?g eu'KMI-pƲt-P~brߕ#HUIlC0`ɠ A@{p>ѫ V6HywW+R +l_)^e񧈬>&|pג]cZ:n ĄTnX"1# +U*zGs+,U75⟈v?NMߋ:żKWl؟k˲c߻~UpV57լԍ$ϫk}lrC_He|,U]Mg|!Q-:v%[4|g,?޼ FEn\F Q孫g|d_>/ !YΣ-=TeGѐyNS=^h-ͽ-W +뎻rhD\ÿ4 qox_kqprp@A'Oڇ??hoٗӴiu=r~-QQ  $LOGz_Ak?f߇ >KZK1`#.RM;PA4~>=oV+|HxW6MlVLd#n: +eώ''M_ Zܛ=s(b=(mV \xqZ˛ᰆ=Xg2(|M|JS u,1\Sk3=K~? >,5;Y|4nWiXms(GhM{u FxƊ9'ʪ1,HzPطF|۴kz?D}+BG}G433<~*)(>/Bg/ |&gU\TۢA$͓֖ΤEg'Y;Oxwy{-#4Q+yd*ȓwC ɟ,"W_IFQtݟ$͗փ/+{e+~B +c}]N"u){Kn|l먧~? +!t Ko +x,4FQ%sN+&gf+$ >7t_[^R1̞S뺋]V. N/Wl&tr>[JP``; +lPPVG?Sy//da)j~=~5f_>oQ7VEΠuh =? +`?hඣMsTğ Y:Fw-Y62'Z%}:5V@.h$g@~P~<0XWzGm~(-)_~n +xG乎#YZh#XS>~~Ⱦ>`,]wgw9y!#9p 4_N/~i>7ig%'º5%ыf)o0@B.>'xj}2 3rbQ@<_> &0yC< xʲ=h?+d +C2 )<sz|] ~ +xMҬgX;'{u{huC>$}"YlAghF `0%u 8.𶩨Eq?ΫF=sha_z_֐cwca_*> sn VE;I2΀=O5/|O+'}+a58=c7?<7?ם ud1s?ąWVIh?zxr$0#Y9|S-bk wNs6Z6.1s WNjeڋ%V~ec@]mtG$sx~#q%|>#igvrGZj)qxVIcPH#]ج, 6r&a\=w?'sXǍsr}@jAxW %GSC%PukA'kݩT +qUNOc-c1Uc^ˁv*<=jqVeO'>AI|Lۡ? 㯃ρ~!]^xvK [%r3ڬF'_Oj ܟR\K=r[E`( x?tsJY>"iPp@= mxJ]/ěW<%d46p#4|&># ٭eiaMȠkgχ}SN`<[֬㿓҉gdYژ @+@`>o<).?\ J/;[%r9< ß/gxq&Qf&q3L8ہP8~̿ >OG?i^4&񩟷HN$DxphGw_On*erRx4?M/j5/\Y.@rr߻ݴ{b>s=σJ |!wOs;|A>& 7FHmpƀ=b/@98Qր<࿃m,\kD?ƒӽ˼"Dg /~m|e~>YXG#R7{#$@xQҀ)n]#'>*L>!顽(*w :Pa*+?nMVe[XNP<: ̿ +GDy_+I xuU*D^aPjO+{_^7wZFsfgrws=xoC4M5k+ h7d y'ԚB@M$h7z37,6S)43pł7%)>ODXQU7t6!ZJrP`zPzP8hp=(}>*-ه^9H5@P&Vmjl;@]a8B9` q4<<1}m9Uf^ր'Fdp +endstream +endobj +34 0 obj +<< +/K [1] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +35 0 obj +<< +/K [2] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +36 0 obj +<< +/K [3] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +37 0 obj +<< +/K [4] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +38 0 obj +<< +/K [5] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +39 0 obj +<< +/K [6 7 8 9 10 11 12 13 14 15 +16 17 18 19 20 21 22 23 24 25] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +40 0 obj +<< +/K [26] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +41 0 obj +<< +/K [27 28 29 30 31 32 33 34 35 36 +37 38 39 40 41 42 43 44 45 46 +47 48 49 50 51 52 53 54 55 56 +57 58 59 60 61 62 63 64 65 66 +67 68 69 70 71 72 73] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +42 0 obj +<< +/K [74] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +43 0 obj +<< +/K [75 76 77 78 79 80 81 82 83 84 +85 86 87 88 89 90 91] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +44 0 obj +<< +/K [92] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +45 0 obj +<< +/K [93 94 95 96 97 98 99 100 101 102 +103 104 105 106 107 108 109 110 111 112 +113 114 115] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +46 0 obj +<< +/K [116] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +47 0 obj +<< +/K [117] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +48 0 obj +<< +/K [118] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +49 0 obj +<< +/K [119 120 121 122 123 124 125 126 127 128 +129 130 131 132 133 134 135 136 137 138 +139 140 141 142 143 144 145 146 147 148 +149 150 151 152 153 154 155 156 157 158 +159 160 161 162] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +50 0 obj +<< +/K [163] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +51 0 obj +<< +/K [164 165 166 167 168 169 170 171 172 173 +174 175 176 177 178 179 180 181 182 183 +184] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +52 0 obj +<< +/K [185] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +53 0 obj +<< +/K [186] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +54 0 obj +<< +/K [187] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +55 0 obj +<< +/K [188 189 190 191 192] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +56 0 obj +<< +/K [193 194 195 196 197 198 199] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +57 0 obj +<< +/K [200 201 202 203] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +58 0 obj +<< +/K [204] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +59 0 obj +<< +/K [205 206 207 208 209 210 211 212 213 214 +215 216 217 218 219 220 221] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +60 0 obj +<< +/K [222] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +61 0 obj +<< +/K [223] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +62 0 obj +<< +/K [224] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +63 0 obj +<< +/K [225] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +64 0 obj +<< +/K [226] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +65 0 obj +<< +/K [227] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +66 0 obj +<< +/K [228] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +67 0 obj +<< +/K [229] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +68 0 obj +<< +/K [230] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +69 0 obj +<< +/K [231] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +70 0 obj +<< +/K [232] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +71 0 obj +<< +/K [233] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +72 0 obj +<< +/K [234] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +73 0 obj +<< +/K [235] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +74 0 obj +<< +/K [236] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +75 0 obj +<< +/K [237] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +76 0 obj +<< +/K [238] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +77 0 obj +<< +/K [239] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +78 0 obj +<< +/K [240] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +79 0 obj +<< +/K [241] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +80 0 obj +<< +/K [1461 0 R] +/P 10 0 R +/Pg 13 0 R +/S /Table +/Type /StructElem +>> +endobj +81 0 obj +<< +/K [242] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +82 0 obj +<< +/K [243] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +83 0 obj +<< +/Alt () +/K [554] +/P 10 0 R +/Pg 13 0 R +/S /Figure +/Type /StructElem +>> +endobj +84 0 obj +<< +/K [244] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +85 0 obj +<< +/K [245] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +86 0 obj +<< +/K [246] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +87 0 obj +<< +/K [606 0 R] +/P 10 0 R +/Pg 13 0 R +/S /Textbox +/Type /StructElem +>> +endobj +88 0 obj +<< +/K [247] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +89 0 obj +<< +/K [248] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +90 0 obj +<< +/K [249] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +91 0 obj +<< +/K [250] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +92 0 obj +<< +/K [251] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +93 0 obj +<< +/K [252] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +94 0 obj +<< +/K [253] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +95 0 obj +<< +/K [254] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +96 0 obj +<< +/K [255] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +97 0 obj +<< +/K [256] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +98 0 obj +<< +/K [257] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +99 0 obj +<< +/K [258] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +100 0 obj +<< +/K [259] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +101 0 obj +<< +/K [260] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +102 0 obj +<< +/K [605 0 R] +/P 10 0 R +/Pg 13 0 R +/S /Textbox +/Type /StructElem +>> +endobj +103 0 obj +<< +/K [261] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +104 0 obj +<< +/K [262] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +105 0 obj +<< +/Alt () +/K [0] +/P 10 0 R +/Pg 13 0 R +/S /Figure +/Type /StructElem +>> +endobj +106 0 obj +<< +/K [263] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +107 0 obj +<< +/K [264] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +108 0 obj +<< +/K [265] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +109 0 obj +<< +/K [266] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +110 0 obj +<< +/K [1462 0 R 1463 0 R 1464 0 R] +/P 10 0 R +/Pg 13 0 R +/S /L +/Type /StructElem +>> +endobj +111 0 obj +<< +/K [301] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +112 0 obj +<< +/K [302] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +113 0 obj +<< +/K [303] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +114 0 obj +<< +/K [304] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +115 0 obj +<< +/K [1465 0 R 1466 0 R 1467 0 R 1468 0 R 1469 0 R 1470 0 R 1471 0 R 1472 0 R 1473 0 R 1474 0 R +1475 0 R 1476 0 R 1477 0 R 1478 0 R 1479 0 R 1480 0 R 1481 0 R 1482 0 R] +/P 10 0 R +/Pg 13 0 R +/S /Table +/Type /StructElem +>> +endobj +116 0 obj +<< +/K [305] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +117 0 obj +<< +/K [306 307 308 309 310 311 312 313 314 315 +316 317 318 319 320 321 322 323 324 325 +326 327 328 329 330 331 332 333 334 335] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +118 0 obj +<< +/K [336] +/P 10 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +119 0 obj +<< +/K [1] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +120 0 obj +<< +/K [2] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +121 0 obj +<< +/K [3] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +122 0 obj +<< +/K [4] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +123 0 obj +<< +/K [5] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +124 0 obj +<< +/K [6] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +125 0 obj +<< +/K [7] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +126 0 obj +<< +/K [8] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +127 0 obj +<< +/K [9] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +128 0 obj +<< +/K [10] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +129 0 obj +<< +/K [11] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +130 0 obj +<< +/K [12] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +131 0 obj +<< +/K [13] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +132 0 obj +<< +/K [14] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +133 0 obj +<< +/K [15] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +134 0 obj +<< +/K [16] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +135 0 obj +<< +/K [17] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +136 0 obj +<< +/K [18] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +137 0 obj +<< +/K [19] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +138 0 obj +<< +/K [20] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +139 0 obj +<< +/K [21] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +140 0 obj +<< +/K [22] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +141 0 obj +<< +/K [23] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +142 0 obj +<< +/K [24] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +143 0 obj +<< +/K [25] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +144 0 obj +<< +/K [26] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +145 0 obj +<< +/K [27] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +146 0 obj +<< +/K [28] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +147 0 obj +<< +/K [29] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +148 0 obj +<< +/K [30] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +149 0 obj +<< +/K [31] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +150 0 obj +<< +/K [32] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +151 0 obj +<< +/K [33] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +152 0 obj +<< +/K [34] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +153 0 obj +<< +/K [35] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +154 0 obj +<< +/K [36] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +155 0 obj +<< +/K [37] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +156 0 obj +<< +/K [38] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +157 0 obj +<< +/K [39] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +158 0 obj +<< +/K [40] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +159 0 obj +<< +/K [41] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +160 0 obj +<< +/K [42] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +161 0 obj +<< +/K [43] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +162 0 obj +<< +/K [44] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +163 0 obj +<< +/K [45] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +164 0 obj +<< +/K [46] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +165 0 obj +<< +/K [47] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +166 0 obj +<< +/K [48] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +167 0 obj +<< +/K [49] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +168 0 obj +<< +/K [50] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +169 0 obj +<< +/K [51] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +170 0 obj +<< +/K [52] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +171 0 obj +<< +/K [53] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +172 0 obj +<< +/K [54] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +173 0 obj +<< +/K [55] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +174 0 obj +<< +/K [56] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +175 0 obj +<< +/K [57] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +176 0 obj +<< +/K [58] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +177 0 obj +<< +/K [59] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +178 0 obj +<< +/K [60] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +179 0 obj +<< +/K [61] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +180 0 obj +<< +/K [62] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +181 0 obj +<< +/K [63] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +182 0 obj +<< +/K [64] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +183 0 obj +<< +/K [65] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +184 0 obj +<< +/K [66] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +185 0 obj +<< +/K [67] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +186 0 obj +<< +/K [68 69 70 71 72 73 74] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +187 0 obj +<< +/K [1483 0 R 1484 0 R 1485 0 R 1486 0 R 1487 0 R 1488 0 R 1489 0 R] +/P 10 0 R +/Pg 14 0 R +/S /Table +/Type /StructElem +>> +endobj +188 0 obj +<< +/K [163] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +189 0 obj +<< +/K [164 165 166 167 168 169 170 171 172 173 +174 175 176 177 178 179 180 181 182 183 +184 185] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +190 0 obj +<< +/K [186 187 188 189 190 191 192 193 194 195 +196 197] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +191 0 obj +<< +/K [198] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +192 0 obj +<< +/K [199 200 201 202 203 204 205 206 207 208 +209 210 211 212 213 214 215 216 217 218 +219 220 221 222 223 224] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +193 0 obj +<< +/K [225 226 227] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +194 0 obj +<< +/K [228 229 230 231 232 233 234 235 236 237 +238 239 240 241 242 243 244 245 246 247] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +195 0 obj +<< +/K [248] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +196 0 obj +<< +/K [249] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +197 0 obj +<< +/K [250 251 252 253 254 255] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +198 0 obj +<< +/K [256 257 258 259 260] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +199 0 obj +<< +/K [1490 0 R 1491 0 R 1492 0 R] +/P 10 0 R +/Pg 14 0 R +/S /Table +/Type /StructElem +>> +endobj +200 0 obj +<< +/K [300 301 302 303] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +201 0 obj +<< +/K [304] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +202 0 obj +<< +/K [305 306 307 308 309] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +203 0 obj +<< +/K [310 311 312] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +204 0 obj +<< +/K [313] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +205 0 obj +<< +/K [314 315 316 317] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +206 0 obj +<< +/Alt () +/K [0] +/P 10 0 R +/Pg 14 0 R +/S /Figure +/Type /StructElem +>> +endobj +207 0 obj +<< +/K [318] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +208 0 obj +<< +/K [319] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +209 0 obj +<< +/K [320] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +210 0 obj +<< +/K [321] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +211 0 obj +<< +/K [322] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +212 0 obj +<< +/K [323 324 325 326] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +213 0 obj +<< +/K [327] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +214 0 obj +<< +/K [328] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +215 0 obj +<< +/K [329] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +216 0 obj +<< +/K [330] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +217 0 obj +<< +/K [331 332 333 334 335 336 337 338] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +218 0 obj +<< +/K [339 340 341 342 343 344 345 346 347] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +219 0 obj +<< +/K [348] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +220 0 obj +<< +/K [349] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +221 0 obj +<< +/K [350] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +222 0 obj +<< +/K [351] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +223 0 obj +<< +/K [352] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +224 0 obj +<< +/K [353] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +225 0 obj +<< +/K [354] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +226 0 obj +<< +/K [355] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +227 0 obj +<< +/K [356] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +228 0 obj +<< +/K [357] +/P 10 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +229 0 obj +<< +/K [0] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +230 0 obj +<< +/K [1] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +231 0 obj +<< +/K [2] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +232 0 obj +<< +/K [3] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +233 0 obj +<< +/K [4] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +234 0 obj +<< +/K [5] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +235 0 obj +<< +/K [6] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +236 0 obj +<< +/K [7] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +237 0 obj +<< +/K [8] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +238 0 obj +<< +/K [9] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +239 0 obj +<< +/K [10] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +240 0 obj +<< +/K [11] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +241 0 obj +<< +/K [12] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +242 0 obj +<< +/K [13] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +243 0 obj +<< +/K [14] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +244 0 obj +<< +/K [15] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +245 0 obj +<< +/K [16] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +246 0 obj +<< +/K [17] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +247 0 obj +<< +/K [18] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +248 0 obj +<< +/K [19] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +249 0 obj +<< +/K [20] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +250 0 obj +<< +/K [21] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +251 0 obj +<< +/K [22] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +252 0 obj +<< +/K [23] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +253 0 obj +<< +/K [24] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +254 0 obj +<< +/K [25] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +255 0 obj +<< +/K [26] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +256 0 obj +<< +/K [27] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +257 0 obj +<< +/K [28] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +258 0 obj +<< +/K [29] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +259 0 obj +<< +/K [30] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +260 0 obj +<< +/K [31] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +261 0 obj +<< +/K [32] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +262 0 obj +<< +/K [33] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +263 0 obj +<< +/K [34] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +264 0 obj +<< +/K [35] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +265 0 obj +<< +/K [36] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +266 0 obj +<< +/K [37] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +267 0 obj +<< +/K [38] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +268 0 obj +<< +/K [39] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +269 0 obj +<< +/K [40] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +270 0 obj +<< +/K [41] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +271 0 obj +<< +/K [42] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +272 0 obj +<< +/K [43] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +273 0 obj +<< +/K [44] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +274 0 obj +<< +/K [45] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +275 0 obj +<< +/K [46] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +276 0 obj +<< +/K [47] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +277 0 obj +<< +/K [48] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +278 0 obj +<< +/K [49] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +279 0 obj +<< +/K [50] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +280 0 obj +<< +/K [51] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +281 0 obj +<< +/K [52] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +282 0 obj +<< +/K [53] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +283 0 obj +<< +/K [54] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +284 0 obj +<< +/K [55] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +285 0 obj +<< +/K [56] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +286 0 obj +<< +/K [57] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +287 0 obj +<< +/K [58] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +288 0 obj +<< +/K [59] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +289 0 obj +<< +/K [60] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +290 0 obj +<< +/K [61] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +291 0 obj +<< +/K [62] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +292 0 obj +<< +/K [63] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +293 0 obj +<< +/K [64] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +294 0 obj +<< +/K [65] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +295 0 obj +<< +/K [66 67 68 69 70 71 72 73 74 75 +76] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +296 0 obj +<< +/K [77] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +297 0 obj +<< +/K [78 79 80 81 82 83 84 85 86 87 +88 89 90 91 92 93 94 95 96 97 +98 99 100] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +298 0 obj +<< +/K [1493 0 R 1494 0 R 1495 0 R 1496 0 R 1497 0 R] +/P 10 0 R +/Pg 15 0 R +/S /Table +/Type /StructElem +>> +endobj +299 0 obj +<< +/K [167] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +300 0 obj +<< +/K [168 169 170 171 172 173 174 175 176 177 +178 179] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +301 0 obj +<< +/K [1498 0 R 1499 0 R 1500 0 R 1501 0 R 1502 0 R 1503 0 R 1504 0 R] +/P 10 0 R +/Pg 15 0 R +/S /Table +/Type /StructElem +>> +endobj +302 0 obj +<< +/K [260] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +303 0 obj +<< +/K [261 262 263 264 265 266 267 268 269 270 +271 272 273 274 275 276] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +304 0 obj +<< +/K [1505 0 R 1506 0 R 1507 0 R 1508 0 R 1509 0 R 1510 0 R 1511 0 R 1512 0 R] +/P 10 0 R +/Pg 15 0 R +/S /Table +/Type /StructElem +>> +endobj +305 0 obj +<< +/K [376 377 378 379 380 381 382 383 384 385 +386 387 388 389 390 391 392] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +306 0 obj +<< +/K [393] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +307 0 obj +<< +/K [394 395 396 397 398 399 400 401 402 403 +404 405 406 407 408 409 410 411] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +308 0 obj +<< +/K [412] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +309 0 obj +<< +/K [1513 0 R 1514 0 R 1515 0 R 1516 0 R 1517 0 R 1518 0 R 1519 0 R 1520 0 R] +/P 10 0 R +/Pg 15 0 R +/S /Table +/Type /StructElem +>> +endobj +310 0 obj +<< +/K [515 516 517 518 519 520 521 522 523 524 +525 526 527 528 529 530 531] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +311 0 obj +<< +/K [532] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +312 0 obj +<< +/K [533] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +313 0 obj +<< +/K [534] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +314 0 obj +<< +/K [535] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +315 0 obj +<< +/K [536] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +316 0 obj +<< +/K [537] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +317 0 obj +<< +/K [538] +/P 10 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +318 0 obj +<< +/K [0] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +319 0 obj +<< +/K [1] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +320 0 obj +<< +/K [2] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +321 0 obj +<< +/K [3] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +322 0 obj +<< +/K [4] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +323 0 obj +<< +/K [5] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +324 0 obj +<< +/K [6] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +325 0 obj +<< +/K [7] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +326 0 obj +<< +/K [8] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +327 0 obj +<< +/K [9] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +328 0 obj +<< +/K [10] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +329 0 obj +<< +/K [11] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +330 0 obj +<< +/K [12] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +331 0 obj +<< +/K [13] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +332 0 obj +<< +/K [14] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +333 0 obj +<< +/K [15] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +334 0 obj +<< +/K [16] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +335 0 obj +<< +/K [17] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +336 0 obj +<< +/K [18] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +337 0 obj +<< +/K [19] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +338 0 obj +<< +/K [20] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +339 0 obj +<< +/K [21] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +340 0 obj +<< +/K [22] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +341 0 obj +<< +/K [23] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +342 0 obj +<< +/K [24] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +343 0 obj +<< +/K [25] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +344 0 obj +<< +/K [26] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +345 0 obj +<< +/K [27] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +346 0 obj +<< +/K [28] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +347 0 obj +<< +/K [29] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +348 0 obj +<< +/K [30] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +349 0 obj +<< +/K [31] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +350 0 obj +<< +/K [32] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +351 0 obj +<< +/K [33] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +352 0 obj +<< +/K [34] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +353 0 obj +<< +/K [35] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +354 0 obj +<< +/K [36] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +355 0 obj +<< +/K [37] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +356 0 obj +<< +/K [38] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +357 0 obj +<< +/K [39] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +358 0 obj +<< +/K [40] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +359 0 obj +<< +/K [41] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +360 0 obj +<< +/K [42] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +361 0 obj +<< +/K [43] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +362 0 obj +<< +/K [44] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +363 0 obj +<< +/K [45] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +364 0 obj +<< +/K [46] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +365 0 obj +<< +/K [47] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +366 0 obj +<< +/K [48] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +367 0 obj +<< +/K [49] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +368 0 obj +<< +/K [50] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +369 0 obj +<< +/K [51] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +370 0 obj +<< +/K [52] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +371 0 obj +<< +/K [53] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +372 0 obj +<< +/K [54] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +373 0 obj +<< +/K [55] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +374 0 obj +<< +/K [56] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +375 0 obj +<< +/K [57] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +376 0 obj +<< +/K [58] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +377 0 obj +<< +/K [59] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +378 0 obj +<< +/K [60] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +379 0 obj +<< +/K [61] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +380 0 obj +<< +/K [62] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +381 0 obj +<< +/K [63] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +382 0 obj +<< +/K [64] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +383 0 obj +<< +/K [65] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +384 0 obj +<< +/K [66 67 68 69 70 71 72 73 74 75 +76 77 78 79 80 81 82 83] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +385 0 obj +<< +/K [1521 0 R 1522 0 R 1523 0 R 1524 0 R 1525 0 R 1526 0 R 1527 0 R 1528 0 R] +/P 10 0 R +/Pg 16 0 R +/S /Table +/Type /StructElem +>> +endobj +386 0 obj +<< +/K [183] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +387 0 obj +<< +/K [184 185 186 187 188 189 190 191 192 193 +194 195 196 197 198 199 200] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +388 0 obj +<< +/K [201] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +389 0 obj +<< +/K [202 203 204 205 206 207 208 209 210 211 +212 213] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +390 0 obj +<< +/K [1529 0 R 1530 0 R 1531 0 R 1532 0 R 1533 0 R 1534 0 R 1535 0 R 1536 0 R 1537 0 R 1538 0 R +1539 0 R 1540 0 R 1541 0 R 1542 0 R] +/P 10 0 R +/Pg 16 0 R +/S /Table +/Type /StructElem +>> +endobj +391 0 obj +<< +/K [403 404 405 406 407 408 409 410 411 412 +413 414 415 416 417 418 419] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +392 0 obj +<< +/K [420] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +393 0 obj +<< +/K [421] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +394 0 obj +<< +/K [422] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +395 0 obj +<< +/K [423] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +396 0 obj +<< +/K [424] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +397 0 obj +<< +/K [425] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +398 0 obj +<< +/K [426] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +399 0 obj +<< +/K [427] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +400 0 obj +<< +/K [428] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +401 0 obj +<< +/K [429] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +402 0 obj +<< +/K [430] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +403 0 obj +<< +/K [431] +/P 10 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +404 0 obj +<< +/K [0] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +405 0 obj +<< +/K [1] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +406 0 obj +<< +/K [2] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +407 0 obj +<< +/K [3] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +408 0 obj +<< +/K [4] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +409 0 obj +<< +/K [5] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +410 0 obj +<< +/K [6] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +411 0 obj +<< +/K [7] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +412 0 obj +<< +/K [8] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +413 0 obj +<< +/K [9] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +414 0 obj +<< +/K [10] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +415 0 obj +<< +/K [11] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +416 0 obj +<< +/K [12] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +417 0 obj +<< +/K [13] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +418 0 obj +<< +/K [14] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +419 0 obj +<< +/K [15] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +420 0 obj +<< +/K [16] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +421 0 obj +<< +/K [17] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +422 0 obj +<< +/K [18] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +423 0 obj +<< +/K [19] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +424 0 obj +<< +/K [20] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +425 0 obj +<< +/K [21] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +426 0 obj +<< +/K [22] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +427 0 obj +<< +/K [23] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +428 0 obj +<< +/K [24] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +429 0 obj +<< +/K [25] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +430 0 obj +<< +/K [26] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +431 0 obj +<< +/K [27] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +432 0 obj +<< +/K [28] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +433 0 obj +<< +/K [29] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +434 0 obj +<< +/K [30] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +435 0 obj +<< +/K [31] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +436 0 obj +<< +/K [32] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +437 0 obj +<< +/K [33] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +438 0 obj +<< +/K [34] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +439 0 obj +<< +/K [35] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +440 0 obj +<< +/K [36] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +441 0 obj +<< +/K [37] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +442 0 obj +<< +/K [38] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +443 0 obj +<< +/K [39] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +444 0 obj +<< +/K [40] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +445 0 obj +<< +/K [41] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +446 0 obj +<< +/K [42] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +447 0 obj +<< +/K [43] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +448 0 obj +<< +/K [44] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +449 0 obj +<< +/K [45] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +450 0 obj +<< +/K [46] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +451 0 obj +<< +/K [47] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +452 0 obj +<< +/K [48] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +453 0 obj +<< +/K [49] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +454 0 obj +<< +/K [50] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +455 0 obj +<< +/K [51] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +456 0 obj +<< +/K [52] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +457 0 obj +<< +/K [53] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +458 0 obj +<< +/K [54] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +459 0 obj +<< +/K [55] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +460 0 obj +<< +/K [56] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +461 0 obj +<< +/K [57] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +462 0 obj +<< +/K [58] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +463 0 obj +<< +/K [59] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +464 0 obj +<< +/K [60] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +465 0 obj +<< +/K [61] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +466 0 obj +<< +/K [62] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +467 0 obj +<< +/K [63] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +468 0 obj +<< +/K [64] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +469 0 obj +<< +/K [65] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +470 0 obj +<< +/K [66 67 68 69 70] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +471 0 obj +<< +/K [71] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +472 0 obj +<< +/Alt () +/K [1040 0 R 1043 0 R 1042 0 R 1041 0 R] +/P 10 0 R +/Pg 17 0 R +/S /Figure +/Type /StructElem +>> +endobj +473 0 obj +<< +/K [72] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +474 0 obj +<< +/K [73] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +475 0 obj +<< +/K [74] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +476 0 obj +<< +/K [75] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +477 0 obj +<< +/K [76] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +478 0 obj +<< +/K [77] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +479 0 obj +<< +/K [78] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +480 0 obj +<< +/K [79] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +481 0 obj +<< +/K [80] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +482 0 obj +<< +/K [81] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +483 0 obj +<< +/K [82] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +484 0 obj +<< +/K [83] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +485 0 obj +<< +/K [84] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +486 0 obj +<< +/K [85] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +487 0 obj +<< +/K [86] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +488 0 obj +<< +/K [87 88 89 90 91 92 93 94] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +489 0 obj +<< +/K [95] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +490 0 obj +<< +/K [96 97 98] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +491 0 obj +<< +/K [99 100 101 102 103 104 105 106 107 108 +109 110 111 112 113 114 115 116 117 118 +119 120 121 122 123 124 125 126 127 128 +129] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +492 0 obj +<< +/K [130 131 132 133 134 135 136 137 138 139 +140 141 142 143 144 145 146 147 148 149 +150 151 152 153 154 155 156 157] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +493 0 obj +<< +/K [158] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +494 0 obj +<< +/K [159 160 161 162 163 164 165] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +495 0 obj +<< +/K [166 167 168 169 170 171 172 173 174 175 +176 177 178 179 180 181 182 183 184 185 +186 187 188] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +496 0 obj +<< +/K [189 190 191 192 193 194 195 196 197 198 +199 200 201 202 203 204 205 206 207] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +497 0 obj +<< +/K [208 209 210 211 212 213 214 215 216 217 +218 219 220 221 222 223] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +498 0 obj +<< +/K [224 225 226 227 228 229 230 231 232 233 +234 235 236 237] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +499 0 obj +<< +/K [238 239 240 241 242 243 244 245 246 247 +248 249 250 251 252 253 254 255 256 257] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +500 0 obj +<< +/K [258 259 260 261 262 263 264 265 266 267 +268 269 270 271 272 273 274 275 276 277 +278 279 280 281] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +501 0 obj +<< +/K [282 283 284 285 286 287 288 289 290 291 +292 293] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +502 0 obj +<< +/K [294 295 296 297 298 299 300 301 302 303 +304 305 306 307 308 309] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +503 0 obj +<< +/K [310 311 312 313 314 315 316 317 318 319 +320 321 322 323 324 325 326 327 328 329] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +504 0 obj +<< +/K [330 331 332 333 334 335 336 337 338 339 +340 341 342 343 344 345 346 347 348 349 +350 351 352 353 354] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +505 0 obj +<< +/K [355 356 357 358 359 360 361 362 363 364 +365 366 367 368 369 370 371 372 373 374 +375] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +506 0 obj +<< +/K [376 377 378 379 380 381 382 383 384 385 +386 387 388 389 390 391 392] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +507 0 obj +<< +/K [393 394 395 396 397 398 399 400 401 402 +403 404 405 406 407] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +508 0 obj +<< +/K [408] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +509 0 obj +<< +/K [409] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +510 0 obj +<< +/K [410] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +511 0 obj +<< +/K [411] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +512 0 obj +<< +/K [412] +/P 10 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +513 0 obj +<< +/K [267 268 269 270 271 272 273 274] +/P 1462 0 R +/Pg 13 0 R +/S /LBody +/Type /StructElem +>> +endobj +514 0 obj +<< +/K [275 276 277 278 279 280 281 282 283 284 +285 286] +/P 1463 0 R +/Pg 13 0 R +/S /LBody +/Type /StructElem +>> +endobj +515 0 obj +<< +/K [287 288 289 290 291 292 293 294 295 296 +297 298 299 300] +/P 1464 0 R +/Pg 13 0 R +/S /LBody +/Type /StructElem +>> +endobj +516 0 obj +<< +/K [337 338 339 340 341 342 343 344 345 346 +347 348 349 350 351 352 353 354 355 356 +357 358 359 360 361 362 363 364 365 366 +367 368 369 370 371 372 373 374 375 376 +377 378 379 380 381 382 383 384 385 386 +387] +/P 1543 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +517 0 obj +<< +/K [388] +/P 1543 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +518 0 obj +<< +/K 389 +/P 1461 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +519 0 obj +<< +/K 390 +/P 1544 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +520 0 obj +<< +/K [391 392 393 394 395] +/P 1544 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +521 0 obj +<< +/K 396 +/P 1545 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +522 0 obj +<< +/K [397 398 399 400 401] +/P 1545 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +523 0 obj +<< +/K 402 +/P 1546 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +524 0 obj +<< +/K [403 404 405 406 407] +/P 1546 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +525 0 obj +<< +/K 408 +/P 1465 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +526 0 obj +<< +/K [409 410] +/P 1547 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +527 0 obj +<< +/K [411] +/P 1547 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +528 0 obj +<< +/K [412 413] +/P 1548 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +529 0 obj +<< +/K [414] +/P 1549 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +530 0 obj +<< +/K [415] +/P 1550 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +531 0 obj +<< +/K 416 +/P 1466 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +532 0 obj +<< +/K [417 418] +/P 1551 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +533 0 obj +<< +/K [419] +/P 1552 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +534 0 obj +<< +/K [420 421 422] +/P 1553 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +535 0 obj +<< +/K 423 +/P 1467 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +536 0 obj +<< +/K [424 425] +/P 1554 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +537 0 obj +<< +/K [426 427] +/P 1555 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +538 0 obj +<< +/K [428 429 430 431 432] +/P 1556 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +539 0 obj +<< +/K [433 434 435 436 437 438] +/P 1557 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +540 0 obj +<< +/K 439 +/P 1468 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +541 0 obj +<< +/K [440 441] +/P 1558 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +542 0 obj +<< +/K [442 443 444 445 446] +/P 1559 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +543 0 obj +<< +/K [447 448 449 450] +/P 1560 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +544 0 obj +<< +/K 451 +/P 1469 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +545 0 obj +<< +/K [452 453] +/P 1561 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +546 0 obj +<< +/K [454 455 456] +/P 1562 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +547 0 obj +<< +/K [457] +/P 1563 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +548 0 obj +<< +/K 458 +/P 1470 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +549 0 obj +<< +/K [459 460 461] +/P 1564 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +550 0 obj +<< +/K [462 463 464] +/P 1564 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +551 0 obj +<< +/K [465 466] +/P 1564 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +552 0 obj +<< +/K [467 468] +/P 1565 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +553 0 obj +<< +/K [469] +/P 1566 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +554 0 obj +<< +/K [470] +/P 1567 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +555 0 obj +<< +/K 471 +/P 1471 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +556 0 obj +<< +/K [472 473] +/P 1568 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +557 0 obj +<< +/K [474] +/P 1569 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +558 0 obj +<< +/K [475 476] +/P 1570 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +559 0 obj +<< +/K 477 +/P 1472 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +560 0 obj +<< +/K [478 479] +/P 1571 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +561 0 obj +<< +/K [480] +/P 1572 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +562 0 obj +<< +/K [481] +/P 1573 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +563 0 obj +<< +/K 482 +/P 1473 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +564 0 obj +<< +/K [483 484] +/P 1574 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +565 0 obj +<< +/K [485] +/P 1575 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +566 0 obj +<< +/K [486] +/P 1576 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +567 0 obj +<< +/K 487 +/P 1474 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +568 0 obj +<< +/K [488 489] +/P 1577 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +569 0 obj +<< +/K [490] +/P 1578 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +570 0 obj +<< +/K [491 492] +/P 1579 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +571 0 obj +<< +/K 493 +/P 1475 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +572 0 obj +<< +/K [494 495] +/P 1580 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +573 0 obj +<< +/K [496 497] +/P 1581 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +574 0 obj +<< +/K [498] +/P 1582 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +575 0 obj +<< +/K [499 500 501 502] +/P 1583 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +576 0 obj +<< +/K 503 +/P 1476 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +577 0 obj +<< +/K [504 505 506] +/P 1584 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +578 0 obj +<< +/K [507 508 509 510] +/P 1585 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +579 0 obj +<< +/K [511] +/P 1586 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +580 0 obj +<< +/K 512 +/P 1477 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +581 0 obj +<< +/K [513 514 515] +/P 1587 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +582 0 obj +<< +/K [516 517] +/P 1587 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +583 0 obj +<< +/K [518 519 520 521] +/P 1588 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +584 0 obj +<< +/K [522] +/P 1589 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +585 0 obj +<< +/K [523] +/P 1590 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +586 0 obj +<< +/K 524 +/P 1478 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +587 0 obj +<< +/K [525 526 527 528] +/P 1591 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +588 0 obj +<< +/K [529] +/P 1592 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +589 0 obj +<< +/K [530] +/P 1593 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +590 0 obj +<< +/K 531 +/P 1479 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +591 0 obj +<< +/K [532 533] +/P 1594 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +592 0 obj +<< +/K [534 535] +/P 1594 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +593 0 obj +<< +/K [536] +/P 1595 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +594 0 obj +<< +/K [537] +/P 1596 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +595 0 obj +<< +/K [538 539 540 541] +/P 1597 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +596 0 obj +<< +/K 542 +/P 1480 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +597 0 obj +<< +/K [543] +/P 1598 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +598 0 obj +<< +/K [544] +/P 1599 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +599 0 obj +<< +/K [545 546 547 548] +/P 1600 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +600 0 obj +<< +/K 549 +/P 1481 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +601 0 obj +<< +/K [550] +/P 1601 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +602 0 obj +<< +/K [551] +/P 1602 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +603 0 obj +<< +/K [552] +/P 1603 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +604 0 obj +<< +/K 553 +/P 1482 0 R +/Pg 13 0 R +/S /Span +/Type /StructElem +>> +endobj +605 0 obj +<< +/K [555] +/P 102 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +606 0 obj +<< +/K [556] +/P 87 0 R +/Pg 13 0 R +/S /P +/Type /StructElem +>> +endobj +607 0 obj +<< +/K 75 +/P 1604 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +608 0 obj +<< +/K [76 77 78] +/P 1604 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +609 0 obj +<< +/K 79 +/P 1605 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +610 0 obj +<< +/K [80 81 82 83 84] +/P 1605 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +611 0 obj +<< +/K 85 +/P 1606 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +612 0 obj +<< +/K [86 87 88 89 90] +/P 1606 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +613 0 obj +<< +/K 91 +/P 1607 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +614 0 obj +<< +/K [92 93 94 95] +/P 1607 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +615 0 obj +<< +/K 96 +/P 1483 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +616 0 obj +<< +/K [97] +/P 1608 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +617 0 obj +<< +/K [98] +/P 1609 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +618 0 obj +<< +/K [99] +/P 1610 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +619 0 obj +<< +/K [100] +/P 1611 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +620 0 obj +<< +/K [101 102 103] +/P 1612 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +621 0 obj +<< +/K 104 +/P 1484 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +622 0 obj +<< +/K [105] +/P 1613 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +623 0 obj +<< +/K [106] +/P 1614 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +624 0 obj +<< +/K [107] +/P 1615 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +625 0 obj +<< +/K [108] +/P 1616 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +626 0 obj +<< +/K [109 110 111] +/P 1617 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +627 0 obj +<< +/K 112 +/P 1485 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +628 0 obj +<< +/K [113] +/P 1618 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +629 0 obj +<< +/K [114] +/P 1619 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +630 0 obj +<< +/K [115] +/P 1620 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +631 0 obj +<< +/K [116 117 118 119 120] +/P 1621 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +632 0 obj +<< +/K [121 122 123] +/P 1622 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +633 0 obj +<< +/K 124 +/P 1486 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +634 0 obj +<< +/K [125] +/P 1623 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +635 0 obj +<< +/K [126] +/P 1624 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +636 0 obj +<< +/K [127] +/P 1625 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +637 0 obj +<< +/K [128 129 130 131] +/P 1626 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +638 0 obj +<< +/K [132 133 134 135 136 137 138 139 140 141] +/P 1627 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +639 0 obj +<< +/K 142 +/P 1487 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +640 0 obj +<< +/K [143] +/P 1628 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +641 0 obj +<< +/K [144] +/P 1629 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +642 0 obj +<< +/K [145 146 147] +/P 1630 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +643 0 obj +<< +/K [148] +/P 1631 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +644 0 obj +<< +/K [149 150 151] +/P 1632 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +645 0 obj +<< +/K 152 +/P 1488 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +646 0 obj +<< +/K [153] +/P 1633 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +647 0 obj +<< +/K [154] +/P 1634 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +648 0 obj +<< +/K [155 156 157] +/P 1635 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +649 0 obj +<< +/K [158] +/P 1636 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +650 0 obj +<< +/K [159 160 161] +/P 1637 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +651 0 obj +<< +/K 162 +/P 1489 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +652 0 obj +<< +/K 261 +/P 1638 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +653 0 obj +<< +/K [262 263 264] +/P 1638 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +654 0 obj +<< +/K 265 +/P 1639 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +655 0 obj +<< +/K [266 267 268 269 270] +/P 1639 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +656 0 obj +<< +/K 271 +/P 1640 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +657 0 obj +<< +/K [272 273 274 275 276] +/P 1640 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +658 0 obj +<< +/K 277 +/P 1490 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +659 0 obj +<< +/K [278] +/P 1641 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +660 0 obj +<< +/K [279] +/P 1642 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +661 0 obj +<< +/K [280 281 282 283 284 285 286 287] +/P 1643 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +662 0 obj +<< +/K 288 +/P 1491 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +663 0 obj +<< +/K [289] +/P 1644 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +664 0 obj +<< +/K [290] +/P 1645 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +665 0 obj +<< +/K [291 292 293 294 295 296 297 298] +/P 1646 0 R +/Pg 14 0 R +/S /P +/Type /StructElem +>> +endobj +666 0 obj +<< +/K 299 +/P 1492 0 R +/Pg 14 0 R +/S /Span +/Type /StructElem +>> +endobj +667 0 obj +<< +/K 101 +/P 1647 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +668 0 obj +<< +/K [102 103 104] +/P 1647 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +669 0 obj +<< +/K 105 +/P 1648 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +670 0 obj +<< +/K [106 107 108 109 110] +/P 1648 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +671 0 obj +<< +/K 111 +/P 1649 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +672 0 obj +<< +/K [112 113 114 115 116] +/P 1649 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +673 0 obj +<< +/K 117 +/P 1493 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +674 0 obj +<< +/K [118] +/P 1650 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +675 0 obj +<< +/K [119 120 121 122 123 124 125] +/P 1651 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +676 0 obj +<< +/K [126] +/P 1652 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +677 0 obj +<< +/K 127 +/P 1494 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +678 0 obj +<< +/K [128] +/P 1653 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +679 0 obj +<< +/K [129 130 131 132 133 134 135 136] +/P 1654 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +680 0 obj +<< +/K [137] +/P 1655 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +681 0 obj +<< +/K 138 +/P 1495 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +682 0 obj +<< +/K [139] +/P 1656 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +683 0 obj +<< +/K [140 141 142 143 144 145 146 147 148 149 +150] +/P 1657 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +684 0 obj +<< +/K [151] +/P 1658 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +685 0 obj +<< +/K 152 +/P 1496 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +686 0 obj +<< +/K [153] +/P 1659 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +687 0 obj +<< +/K [154 155 156 157 158 159 160 161 162 163 +164] +/P 1660 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +688 0 obj +<< +/K [165] +/P 1661 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +689 0 obj +<< +/K 166 +/P 1497 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +690 0 obj +<< +/K 180 +/P 1662 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +691 0 obj +<< +/K [181 182 183] +/P 1662 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +692 0 obj +<< +/K 184 +/P 1663 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +693 0 obj +<< +/K [185 186 187] +/P 1663 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +694 0 obj +<< +/K 188 +/P 1664 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +695 0 obj +<< +/K [189 190 191] +/P 1664 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +696 0 obj +<< +/K 192 +/P 1665 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +697 0 obj +<< +/K [193 194 195] +/P 1665 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +698 0 obj +<< +/K 196 +/P 1666 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +699 0 obj +<< +/K [197 198 199] +/P 1666 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +700 0 obj +<< +/K 200 +/P 1667 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +701 0 obj +<< +/K [201 202 203] +/P 1667 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +702 0 obj +<< +/K 204 +/P 1498 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +703 0 obj +<< +/K [205] +/P 1668 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +704 0 obj +<< +/K [206] +/P 1669 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +705 0 obj +<< +/K [207] +/P 1670 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +706 0 obj +<< +/K [208] +/P 1671 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +707 0 obj +<< +/K [209] +/P 1672 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +708 0 obj +<< +/K [210 211] +/P 1673 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +709 0 obj +<< +/K 212 +/P 1499 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +710 0 obj +<< +/K [213] +/P 1674 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +711 0 obj +<< +/K [214] +/P 1675 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +712 0 obj +<< +/K [215] +/P 1676 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +713 0 obj +<< +/K [216] +/P 1677 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +714 0 obj +<< +/K [217] +/P 1678 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +715 0 obj +<< +/K [218 219 220 221] +/P 1679 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +716 0 obj +<< +/K 222 +/P 1500 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +717 0 obj +<< +/K [223] +/P 1680 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +718 0 obj +<< +/K [224 225] +/P 1681 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +719 0 obj +<< +/K [226] +/P 1682 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +720 0 obj +<< +/K [227] +/P 1683 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +721 0 obj +<< +/K [228] +/P 1684 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +722 0 obj +<< +/K [229 230] +/P 1685 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +723 0 obj +<< +/K 231 +/P 1501 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +724 0 obj +<< +/K [232] +/P 1686 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +725 0 obj +<< +/K [233] +/P 1687 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +726 0 obj +<< +/K [234] +/P 1688 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +727 0 obj +<< +/K [235] +/P 1689 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +728 0 obj +<< +/K [236 237 238] +/P 1690 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +729 0 obj +<< +/K [239] +/P 1691 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +730 0 obj +<< +/K 240 +/P 1502 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +731 0 obj +<< +/K [241] +/P 1692 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +732 0 obj +<< +/K [242] +/P 1693 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +733 0 obj +<< +/K [243] +/P 1694 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +734 0 obj +<< +/K [244] +/P 1695 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +735 0 obj +<< +/K [245] +/P 1696 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +736 0 obj +<< +/K [246 247 248 249 250] +/P 1697 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +737 0 obj +<< +/K 251 +/P 1503 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +738 0 obj +<< +/K [252] +/P 1698 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +739 0 obj +<< +/K [253] +/P 1699 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +740 0 obj +<< +/K [254] +/P 1700 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +741 0 obj +<< +/K [255] +/P 1701 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +742 0 obj +<< +/K [256] +/P 1702 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +743 0 obj +<< +/K [257 258] +/P 1703 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +744 0 obj +<< +/K 259 +/P 1504 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +745 0 obj +<< +/K 277 +/P 1704 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +746 0 obj +<< +/K [278 279 280] +/P 1704 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +747 0 obj +<< +/K 281 +/P 1705 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +748 0 obj +<< +/K [282 283 284] +/P 1705 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +749 0 obj +<< +/K 285 +/P 1706 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +750 0 obj +<< +/K [286 287 288] +/P 1706 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +751 0 obj +<< +/K 289 +/P 1707 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +752 0 obj +<< +/K [290 291 292] +/P 1707 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +753 0 obj +<< +/K 293 +/P 1708 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +754 0 obj +<< +/K [294 295 296] +/P 1708 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +755 0 obj +<< +/K 297 +/P 1709 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +756 0 obj +<< +/K [298 299 300] +/P 1709 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +757 0 obj +<< +/K 301 +/P 1505 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +758 0 obj +<< +/K [302] +/P 1710 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +759 0 obj +<< +/K [303] +/P 1711 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +760 0 obj +<< +/K [304] +/P 1712 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +761 0 obj +<< +/K [305] +/P 1713 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +762 0 obj +<< +/K [306] +/P 1714 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +763 0 obj +<< +/K [307 308] +/P 1715 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +764 0 obj +<< +/K 309 +/P 1506 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +765 0 obj +<< +/K [310] +/P 1716 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +766 0 obj +<< +/K [311] +/P 1717 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +767 0 obj +<< +/K [312] +/P 1718 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +768 0 obj +<< +/K [313] +/P 1719 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +769 0 obj +<< +/K [314] +/P 1720 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +770 0 obj +<< +/K [315 316 317 318] +/P 1721 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +771 0 obj +<< +/K 319 +/P 1507 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +772 0 obj +<< +/K [320] +/P 1722 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +773 0 obj +<< +/K [321 322 323 324] +/P 1723 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +774 0 obj +<< +/K [325] +/P 1724 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +775 0 obj +<< +/K [326] +/P 1725 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +776 0 obj +<< +/K [327] +/P 1726 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +777 0 obj +<< +/K [328 329] +/P 1727 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +778 0 obj +<< +/K 330 +/P 1508 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +779 0 obj +<< +/K [331] +/P 1728 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +780 0 obj +<< +/K [332] +/P 1729 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +781 0 obj +<< +/K [333] +/P 1730 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +782 0 obj +<< +/K [334] +/P 1731 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +783 0 obj +<< +/K [335 336 337 338 339] +/P 1732 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +784 0 obj +<< +/K [340] +/P 1733 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +785 0 obj +<< +/K 341 +/P 1509 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +786 0 obj +<< +/K [342] +/P 1734 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +787 0 obj +<< +/K [343 344] +/P 1735 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +788 0 obj +<< +/K [345] +/P 1736 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +789 0 obj +<< +/K [346] +/P 1737 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +790 0 obj +<< +/K [347 348 349 350 351] +/P 1738 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +791 0 obj +<< +/K [352 353 354 355] +/P 1739 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +792 0 obj +<< +/K 356 +/P 1510 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +793 0 obj +<< +/K [357] +/P 1740 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +794 0 obj +<< +/K [358] +/P 1741 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +795 0 obj +<< +/K [359] +/P 1742 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +796 0 obj +<< +/K [360] +/P 1743 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +797 0 obj +<< +/K [361] +/P 1744 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +798 0 obj +<< +/K [362 363 364 365 366] +/P 1745 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +799 0 obj +<< +/K 367 +/P 1511 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +800 0 obj +<< +/K [368] +/P 1746 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +801 0 obj +<< +/K [369] +/P 1747 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +802 0 obj +<< +/K [370] +/P 1748 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +803 0 obj +<< +/K [371] +/P 1749 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +804 0 obj +<< +/K [372] +/P 1750 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +805 0 obj +<< +/K [373 374] +/P 1751 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +806 0 obj +<< +/K 375 +/P 1512 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +807 0 obj +<< +/K 413 +/P 1752 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +808 0 obj +<< +/K [414 415 416] +/P 1752 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +809 0 obj +<< +/K 417 +/P 1753 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +810 0 obj +<< +/K [418 419 420] +/P 1753 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +811 0 obj +<< +/K 421 +/P 1754 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +812 0 obj +<< +/K [422 423 424] +/P 1754 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +813 0 obj +<< +/K 425 +/P 1755 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +814 0 obj +<< +/K [426 427 428] +/P 1755 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +815 0 obj +<< +/K 429 +/P 1756 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +816 0 obj +<< +/K [430 431 432] +/P 1756 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +817 0 obj +<< +/K 433 +/P 1757 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +818 0 obj +<< +/K [434 435 436] +/P 1757 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +819 0 obj +<< +/K 437 +/P 1513 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +820 0 obj +<< +/K [438] +/P 1758 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +821 0 obj +<< +/K [439] +/P 1759 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +822 0 obj +<< +/K [440] +/P 1760 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +823 0 obj +<< +/K [441] +/P 1761 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +824 0 obj +<< +/K [442] +/P 1762 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +825 0 obj +<< +/K [443 444] +/P 1763 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +826 0 obj +<< +/K 445 +/P 1514 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +827 0 obj +<< +/K [446] +/P 1764 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +828 0 obj +<< +/K [447] +/P 1765 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +829 0 obj +<< +/K [448] +/P 1766 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +830 0 obj +<< +/K [449] +/P 1767 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +831 0 obj +<< +/K [450] +/P 1768 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +832 0 obj +<< +/K [451 452 453 454] +/P 1769 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +833 0 obj +<< +/K 455 +/P 1515 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +834 0 obj +<< +/K [456] +/P 1770 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +835 0 obj +<< +/K [457 458 459 460] +/P 1771 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +836 0 obj +<< +/K [461] +/P 1772 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +837 0 obj +<< +/K [462] +/P 1773 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +838 0 obj +<< +/K [463] +/P 1774 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +839 0 obj +<< +/K [464 465] +/P 1775 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +840 0 obj +<< +/K 466 +/P 1516 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +841 0 obj +<< +/K [467] +/P 1776 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +842 0 obj +<< +/K [468] +/P 1777 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +843 0 obj +<< +/K [469] +/P 1778 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +844 0 obj +<< +/K [470] +/P 1779 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +845 0 obj +<< +/K [471 472 473 474 475 476 477 478] +/P 1780 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +846 0 obj +<< +/K [479] +/P 1781 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +847 0 obj +<< +/K 480 +/P 1517 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +848 0 obj +<< +/K [481] +/P 1782 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +849 0 obj +<< +/K [482 483] +/P 1783 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +850 0 obj +<< +/K [484] +/P 1784 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +851 0 obj +<< +/K [485] +/P 1785 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +852 0 obj +<< +/K [486 487 488 489 490 491 492] +/P 1786 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +853 0 obj +<< +/K [493] +/P 1787 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +854 0 obj +<< +/K [494] +/P 1787 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +855 0 obj +<< +/K 495 +/P 1518 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +856 0 obj +<< +/K [496] +/P 1788 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +857 0 obj +<< +/K [497] +/P 1789 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +858 0 obj +<< +/K [498] +/P 1790 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +859 0 obj +<< +/K [499] +/P 1791 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +860 0 obj +<< +/K [500] +/P 1792 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +861 0 obj +<< +/K [501 502 503 504 505] +/P 1793 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +862 0 obj +<< +/K 506 +/P 1519 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +863 0 obj +<< +/K [507] +/P 1794 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +864 0 obj +<< +/K [508] +/P 1795 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +865 0 obj +<< +/K [509] +/P 1796 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +866 0 obj +<< +/K [510] +/P 1797 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +867 0 obj +<< +/K [511] +/P 1798 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +868 0 obj +<< +/K [512 513] +/P 1799 0 R +/Pg 15 0 R +/S /P +/Type /StructElem +>> +endobj +869 0 obj +<< +/K 514 +/P 1520 0 R +/Pg 15 0 R +/S /Span +/Type /StructElem +>> +endobj +870 0 obj +<< +/K 84 +/P 1800 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +871 0 obj +<< +/K [85 86 87 88] +/P 1800 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +872 0 obj +<< +/K 89 +/P 1801 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +873 0 obj +<< +/K [90 91 92] +/P 1801 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +874 0 obj +<< +/K 93 +/P 1802 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +875 0 obj +<< +/K [94 95 96] +/P 1802 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +876 0 obj +<< +/K 97 +/P 1803 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +877 0 obj +<< +/K [98 99 100] +/P 1803 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +878 0 obj +<< +/K 101 +/P 1804 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +879 0 obj +<< +/K [102 103 104] +/P 1804 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +880 0 obj +<< +/K 105 +/P 1805 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +881 0 obj +<< +/K [106 107 108] +/P 1805 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +882 0 obj +<< +/K 109 +/P 1521 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +883 0 obj +<< +/K [110] +/P 1806 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +884 0 obj +<< +/K [111] +/P 1807 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +885 0 obj +<< +/K [112] +/P 1808 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +886 0 obj +<< +/K [113] +/P 1809 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +887 0 obj +<< +/K [114] +/P 1810 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +888 0 obj +<< +/K [115 116] +/P 1811 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +889 0 obj +<< +/K 117 +/P 1522 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +890 0 obj +<< +/K [118] +/P 1812 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +891 0 obj +<< +/K [119] +/P 1813 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +892 0 obj +<< +/K [120] +/P 1814 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +893 0 obj +<< +/K [121] +/P 1815 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +894 0 obj +<< +/K [122] +/P 1816 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +895 0 obj +<< +/K [123 124 125 126] +/P 1817 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +896 0 obj +<< +/K 127 +/P 1523 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +897 0 obj +<< +/K [128] +/P 1818 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +898 0 obj +<< +/K [129 130 131 132] +/P 1819 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +899 0 obj +<< +/K [133] +/P 1820 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +900 0 obj +<< +/K [134] +/P 1821 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +901 0 obj +<< +/K [135] +/P 1822 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +902 0 obj +<< +/K [136 137] +/P 1823 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +903 0 obj +<< +/K 138 +/P 1524 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +904 0 obj +<< +/K [139] +/P 1824 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +905 0 obj +<< +/K [140] +/P 1825 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +906 0 obj +<< +/K [141] +/P 1826 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +907 0 obj +<< +/K [142] +/P 1827 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +908 0 obj +<< +/K [143 144 145 146 147 148 149] +/P 1828 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +909 0 obj +<< +/K [150] +/P 1829 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +910 0 obj +<< +/K 151 +/P 1525 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +911 0 obj +<< +/K [152] +/P 1830 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +912 0 obj +<< +/K [153 154] +/P 1831 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +913 0 obj +<< +/K [155] +/P 1832 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +914 0 obj +<< +/K [156] +/P 1833 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +915 0 obj +<< +/K [157 158 159 160 161] +/P 1834 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +916 0 obj +<< +/K [162] +/P 1835 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +917 0 obj +<< +/K 163 +/P 1526 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +918 0 obj +<< +/K [164] +/P 1836 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +919 0 obj +<< +/K [165] +/P 1837 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +920 0 obj +<< +/K [166] +/P 1838 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +921 0 obj +<< +/K [167] +/P 1839 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +922 0 obj +<< +/K [168] +/P 1840 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +923 0 obj +<< +/K [169 170 171 172 173] +/P 1841 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +924 0 obj +<< +/K 174 +/P 1527 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +925 0 obj +<< +/K [175] +/P 1842 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +926 0 obj +<< +/K [176] +/P 1843 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +927 0 obj +<< +/K [177] +/P 1844 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +928 0 obj +<< +/K [178] +/P 1845 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +929 0 obj +<< +/K [179] +/P 1846 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +930 0 obj +<< +/K [180 181] +/P 1847 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +931 0 obj +<< +/K 182 +/P 1528 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +932 0 obj +<< +/K 214 +/P 1848 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +933 0 obj +<< +/K [215 216 217] +/P 1848 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +934 0 obj +<< +/K 218 +/P 1849 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +935 0 obj +<< +/K [219 220 221] +/P 1849 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +936 0 obj +<< +/K 222 +/P 1850 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +937 0 obj +<< +/K [223 224 225] +/P 1850 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +938 0 obj +<< +/K 226 +/P 1851 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +939 0 obj +<< +/K [227 228 229] +/P 1851 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +940 0 obj +<< +/K 230 +/P 1852 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +941 0 obj +<< +/K [231 232 233] +/P 1852 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +942 0 obj +<< +/K 234 +/P 1853 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +943 0 obj +<< +/K [235 236 237] +/P 1853 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +944 0 obj +<< +/K 238 +/P 1529 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +945 0 obj +<< +/K [239] +/P 1854 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +946 0 obj +<< +/K [240] +/P 1855 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +947 0 obj +<< +/K [241] +/P 1856 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +948 0 obj +<< +/K [242] +/P 1857 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +949 0 obj +<< +/K [243] +/P 1858 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +950 0 obj +<< +/K [244 245] +/P 1859 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +951 0 obj +<< +/K 246 +/P 1530 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +952 0 obj +<< +/K [247] +/P 1860 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +953 0 obj +<< +/K [248] +/P 1861 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +954 0 obj +<< +/K [249] +/P 1862 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +955 0 obj +<< +/K [250] +/P 1863 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +956 0 obj +<< +/K [251] +/P 1864 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +957 0 obj +<< +/K [252 253 254 255] +/P 1865 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +958 0 obj +<< +/K 256 +/P 1531 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +959 0 obj +<< +/K [257] +/P 1866 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +960 0 obj +<< +/K [258 259 260 261] +/P 1867 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +961 0 obj +<< +/K [262] +/P 1868 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +962 0 obj +<< +/K [263] +/P 1869 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +963 0 obj +<< +/K [264] +/P 1870 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +964 0 obj +<< +/K [265 266] +/P 1871 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +965 0 obj +<< +/K 267 +/P 1532 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +966 0 obj +<< +/K [268] +/P 1872 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +967 0 obj +<< +/K [269] +/P 1873 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +968 0 obj +<< +/K [270] +/P 1874 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +969 0 obj +<< +/K [271] +/P 1875 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +970 0 obj +<< +/K [272 273 274 275] +/P 1876 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +971 0 obj +<< +/K [276 277 278] +/P 1877 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +972 0 obj +<< +/K 279 +/P 1533 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +973 0 obj +<< +/K [280] +/P 1878 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +974 0 obj +<< +/K [281 282 283 284] +/P 1879 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +975 0 obj +<< +/K [285] +/P 1880 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +976 0 obj +<< +/K [286] +/P 1881 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +977 0 obj +<< +/K [287] +/P 1882 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +978 0 obj +<< +/K [288] +/P 1883 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +979 0 obj +<< +/K 289 +/P 1534 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +980 0 obj +<< +/K [290] +/P 1884 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +981 0 obj +<< +/K [291 292 293 294] +/P 1885 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +982 0 obj +<< +/K [295] +/P 1886 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +983 0 obj +<< +/K [296] +/P 1887 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +984 0 obj +<< +/K [297 298 299 300] +/P 1888 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +985 0 obj +<< +/K [301] +/P 1889 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +986 0 obj +<< +/K 302 +/P 1535 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +987 0 obj +<< +/K [303] +/P 1890 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +988 0 obj +<< +/K [304 305 306] +/P 1891 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +989 0 obj +<< +/K [307] +/P 1892 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +990 0 obj +<< +/K [308] +/P 1893 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +991 0 obj +<< +/K [309] +/P 1894 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +992 0 obj +<< +/K [310 311 312 313 314 315 316 317 318 319 +320] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +993 0 obj +<< +/K [321] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +994 0 obj +<< +/K [322 323 324 325 326 327 328 329 330 331] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +995 0 obj +<< +/K [332] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +996 0 obj +<< +/K [333] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +997 0 obj +<< +/K [334 335 336 337 338] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +998 0 obj +<< +/K [339 340 341 342 343 344 345] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +999 0 obj +<< +/K [346 347 348 349 350] +/P 1895 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1000 0 obj +<< +/K 351 +/P 1536 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1001 0 obj +<< +/K [352] +/P 1896 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1002 0 obj +<< +/K [353 354 355 356] +/P 1897 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1003 0 obj +<< +/K [357] +/P 1898 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1004 0 obj +<< +/K [358] +/P 1899 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1005 0 obj +<< +/K 359 +/P 1537 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1006 0 obj +<< +/K [360] +/P 1900 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1007 0 obj +<< +/K [361 362 363 364] +/P 1901 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1008 0 obj +<< +/K [365] +/P 1902 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1009 0 obj +<< +/K [366] +/P 1903 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1010 0 obj +<< +/K 367 +/P 1538 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1011 0 obj +<< +/K [368] +/P 1904 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1012 0 obj +<< +/K [369 370 371] +/P 1905 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1013 0 obj +<< +/K [372] +/P 1906 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1014 0 obj +<< +/K [373] +/P 1907 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1015 0 obj +<< +/K 374 +/P 1539 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1016 0 obj +<< +/K [375] +/P 1908 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1017 0 obj +<< +/K [376 377 378 379] +/P 1909 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1018 0 obj +<< +/K [380] +/P 1910 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1019 0 obj +<< +/K [381] +/P 1911 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1020 0 obj +<< +/K [382] +/P 1912 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1021 0 obj +<< +/K [383] +/P 1913 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1022 0 obj +<< +/K 384 +/P 1540 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1023 0 obj +<< +/K [385] +/P 1914 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1024 0 obj +<< +/K [386] +/P 1915 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1025 0 obj +<< +/K [387] +/P 1916 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1026 0 obj +<< +/K [388] +/P 1917 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1027 0 obj +<< +/K [389] +/P 1918 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1028 0 obj +<< +/K [390] +/P 1919 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1029 0 obj +<< +/K [391] +/P 1919 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1030 0 obj +<< +/K [392] +/P 1919 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1031 0 obj +<< +/K [393] +/P 1919 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1032 0 obj +<< +/K 394 +/P 1541 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1033 0 obj +<< +/K [395] +/P 1920 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1034 0 obj +<< +/K [396] +/P 1921 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1035 0 obj +<< +/K [397] +/P 1922 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1036 0 obj +<< +/K [398] +/P 1923 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1037 0 obj +<< +/K [399] +/P 1924 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1038 0 obj +<< +/K [400 401] +/P 1925 0 R +/Pg 16 0 R +/S /P +/Type /StructElem +>> +endobj +1039 0 obj +<< +/K 402 +/P 1542 0 R +/Pg 16 0 R +/S /Span +/Type /StructElem +>> +endobj +1040 0 obj +<< +/K 413 +/P 472 0 R +/Pg 17 0 R +/S /Span +/Type /StructElem +>> +endobj +1041 0 obj +<< +/K [414] +/P 472 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +1042 0 obj +<< +/K [415] +/P 472 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +1043 0 obj +<< +/K [416] +/P 472 0 R +/Pg 17 0 R +/S /P +/Type /StructElem +>> +endobj +1044 0 obj +<< +/Length 1688 +/Filter /FlateDecode +>> +stream +HW]sF}ׯؙEbz'ı[Z!v -+kK2ؖV:{,/Oϗ/wud\.؛Φr1gGG'DpwL!rL+YQW1 5Batᓞx(8Jz#`'Zq嘴ܡbw>FC8pdXţ7lK2'/dXNqO1Ǧ¹"@'@seG_ Z(]km NhD>xapw{=y\ˈVC AV%As%+j +[wZ9J +2.pi{U!#2"$r/Ͱ!kCC11 ד[]nClw0BU~%T#\(6<ުX]S+i,7]JSyť >_Kܣ4иV^*.$&AC +2Y4EE +XUZn|/!Or_Sf_sA)L^AҀ HId8^\ ˓%ӲȀ氤Qva8lHxzl|(Zd{S}śzz^I5>Z3Ae-jz1N-` ݐ-V<s/2(^:{ʩ9r +MB[˕6 \:nmBSƟ,U}oZ|k; PxQi`Fn$ bЄ1.jxm%7R ꛥjN_34I}6FbR1FB5F븏>k /PH;b^%QTejC+෻:HJGؖ2jDÀpo#̗ySM Yh nYk|ѪӠHCBGwZp@;%!)Vy(*ԇlx47n(Kg=<9ʢC /)Z9*@Vn^Ε\Xt~cC}ԣt'娼VP눨jF=k)P݁K35ara1vۣg*UU}*ؗ_k1eRSBDeByiESiC_S@&hD #Zې5=޲Oܪer~AqFdvikm%%^9 +endstream +endobj +1045 0 obj +<< +/Length 1634 +/Filter /FlateDecode +>> +stream +Ho7+tH9rU)H]$M:@nECEWTUVa@O߼&iNޯ/7U]ݾ^v/nmxپkW`סQ+Ү n&p' ΍~{io,QW4Ym9RxizmnsWs?cyIVjs*އ~qY۩7k|rʫnϪ7>]_Jx?dڀ.yɒl /.pdu&뤶`~$Ј[Nd=exqTB1Hqkꀲ:O*K((4qV;ZVFl;˂vAξFc'L`V2dIko̸\IGYH 1'I!=԰Qs$6X^CD +j;{<جB( Eٙ.!*Ua"#Mzd)"ڌ+6I- OKNՒk@:#O> DaN +ItE-V1V@K [ӝׇT!#$'du6|ic"ӹ$EhAmg@7'N<|,Ho/~yO r`^X0NzAKʐ&+{VsS(.v|vtsU#ӳݾKҮH@pRpYq[g.t&RF&H 9ӆZs :Y'lGcb+O#ù؂#;ZAvţD2hZ*_]OjR|rK:,[D;;KN7x*); >+UH3ȸ3%Sd Ucfb2h<Zᰬ9n$*~So_UP 4̂Oaf|Yn0F·iʲ:O"͸I~IriJ38x A3MVefqQWr9fxf4> +stream +HWnG }WKmQ3[!uAS$E/.ZC8im$rV+kV^A={9$nz}aw}}.nnWE}pA%ߡ5J566* v{%in{6s0, aЍ W_0p=4a(*ʠi$HE%7܃c[S[%3؂sʶRm8l:7 {}Lr*Z*::ϛƯ6HT^Dx9/XK +=2lҸS/gyG-h,ؚZ5` +ЯtJL_Sb,ƁU3J147IӔy̓.LE AR>^UHJ>ykz6B'zpA BfClhaHss/j-kXb@9 nwM?dc J 9(*AVVw2|h٪1d6hv_~q>>,W ??ӯ|nzG>+D^һvJ(:Vs&A/{ͻl`"#UTɊ/h3|&LADm.Q>C#r +gRNE0lumj=y>{<|/} [_t)+Ct.7#L~my=ޛxcը3ehie$+ӆL L0 + +Ҍ"0)ƫZ{xB)~\jTrr8%PHAra"' +FtsZ>XVX1(Yr^X1(ãNJyD&. bv)uBB|BJ :Zx:T uH8ߜ]GC %W]G:mUbʋyv1ZeżxAH9y('E.0r^"iq 2@Z8Zy\.v"];`fsf|H(hPD 1(y`wZ +endstream +endobj +1047 0 obj +<< +/Length 1621 +/Filter /FlateDecode +>> +stream +HWM6W(RHA c [-f8Cnr(A=g(^J7u;[fW[Sy!u{?K!jnQV v~Wf7ۼlgWbqYW谺^݊F_fnOB}*~lyfꁙFD'No?7I{P:VzfP)󢩮^?߼n(W[ǽAe&H!B.th+Q[%E㚰[yb+!dPe.O_J=ƺq_x甽u9[D(pN[7!tѺe0o)3 * +Sn|gj9ƥ/կo7mm3rwjMBk-VN? +}QgOJ[5/hB9yb:FRk Oxۀ]r%3$0G*rb +ruTn7wt&/VT+Tj*F^uPjX(B:+WMKh zľK{3ѱL~<;M7ܢQ]0]eO7ݳO)@'QCN`4868} i}Z35h6P;1AK\gMLCʛ@I~ a#mMn 'ƐQѼĉ)dJ*1#"'qbx%mƉr?>qwR\щIќF;u$d#aCG N`yکq4dCjxl/SG.YKN0KT*]зN̞C2"o tg +endstream +endobj +1048 0 obj +<< +/Length 1694 +/Filter /FlateDecode +>> +stream +HWK6Wvr* ^HM㠇 , + $wH4%KC>l6΋|3ܾTjvꡖZæV cb J1iô?V}jӵaJ4L8gV/՟+i +{aY^ zwն& G0wvZF{ϜҔnP[#p.g'lyHNcn LLU;;U11UJ<vrӒ^p5zhƊ[4c3oQmK lԴ|9-DUѱyȸ +AytgؐV m u–A:`ՉHNww|iXKE%Zx8sޗt\+=wEOI>+"I"$%& ̷Zn88cgh&}r.jIS!= ܟ]$DR+jvQ}wB˝9$S2wEwxL-MrT?<2US2urx\ +Z@  Isݞm|dpC5`_|x\u^z¶8w^Yn)Ъҁ=JFS2a|#NEAsEy¨q1*?jD~Dـc ZA#Y.aN:}:/ /(B*I|)Vza XB(/Ao$ h?'6 ZqZ='diwI;lh=n8H^I$4qR3::V@ӭ,Ś5w%/ْMMk\J5jްD G"! +D! Ŕv|Dj1Hxq^1zD&wή%)8Y!tL}QOOy|k9ݟ5j͖AEk5.P&ȴ !BQ {w) ?Zxr*۰~^->J֖nQ^9CO硂DZkV( 31JItb9~/Q G}ΡseV3d"ŷьBp03c;Cxٻ7`|7ug' +\K8 l2膮$( /m5?=%il+S͸8HW $:%j#z?_ eδc[n`z#>*nac E}gTO~~~pӯ X/j9 +endstream +endobj +1049 0 obj +<< +/Length 1594 +/Filter /FlateDecode +>> +stream +HWK7ϯ0cZ$;/p!!crSRޞ5]/ꪯT>__tYNoW:()iC3igOV^}]ƶ7GA_?}{fy_V}Yݟ \hvF;pgɢ|gz6ӹk[ Yoae@p`K# SE xU2؇k섢ʒ-(l~e*tLIUZKгZ @US>&LrX_ +U9.$sV(@C;a0&Vx9~<\4CQh =wz61 Nd_T[a`NG㜡zNBp}+Np qSAD5M%SeL[e tTc :-&cbexlԴ/8U.719q೬J3z]3Hq,µ`wQnK*eTW 2^и^F<w +nkɾkhnz\;[pl,p2TV"|#_7!'Z[goƳ^E[ጨ:W7`N>;7/\ā^]$y,AZQ$dlOUZ;hEHe!eP4>6ej6L{dMuJfI-.BvͣPciSK UH2vX3WDB*Tf,J +1RdUc˕>LCӞ,^k8:W03^ghmo5VsrSZ@ey]  v*`(٥^R9D7~"DqeQh!v@OD[]`U*hDDG} Zg:ˬu!85 aI392SO›EfD3y͑U9\_"+Qnoi7C=6hYUk$7Y>rOQqfj'c,}BPP j AA=A~APRPEAx(dKA㊂2k +j)z砒>~$wvR6 *8@p}+Np a?3dJ@AT-s Ix$Ϗ:RV[y+m<ojs?YRV/~\υ37נZ(n˛"ר2Qjo69.9ú , aU[09>I'%H|R@K !A+?W@ra){mvK=g[͍LqMi +'hMA1ZMuA>lQtՀuZBƆ;ml[\KyddyT;hIUm")KĴ8%S 17|ǴXOXkYxGn ]@: +endstream +endobj +1050 0 obj +<< +/Length 1654 +/Filter /FlateDecode +>> +stream +HMo6+t 5\~ wMIiuEhzhODIhWvqP3! y{Zj{T%TV+!4_'ֵZzO:YNVk)B]Ŵ"Vëo_VۂDXK')$߾vۻJU]_όlm֖8l9*܍fj7slE6( 6f4Q+N,D*C,(|(QX-o(ObrŃ.>t+ݏ7)eYTh!"MAJU Ja0N@EX΢˶+zFdacq Q87ց[vRuPn|MQ;qK+rnj_q:y<#M ?M4!K+hT덪২M_Kպu:[_`Fkf0? g)q*Ǟ<K +B`Hׁ8( 1߁+o-R)pGWWA<Da&l,atD i|ާ|_ +W3x +UbJ6:,MK (h{&W8~x *^ ].{50I kbfvW'#3KtIؓ^E%MhCD(;2ѣL#'ED3n(”YX>eǷsǷLxH>q7s E <0\v1덮՟PRl֍՟#c(Y12"unk'pC^`Igj ='NL5D?)ȟ/'Z%ݍRʁ4']tOE ,Pc| *˻)G%8kXOo_Afn +VY{ODkp$Lt"50w!)iH3+;pǎbBb,:P'!(M˿C=4ܖ#C" 9lm)vh"1;mץr&ܰykjgD uNJT!.{"r /70En<7k}4%S-y-,lM8!O^zIp%ѩ`F[j`:mH tv|QLnq 4*3fhzn3MX;25E:_MuŎ;G (3 >~u MYثMx#QDZ9ul%D[ sKTRKU]3'i4IÞr2IΞ9I\KܩEM7gg1K9 +endstream +endobj +1051 0 obj +<< +/Length 1655 +/Filter /FlateDecode +>> +stream +HWMo7K)hr N6ݨM7uӦ!K\I ey{ϫ嶢]uz)kC+%f +zgǥZ[B,G.U⠈E iG;I'B_nc0:z]]=G¢#U}vvͦnwf?/ĨtQ7PL"M FԔhfvW ;nMa|@cb`3ҁFVmdԁ@ rT l4Rl0A .sDm2єf/&!$4%SJ5d"4 ~>}kT}D`E z|Pņv]GN0Ӛ'1JBZri[k"l"dYB'R,Gu+ rJ& `G8xL!:o?@0$PN@pbj5)NcFӲR{)(U1J2r0/HbKQ +!5{/10PƾSؾsw&&.Go="[C$P!z4 J oLsR/ZRzS SRⅹ.AKŷ=;|L]*Q.|r5JکNAdC7gcdȂϖ++`Y\9ϸ~nz<S/&/m%t12}gfϰZ/(_$~˗ko&]j}9'(F:Ė }O)LMAfYKZ D >&pVQgGƸL]z+ T24gޛjwbۡվ Lx6?OgZ_⋖[G;R6>M悗|KWnpZgYBm[?b62Dt QĽ1)P˼I)FQƽ`!;S+JDĵɔ| Yq/vx]tc0w+:rQтnJ )˜*)Rc_B{.JSh3' +S<"LuQ3L0fP~Gh O0 +OdE.1] (F7n)2æH|>YZ7ɅB@TYJ?L\d謶JABQJn +P1bjp3U$>KX}zTxAjk,'7zN+FtqPbFw-w64naQĉE|o> +endobj +1053 0 obj +<< +/BaseFont /ABCDEE+Wingdings +/DescendantFonts [1928 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1929 0 R +/Type /Font +>> +endobj +1054 0 obj +<< +/BaseFont /Arial +/DescendantFonts [1930 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1931 0 R +/Type /Font +>> +endobj +1055 0 obj +<< +/BaseFont /ABCDEE+HY#C3#81#C3#9F#C2#B0#C3#AD#C2#B5#C3#B1 +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1932 0 R +/LastChar 120 +/Name /F2 +/Subtype /TrueType +/Type /Font +/Widths [333 0 0 0 0 0 0 0 416 416 +0 0 0 833 375 0 583 583 583 583 +583 0 0 583 583 583 416 0 0 0 +0 0 1000 0 0 0 0 0 625 0 +0 0 0 0 0 0 0 0 0 0 +0 0 583 0 0 0 0 0 0 0 +0 0 0 0 0 583 625 583 625 583 +0 0 0 250 0 0 250 916 625 625 +625 0 0 541 0 583 0 750 500] +>> +endobj +1056 0 obj +<< +/BaseFont /ABCDEE+#C2#B9#C3#99#C3#85#C3#81 +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1933 0 R +/LastChar 32 +/Name /F3 +/Subtype /TrueType +/Type /Font +/Widths [333] +>> +endobj +1057 0 obj +<< +/BaseFont /ABCDEE+Franklin#20Gothic#20Heavy +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1934 0 R +/LastChar 115 +/Name /F4 +/Subtype /TrueType +/Type /Font +/Widths [250 0 0 0 0 710 0 0 293 293 +0 0 275 275 0 0 586 586 586 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 628 679 0 0 0 +681 0 0 656 0 0 0 691 643 0 +0 607 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 587 0 0 586 +0 0 0 0 0 0 0 0 587 594 +0 0 381 530] +>> +endobj +1058 0 obj +<< +/BaseFont /Arial +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1935 0 R +/LastChar 177 +/Name /F5 +/Subtype /TrueType +/Type /Font +/Widths [278 0 0 0 0 889 0 0 333 333 +389 584 278 333 278 278 556 556 556 556 +556 556 556 556 556 556 278 0 0 584 +584 0 1015 667 667 722 722 667 611 778 +722 278 0 0 556 833 722 778 667 0 +722 667 611 722 667 944 667 0 0 0 +0 0 0 0 0 556 556 500 556 556 +278 556 556 222 0 500 222 833 556 556 +556 0 333 500 278 556 500 722 500 500 +0 0 0 0 584 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 500] +>> +endobj +1059 0 obj +<< +/BaseFont /ABCDEE+#C2#B1#C2#BC#C2#B8#C2#B2 +/DescendantFonts [1936 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1937 0 R +/Type /Font +>> +endobj +1060 0 obj +<< +/BaseFont /Arial#2CBoldItalic +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1938 0 R +/LastChar 120 +/Name /F7 +/Subtype /TrueType +/Type /Font +/Widths [278 0 0 0 0 0 0 0 333 0 +0 0 278 333 278 0 556 556 556 556 +556 556 0 0 556 0 0 0 0 0 +0 0 0 722 0 722 722 667 611 0 +722 278 0 722 0 0 722 778 667 0 +722 667 611 0 0 0 667 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 556] +>> +endobj +1061 0 obj +<< +/BaseFont /Arial#2CItalic +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1939 0 R +/LastChar 32 +/Name /F8 +/Subtype /TrueType +/Type /Font +/Widths [278] +>> +endobj +1062 0 obj +<< +/BaseFont /Arial#2CItalic +/DescendantFonts [1940 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1941 0 R +/Type /Font +>> +endobj +1063 0 obj +<< +/Length 4652 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /FlateDecode +/Height 78 +/Interpolate false +/SMask 1942 0 R +/Subtype /Image +/Type /XObject +/Width 370 +>> +stream +x{PUU*"8B +f|)!AM\P2 x%b.4D%a*/b`% #i=};ϾpgXYk~{^` @ @ @ @ @ ]"""kjj-LNNMXX3lllEjHII?{',_)ݎ;d\? 3sss1Wy[ns=V۷Cr!9b&MJNNnkk@޽{L<2 +_kɉN8m4L >ٓyܸqRj+477#]boooX>(,Q֯_I_ +[vdd$AI8`-0P d(33SI>zXYf ;::WZ%dTVVfgg?&L?V1:+c>|Xܶ0U$Gͧr@O)++ST6nnn"k~@-))Q%V}}Y*4\[xɂ*f\O>P8MYIo>[ɓKG]]̙3™*...*HD5Z  /<0FԚU+^3(Ν;M}衇x#*0  7l +jR JHH)=ʕ+fAf^AUZ[[e+VXsZڤh@&MkV\]] +#x{{U& A5hWp*0YBlVqww=g/Pb C +YJnVrGGGXԩS2 ڵk5Y%++K@,Ξ=+b*P:06ouds΅t?2Ujj*7[uVquLڡ!h-̓}o޼-w\V8q˗W?tgGjkkZ#>>att4oud T?)//U*(RRTTTU-Z Z__LdffrU`L_ $$įۉ6*}0**_E&X;7'H0SYU.\0~x +fJmm-3jJ̀N g\\\ѭ*Ö*%[ HU*n*Vi8:[X +J{{ TNNN~aSSx:= +l(رc+*@uuө .Ո'9}o-2 + b8|V{{{N4a„A0h*@pp0uVJzJZZ-|ʔ)K{ + XɃckk+++a[dB]kk.]*d *,ce_cYU&0ΗTV+(JllЇ2*,4bwww3+x =[&'OlAIVÃEUJfhoot~~A$ܼySbsG*Hꢢv5y |%K\IVinnֶ$dh9666tBUU%}"Vb٬*D{IjZ2Xr% dNVV) .--ݻ"оUi~AiV;{lhh՝U@#v?#,,6U[HNNVe7xRm!`c4Ukjj!Xŋ5sYu$ +bU"##B@Y`Q?/vrA|r][رc|Vś*uuuȰ + c0*0G-&7XGƛ*}bp-9s/>#/#󃩤$d3fpC+ +cΎ%m{e&B&Th$eee(gLXQQL*H222XQK.' mx,C߿7T̚5kZc`Vոaޒ*q0Q}ùdVѰ + iXQY+i:,``d~->>*v.0K6%N0ܽ{ꌌ $D`` }Miqݺu8@[:P%O&വk׾'O xY!F 771_~C)իgF͛ҵb.^loLOX s]~}\\\0RWcsՃ0)b7mtL.K^ A%ضmzGo&cƌ <<<''Oadxdpp޽{3|A5'O ((B{4V^)S=zt˖-B}BGM96VEnݺoaRRR,XҕG"|$''+&ׯ_77 0$ne*8::.rURRb QHV5k(UΙ"۷KNNNu c(9? OiiqQ?=AwMz]Fqr_~iFD(CCCw?>SO=5u!@0,Z۹#/T+W+VM FGɉ'7J}bcc׌dժU*0*lb(0HxO1Xāw@:ҙ"@ @ ⛜ +endstream +endobj +1064 0 obj +<< +/Length 9547 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /DCTDecode +/Height 214 +/Interpolate true +/Subtype /Image +/Type /XObject +/Width 285 +>> +stream +JFIF``C  +   $.' ",#(7),01444'9=82<.342C  2!!22222222222222222222222222222222222222222222222222" + }!1AQa"q2#BR$3br +%&'()*456789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz + w!1AQaq"2B #3Rbr +$4%&'()*56789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz ? {`tԍߜsFr6`rX)@9ai8ХX0$댏֗*:;h =bP)>zA~4X}1602iW'Z +{/MuPn +Jg 92KPr_t$iDIy8 h>g9g#҅rc8_zjd.>\};~=˜ 敂8s⚬b8)rR*v?VQ#hprx \ n9:iy `!̫P;Yu@9#rď?Hb|3<I +2T.8Mrʙr + xϭrWR^NfT"[Ӧ.0pXԌnFS+KimerbQ€U@ t?SL؊xiI.ȥc)G$sW> >r>+c'xG)6٧K?(5JlC~t{sHT`tn~17} +W4H0ېy{6l끓*[+w'8 p;4| +(J\Ӿ?00N!H|^JH^=vRuaw#y'{ 9J~'9S +À SҐPwARq* @:5@U_ߍ._qrJ`b`Gg>8N? erq)(O#pߍE/`~8PI#Gc.B'Hb>[#,`q~rP*GLi\1=*cЅ \~&K8Zn`=MuZ@,wHkjgWGfKv+bO\c$ͼۂ?Zf+Q EG0iu' N07 $~ Jsk.I!LtvCZˮ0ynP;79q1g扻}=+~ "-%OO7V\ Hd^:d+qїH .dHOֳXG&{JY\ I=2u>BFg`y$ `Gıq0i%Xh ) +3N$zPU3'b.J㏭', GLbɐmMY H< :SIQ\SK7ݑ?ݠ%rT䏯Q#d =P ?~V@S{bfP~J?,NAJ{ +FD0Nܒ?POoƜ  ^6*@g2<wu=ivOD=@AzRprѶHeCw|ZG,S%2 WwݬuiXȠc9Z wZ>\/^|٢(rT + mz֚pOB{|~5R52zw͑f{ԁ؃OBdo0,jBduDմ%dLI0׏ֵ\϶jc(ܶFOnr>UbO4(8?JxY$QN~~(v2qߧ\ALs9TW&B8$?Z2@VD挒#-V;0.ʡ+]԰ERrX[G +2? ]G BJ zזkDEt #=ψpKe{cMK`<LRw cSry$3d4B-LhBWҘrq#qM+>JTtE8۟DbDwg⁏9B>@ ~Tlc f66np}6n=z ;i-9O1w!GN˅Hɧ%c qfoԊ#R'=)I&fPeCpi +Nl3@[F O#n7aRY[9<1a\&Ҫ$Pqg45EMz`v$PaB:6@h4'Bq\`*2$Fj WZYr  qHYeU\=ϭ(Yé<8ʌ ٶ)HU5}λW~GB۶})Xr{{Qnۙ^hϮrr"u 5u r[F,hQRˤj Zݳbh@OQ=}wV7V+so /qJUtee 6*?V .p~a=~hUo~Gr+S2r8jd%-*籧0wmW,NIQ=wpzRlmO`8A䌞9b ,_ CqsWiSEY2JXvr1@G"YOzn<u@c+cn{wC+gHvggom%چUN9SهSͫ uaPJCPXPBI52yN×V- gb9 gU9b=vӏ9֜>zsB˿PJ ~\שs=?J@]|48:4i0@4ۀ=۸.:D J|zP!*?x$98o +@ (]ʦMKp;Rp S Tʞƶۜ1JϼP1s4ψLwV#=IJ+Aޱ!YfQiM>]B 3>COҞs3Tc isD 3J@)nց'+fO>vǥ=T6 e3@ oc֓`9'ӇSh[h'g87$,H =xy€pP=¡ABI YSt cW9sE=xSUA2L)J4$rKR0'9T96H>@ s?i0sMtd\ u=x,BNnϗae9RPg$G7H)$;o39`*# erc@A8t?/ 0!'p0lVm:0zC'!'R~d#}=K!n:`FUՈn` +d.pAWETG 2TrZs$`\%ELj ""LP6Ѷ\P"F ZHR3% ڲ/tŗ,]3GUX(-*nއV5wbW\X79'-gR3J6\ +ʷv+N2Jp '@;*aԝǥ[8 H ,t˸̼1LDmIA?MHp:5 'i +;H<<n~b@*?*o$Zy ?)@;"-p3ޛqҥ,/9?acP9H<t?ppێ:`ӳ2Nz cNӷ>)733|'wwL:1ذR:qsN)sUS ^Ì R˟.Ȁxb8pIB8Sݜte*neOϥ  z{JO8q^h\cTcJdgAPx 4ճ֔5a[iB)TSJ2"(HVm3SJgHaR)LhADb2F[=ⷌYH-zP&$%1U9A6 eLz8mHe1v |(p=iXb \HFz +Tg1#!Fɦvg4$su!qsO]0d^iry84gsmg84p}3M?3/Z6 :xs!4ݓ*ZL`zPQ x|W `JDŽ(a fqҬ#;zH\`~8rW!A9 ?+0?«4`:0wOR8_yfѐsSٞۻSX1F8=}8⫕?ȩcSHDԆ@|`Ug0z`w7댌S[q۴遊@jTqYN8^FUhR5h-iiBQNk-7$)\Ulw4\ i'?8]@'vpGbd:y8ZP*`z:B9s4q(?8 +O@sSNN99:'C_Mؠ<I)[l+鑏]5F9^p@'IKa9'OۻpN)X ;Tn?3wc?;nŒz68_(zI?%C9:P<(bN !x6~SM`8#{ϠJ F 擇\7H3HUcl' +~n(ntU q܆`=?2ƘN>'-lbgO_Nq#h,$恎9ۜq1Ua}@czm8$g# !ON#8 pL8jry9@;OR9!OLѰ֏-pN ? 4#p sֲg{V2 ӸQ)sLHџ+#tX {H.ĽIx2K#RJIX.C,yMeLǖSg3>xU#p==i{<–+L*;R;GSqH Airm 1UHBU4aӀ3LP`Iꤞ”ϫ*G_Ǐ¤0S րېI&V$o^8S=/^ 'nG zRnc~B9෥4'?Af8RGn3p#;AV )0X(>Vec\2[\ $l\sJφP>lfva"*›6w"riȜnUPi$.py$)guu!"Q63iqY1v49H)v@' אq\Gb(֛OK2;q@ 0xnpM; l 86N16aTXq=4Nh\6Rq9dӀ^opJUw#nڴmnBIք#ET֚ +qTr~5BȻ}" 㓒NN9'&40w#4dp1?Q$/JgI1X)On@?8ii~qυt27m8)pSy3Ԏi8zuPx$ b' |9#ӊ|J#]CidOjrTlp;8#⏙  ɤ 9Rx0: +^@8@ ,>)cmJá_ d@ +_s9l~H.yc4wq~4` (#@#zR(PAÚUƀsғ9mO̠+M HSxHŸbI8)7$"-.s9X=xɤO'>Ϯ(Jc_Nv҃,Ol7b Ƕ{PޘQIsҞr:!#٤۵wo\g's? +A=3r xi +K -14%y\PurqC1?h<(sco*C18tgTᗟq@0=),rRːW=iY7{g(d2lqJ`;{Q@ `8]Hl9S͐95)_20MP( z8$drzE..i]H׊(00*8QE ) s8Q@ 7 < PT`63CEN)1HFx?P1\140=E{DW r0: +i8;sEeCJPd xB(#y[Ts4Q@)g׭"`86a9mͰQ@ +endstream +endobj +1065 0 obj +<< +/Length 38948 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /DCTDecode +/Height 561 +/Interpolate true +/Subtype /Image +/Type /XObject +/Width 849 +>> +stream +JFIF``C  +   $.' ",#(7),01444'9=82<.342C  2!!222222222222222222222222222222222222222222222222221Q" + }!1AQa"q2#BR$3br +%&'()*456789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz + w!1AQaq"2B #3Rbr +$4%&'()*56789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz ?( +( +( +( +(,-Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@ekkwV5mRH仸?*:yq{=,t;ZҤM@n$r#﮵NY%,n'йѥ$}EeO`s]^$eΗK񾯧`Gx'#Li6PhOy";?Z¯ z\:O5GXkZnE)l7yRjXzȮIAuE^bhp=7u[i cq#Xӵ5 ey |:4QE +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +l$Q0TPK1:oɨ].jN8kUp;9Jj~nl=>洭td ՠ-aXbyJT~Usg?*w+RՁQX Usr I-rTgT4d1w=* -%̟<c+E4%&B Z֒EOתVEր$'4Z2 ?"vjh$V*Gpq]΄²LAQL&l5?jF?qi|O0n3.%<1Q$~XJjg+ȦV~j|ܰufV^AWO@6nz\+>'Ky-|" _N53{+ *-bQE +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +()jڂ|ek=e">i˻5ml?˧Wܺy)++[39릚 +nV5]nҭk:8'VSTF-8crC`ښmnox~ W=I {pEFJ%ryRm==5CKn)s)tV-ƆszS@:sU&FV.y\T* +)lsh<'MܰtvGIWKqp~qȜMDRA+\13߯_ֻ=3~soc_Z2BUО;VZei)\+r>]bЩi? +"1߯_ֱt.Q\.?M[l_+մI7Y^C78$}GQYe( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +_gi\zU|C?5]=R/?iXM+_id$ֈ#$riUqZW9Blڭ%98rƏ=UsXc8:ƟCi #̧zSl T|5j\VshIsB]JGIdGlU&& 9]{BVق* +ןjyOZgWp6e=;zJKUK+?QGgc5,7t*"{X2.NEDR6֟^]F:﮵i˝} J,oj#<*I{#:_3},+#FpG]VZگpQd}kM{ui˝}xܿ:]ua;fEKVn(EPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEP3Fv8 +2MpL^0ɒBGӷ]'nɡ\0?4_!@)i"%"M)Q\QHHU$2h6u^]c_5Gdk2E?h{v DgXIi2)9WOAZM1V2nBpXW3k~my,jMh9"/Z4qۋtPe1POv}A5)JVse%đW^ WFbzV曡&gw١HF(O } >isTQTWK)&vڸ.QCjvxAe>ERd[*t㞵c[ΐ 2:QʀIR+ZKV5f;*\ڍOnjYvg. { |sWfqޢ*zXJbϤl;J=Wpο0$}E[aPd;HK؅I&[2>wGQ\6;J%wa]ucǾ)Qڢ-EQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE}gh??Z-6 8wZoxDuTvr:5jvkqJɧ4QN^e\7ڼCiUoWAkpf10J0GS袠aEPEWRNno%8Hc.@[mC0اVᱪ\wC# 3\d%bYَ㞬y?>xx{vQ{n./?+f{ +ڣxW5r5<{,M` w7&} i9|A/[˄g?wtK]R\{8)rM*LH#E + >ƟD!>uc-i]׼UO#t$ck~-kg@Fe8*XÏƳU]I(m^g 2j` l+K%֬ /$A۸5sW'zRj)˩-(A9nGZқN +ۀ9n-Z5f (jYfx5ci xe*%r*uXGO@j~ۊo_I6Ī^C&4H'μ'f񅖝qw5ݽ9X^ 3*s;$O)GRG95Fv1^-01Z*{D8,dSW\iåd\JI{Ӵd;pC뚞 BU9xVRAJt*8>ՑsH?k9QL3wKYmYfK21vg&j^G-}?1^=%N1PZeF$uavUEqk(xextdbKa&.vs}bUtW|MnrZ9OsW]gYw1Nf(EPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPQ-o?IPǜͿy Nk^;zm$ڂ,1  w^an3޹>a.}bψ.[h+HpJpB{W\yupmy&NYIwVT,w$e9Oޱ$+?LWҿuCxU[Iv|xi5gpjzI|ҿEtˉoiUν+5iOӣ[kts洷R.zCN> پؖ[f/kUQGV>0~M`t=F< {66V0O<(kCD=O[> U{՚niwW+w,yu4%[|@i`A j6lkZۼgcU3Z:ڼ]p)܇T*QkN# 5hyy߳dd4oEdPm7sʄz/k+j|/W۠Mԏɮoի6[@HFXkt Lh$׾x@_ >fيyF~wKMޟey/lUH|*"bz5\GMk#m0y\ќdS2i٩ qB@r7Z5s z#FfIhzUg32+>['V+ԧug hL$CR !u"VEƌҳS6:Ֆ՞Tq?Kao#s9+t*I#=X3KMB?2)7fhn,Y!qё#KYKQ0sXmt{MiͩvZ9"7'9+̴tQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEF -ш)huKۂ%luz-JzR ),>iwjv1ZipGz0Nx1WLU_D+ uVR59 +@~b;ٮu.NG֫mTEExt<{YMv/voڕ;RܿG_G4a7ȔpzɎޟ-9Q }&W$nuj.1\[O2Ok2XYZ=R.\v_B|:wGp=~VݨmksbǧiGm#}(q\GmW?ĬC^H zg-ְhw<đ9>l4I{?xR 0n!&[V%ǫ?}uammeı(Pן(KGb: ~5*&%lc#!yނI[g`~zͼ+om cP =[6~t4큁^Vi mKXؒ +[{ ך5 F9H>QƢo+ޅr9#A +l\]0$D?һZu߽pZxIgoMld` ~E{vVSJqH].?{+'9~P7xk5t֚h~Tُ+߫.vӅKO#񮢕yJˠ଄* |/W$Gͽ%j؞ tm#z废fex "nI?NR؇3{tX&@A4iCcx[M_-UsSVeGeUUq4Ȱh޴rִǰըm3Xԟciz$ݤYYG{թ&Iw=;U皦lF0Gң(2#ڝ)v 52̧+ҥKÎ)8YM5FfyX[T򱏖*h kEf Oƌ.4>޴jj%7AT3љ2v8,d bJm9F+.EVVƛG 88=qi0xf)FBAB'VEΌɟtS)LҾ&k6aV㼌vas]3Gڷk%~ҼjK<=*2GT SG֗ m.bx_3Zj aez1Rֺ^mǎ7lʸeN0ߜ.N\+>%h[XnOsWWi}i}isU-42QH((((((((((((((((((((((#: sjYHC^ֹG]4m)tG~(CӃu;\%z)ߑ{Y wu+Ƈ9b +1mM؂l=;+%S8INb'mFw,{.t jWa۾$ +~]r'hUJFO իW)]1t?V{v`Ye.1?tAӫMhPN4o "GkǠ :(Uk=3d`?H1rj#4$ӢϢ-j$d{?ֶsUx(jKRxf5WqA-#{Ujqc9?) j%-POGoJэg[ĖA#P@*Z7+KonEơe;TXxvW])@?Vu Kڍc+s^ƺ/ &nv'Wdw #+-ecɮ+7~n :>bL19ÿھ6&-3u.G/Bѵ ^Q2`WsCM;M*OIk#+cGMt}P|""~'f?Z?|`ֱ9k{*_t>/<Z0%?B +审{\1w>GT`~C?ur72JܗO9tGմCW? M$k6[R㻌Qvzgĝjݬr +du!a>_ ux#QX$S_MxzhӴ6"~֟`]N/Ҽ3{t$Gp?X95u&NӑviE?c˩Im8H##dWN^as+Yeյ{==c! +*/PխOL_:"كF3~n3޼ƚ|Jrpۓmxbu1Qx6eaFּXf;u31/J@GBkteo6A^#7yo/w>">swVty\KC5(mL{opDcB +< i3^»Wֽ5Uy]^?W.Z,M*B5X2Mx7!\.u&'|=pjhMrNm?M+O 71BUzW|)Ჸ&Nv"?J +ugʺ ++B@ W[)sPŒcUǃº'FgcTĨ*ڰ]cۆUdMY+T2WG-*ؐy+E4doeH.#*imqUI u#v$ 'ҭﯤqz[{#kv8YK>l9XMg3^w/[9r7'9+IisFsPC,QE((((((((((((((((((((* 7o j37#h:=̿z TdjC-#[1S/ag*ޞ{:iJ0?_qUOzw|OE[R *zxzwAԾ{}PN{\V4Vs,[LHOAdiߠxЍH.d[{gaw4b6sv%Ai<$vȽ$fKps׭jdEץy}DfXXs$+}`~s%]~xطg=c|UY8+8e~c;$޼cA{vBTlO7Mxo}O2UoV?`{ņ?A|]qpFssky+AR !>w8A\wULZUP#]ГޮHEnVG8DR}5WZWwEf':O`-~ 3F`9#)k`=aA3TWt$lXi@h U||&蒻 ݎ]5&bp9&%kB ;+ !uz~" mն3zqN +{Gm]re?C-"HPUF++33n Zv^Kt1_VrΚœoqrhc 1G5I4scq?y.K 6hȞdtooʟGK f1JgSNT pSh视u fl\Evehʰ>H8]~B Ecv\`w'kfZQIr## 70\gɚ91+sؖ(QEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQERPkzE,mv?Jۢ?5ֹS߽XWⶢZ-6Xqָ X2̀h3kOƗ?o<~'֏k r:3SQv=KɧyY8=M:[ +X`3VՄy7=Mo|]:!vȰz-S57&3/Տʣ¸vl\&c፟|95.$$m꘰o~?T<=k#gonmG?>|A_];LBs_g峻]j/Z(\sk<%hgy&1yD\`jQ(YqHIOY7n.#x=85SYEHT8HSԸX⎵j]6($SOa|T>?]ڡM''[- U]CtTSY=S%ǒY `f1T%%hW}1]\Yqk*-]xRK.ac3ҍ#P4֪DasO6zzwn 0'<T%/AVOQZeF%TBz$K4Q3<*& ZȀ +2LHgv"I?sGU60!GN+Ed"yL\<0I:shc [y<̻Ƀ45kRFUDti6qC쐎r=.6Mvf hCF}Oy%WF!/v@ +ߵ0]H郃Urmxmc7$q>wfnt9򼥄$g+8?}VJ{&'Bb zN "U<{+҉.hm-;y0s]/Gݤܰܿ$Ք*) +E3=FQ}3n*| I"qdbE{uaF?IhQ@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@[G sz뜽j_UEyeD.'f]7)"0`{))} /#z;1Z j?-%}ۿd_r:7,֦hQS%ޫbTNئ5"tG|.jkE!Xc=:.sG$ҭ^sHެ-i.5X[c5jD<6Y1 V%ΓK+w@Bp>}jw֭Gu`LЭk+Ś[xbcaQ?j$>U]j_K0Y)Pir9NuѠnab1 D?\dיP83r~uZ"+6G|LvXY؆O]Bq^_㋶$V!SD2[Mn?տ@kWz +6l k?~SDvjA-QY{Szԋ?5%E.Qk>MLY"^zO9I ޤZYsRp _zzYkq5*t|Ɛq\Sfa+57r~?>kL܅RaU:ă滸dc:٪OzIY8Xj板56*R恍( 0 K4&b=W{Pz +ۑHWڭLSKAڪ3o`GJT'e&fA$fvZ*AK!U=SBŖv?a-\&i-FIvY"c۴3{s.-biey~3gz4\\aos6 iL:\ܗ̫3VXmUa v%ݻ%{SnJcVZ_,-m繊 b[}3+kvIq,F۔F1zdD7 ssֶ}J̒7[an\tZ~(K[Kx0K%uvh6vdfBN6ϱ}pM _k%d!dMbh<jYSbi5IYX i#PL@ +I) +c`c N̾?fKqAקik"@Z$iLEWQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE^,㑏񁵿1qڟ%mϦ^=|G?½}WΔI1?1&^O蚸&4XF_Ɲ^ gzv3&xQk~cW {TX)aʟ⚐L@C5-ZX[Xk7ڀթ r;ӎn;ͬ*ܐzjGwe.KVR%K:ijyҗG u=;K9pan=Y8iNzVrZfP"4'RZv6\bn@".p32iS:z +,KNK}$4EٸM<-}"Xθw[kG ]w8y=L +5a.Ck0jQ.{*]T.kԸ֥K8_ 85SY:ԋ(Z9抄8o␀idQaej\Eb@ @UsPɀثRdg^iZmqKUC +ǃVQODt1tGBK䕑68 Ets|X? +Ό&dV +ۨkEf?Lm )bv'M]QXݛ+2Dd^pSsd*M߃֫9IhGAۥk{&_8z QSZС^cS?]r~?fa˷AO]epb%y۱QEaEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEP]4gv +2I8}OY ?Ow&>)p#ϧW'5 Ҷ;ȔjͮśPD;GRYVoH.c>~_Z٢y>50H~etPCs RT?Č$7Z}Z6+7GJg^ye}F؅;[+f~UEǓ! _ץf$RiU2# # ■g/|?5]_Jz~j ;mϦExv7c>e4.O.kv@?C޳ʞ4]Db9cn"5j t Ksۤ2S1Nx61I9W},,K=.;_?ucse;Cuo,"#Rr*EHGZ\Kb;8ez҇#!Xގ`sմ6SGrGz"\N.GՔs1ݞ9jwZ6:4 qZ碼 ެuNⱿ^+ .֘252͑s;iOUMRYUz佽l_) DU=6ze$cwOMY?Ja1_H==7;U\ %l50sXB_Ƥ1Sш[ X#W<.J.G>ԹP.xS-ǽsv¬-1*oZ':\9spJc5:cCi9_5*:f|RiUC30rI).Wr4*xc#OZt۲soV绸2Ŭm1u/Y.\9/D#mb:W\xWl-ف8\i~="yܥn!O1NG"ȹwuqrW s*imVyMjk2 b۝o1泯$˲*t%rwq+T΅Y]C)=M:4UUTtA>mdݞ%s&K1c=@h奰 n>kQ} S=ZtOKWQ{k4R kϧ-?&~ Wm /sn9?.A$R+};z^Bغz63IOUQ5FXkm~"I`)ޥY=jvRrqQݐ5E8IZ"Z7GyhNEL$RN;>j]}k􎦭UcKVaswuI|ҩ%-.|vlD#_!N OXtɫ sLF RsL990\9\gSHJc;(52ܐx>bqissNebyS-9>(kyQ`Mss8*uPCHGD#*d9\Z.r3.:he.^]7XΩmK~$Ѓqʟ^ʷU=@:n5kWub?CE$nx/ ׄEegps2tEʹk{l [PU@GbV4 q^{i~U|$dr#T_Ð˨JC7NΜ#^{y$" āXOdJa|OAZf{E)cQi \s}^vLfɫT"5:ˑyDkE4qh訢B(((((((((((((((((((+ڣi HLun\]օ&GBAgúBYy0=xgاuWCkyM.Ct +JN +L`ZպQRnZ`Sڶ nj0p9lzUW#t[ڪKhx錎0EmIh*QaN=*GaBGj2+Sb3[L&HKȥ ޓoXxV./wo1MSk)Ҽ܅#V(=$@ +N4cu$C?PxO?Fx⾌ h3O`L#~Ws:\|MH/ nkjմy6|2Ê+R Fyҿ4ZY[zeTg,s#?NNR }Z#jU+:@[_>,wާS'c9QgS5v-G8թuipzeu\Wq\֩14lFAzfWh:u=E)|M$ҝ֌z3h.kB7Kn8Ѓ]Wf];{gsw:g zul͂9 ;{05<;.iw+ Wߺi0Okd00 +|Cp^[gHC #|rz@ϵu> 7ӹvzuPhWH$ F 4.(7䢄 GZKYi@^1$G9ϷNު\cqUMlҎzTy_ֹd8Z.7rR +}*qfםYU5aN2܊Ǻb 2{7"U;qErÂjEUFFܭϨR4Q@Pޥ=+:If-yd#]q_bco}pI*QEP((((((((((((((((((f@5^"{ɷAƥ `Ұ +aSڠ08Eɒ#Sӽt,G5@;E *BEu2كکKcL R)2A$늪24U +{FFiJD)p}OO`Cv?0ASi@Q{wuTԣ/euu܏+_bDԶ6maguiIeE=S{V1,pva1km]gg|LX@H)ȧe 4 +Hz \ú[Sg);ogޟuPj uk@ϧ\E{o:/._*kg%B3*+h.hn!h(`@( h?@?LbN9u~Sa%a*rtLW|.l{QCy|M;Q#jYg)H$^"?4ԀY*=ERZ[ɬr42\N=C]0߭r+9a.{Uv1duW' Z@MZ'HT@k;{դM7 5Izp!\c'vЋ;(׽rZR}QN +nk72]^! uŘ4.x =) ";g5zԾBC\Gq(˰npI8 uiqe} .<\muo$-mկN'p?xH֍VѭAlܟLp٬d#2k08洕I$FmZ)gy IlA^WҞYRTDo +`Qҹ Kwʼgj&6`\yJX'ש~֚Q*E>rO>Ծy=p &LW>.J]Ġ™Nr(ScJ[޼+رϽh[^nPz?"['#-EtȄ|W*q3{Zf R52ɹ9zL)5V7ŠP[{9e'TN:fj dS +HXbO^<-x֒6>[32+峨;L6-Rev*@}k}i}ks7 V+]VkyK{Bb;w˪K"Y@~pZ!$Zr/QTw+6qJL)m}S/p((((((((((((((([imlI/ȿ+I1ּJdƛ@K0'v4؀V=ԑՎBӨ u@VRd6K@ݪ8 +* F zƣDԶ<5][j%'5jQeA{WC-bCVic09ԋ1ZKRX 0GJJJ#[)d8i~SE2XuRXںB֊GJw؃ҫ8h'W_&ҁvYܳz/^XmwJ)X.">5~ce4"@Mk=&;a>åt6>; l[\~SV)4ze4C.95# W*{seQoOkGJ}^a5+BzCSci9spܴ/QE#*i:>M7J+VQ݆}:y=ZվxL]¿nwq_ҹIb1$QV#k;Ste6j72ч" +]_oݻE8޿Q #_5Ń|r?E,S#ڴ0x#Tf!O,TW+S,+C֣HV-tAȯcr? +xfJB}2zUs1TwQ\sz7#,nu2h͗3[קuZb}L }O&V5/ݾǶ&規==;]P73{6^֯uָ^;%d\g"6t+R{l]}m L{ 49#jμ>ߠCb.%Xt}; + +N!(cb#{-Z^'9R")xuof<gsqݚrO?ҫsEc9knƖ9j6qIڜZ.qXjk?P3*&mmd!"\ZrSoǜ:urh h@[I#ra``)/8NPQ#KbܺzH+<#{D𺦧c]$2#T2SE"uSLRE+;9-SWVVm;WV?“ÏHg ᗣWs$6Nye9+.d),N8TԻna/pw0f2M4Kzte԰7!CһM&[ܮL򅐭HޕJi Ttbc2GM;Ou4NV䎵a/;g}ouowxCQaTŶum#< 69B#iKTyNh~8% ˃ex Gc]Y74p4\/xdX5}6f YA\[?CN2=h(((((((((OQm!һy[{y&sE, +XEKJkJJ7jR]j*"v&MJ⎈`jPQX׊;X]͏S*Y6Kwc/89>,{h;h fPu =* +IT.)2كکOa+1Q< cTȜolW<jTǯjfr/b)1+vkUHkU$UYHg`8 )Z04Vp{R!Q4r@F;;)nd 鞧ռ.yر$U[N#S +0V@8j]g ,}xh@vHP(h5޷=TQ`3׭8>zlGnjo•TknF*ÐA@ziZӈ_<\?q׭uw7xJ2ZkD:Vr5&{-Q-T?Čk!{9|Ytb+֧jDh?7Xʋ[I4yON6+yX0H "i˸QE 1uo zcyd?_ƸM[ h=WCfW@8jִRnHQ?cV_S@ 5jXf?lP;<#Wɥ^rloϡ+]KGlf9Y~S#EkCEVd7sU-e)""0h՛=M|O2 0!*ٵ׬p8G) +hHš^ZMUlU9f3С{Ky}wOhY3Ir&bz ֶL?TV;#*xl`zb eIjh'k#Ke6YQʦ v8 jx3Cl]j'$* cڗPyC?y"izc}_haB;u5Ӽ.PebgF~麠LF03{@MԱ7dmEMc.>JS̄C"ºպ3hnKVtQHaEPU/4?QMV ,a[8-_u= 2|u?Wsi}ՙJz([W&;5\i76RHXpU~ݬJ1:H#Pia_W_' vok#th$ )t\f f5ecfQ%3G(\kVmSY$6BWG~U "t"*R'"O<;cgki=S[Jv o6feN^Mev)l@Qk +tԞ9ms =4L2t bMʻ36>l~߆I4RwE1Htp)2AYZ(5Ew#VOjiZD2uM`skhcTqؐ|4ipzSW?ك4p(p?3[ƥȱ8 #\皶ڶLU̯EbIE\sRwt mi"o WyaHEd'X)I2:3%>6HPqa֚ MEyuK|3Il*ʇӞ⺽?p\ƭqxy̵4Ά .S|RaL(捣ц +?>57h;<νt+9 ֫dWWVvװn#%@_^쌶vw'_]ZN?׷,s8?ij |A{hPsƪZ5v/~ x^&^Exj[XAFIma/b } E||T3Jp8zWtP_>(((((((((() $Zi g`3m@@HfR~kjֶЙv'4zJ\ާYǭi3ffՠSŹMzGɯ ׵-r9YebARHtbO9{sy.uEm?cz0~:֓fg ܲ6%yIsx'< +>$K{n!M3MsCgj1?^ON⺳Zif(6yh7oa~1E o<\sU>t< k- nҋYGm!N jꖓuo(a)o%Zc*.)9zw sԤ=NƓ{SQ]r2e9MV*KK5]K ?Q+oQWJùfKёQfHZU揭)Mqaqhfѵ)!֑&Ǐ+@=={/^dDrz(pO\%uẅ#-˄\ZZm +$;0CܢZ(Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@T&6UԣFu)}Td[y\gBR94RsS/殢ˠ'NYػ aZ]ԹPExY4jh{`jQ5jlK>ETԀ@WJnZKP{VMeH@`#3]yQ:VbAnHkNKLg+5i WޘI#WOQn +$Wȱ=}X2*r8t- +3ڸ{ ַ3kE;uhe (ΣMGҌɼ|<C[J0n%gۖ7,$g;YVT\lŐeg>\ZFF_jd8Y* w$(胠j;KX/퐜s?^j՝Ē[$pBMpzzRwfZ[}؇k[E;;ucUێS/'&p[VxJ 0A{fݷ s)(?_?yϗ,o̓0⽶; -"HP +RQ\EPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPMtY#daaN<݁7+Qoz#Է\bejwsN-$۩G#[E?Uxݏ>Hiyf2*?*HcT'?Ҫ&zs6)n2˓kad#Ɨ[(a"I?Jc&ҸAW*ċ "=V~݈4~-%Zd6-4[Fx?xv~4#M+hPKHQXSM7s3} FYm>H U=NmIwv)CXIONb0%OBK?znYglR$u asbHJy8#NTk YCSRwaG߫}O&,KVRC\Zx~2{8xj&R jFZ5\IOfĮb\QJø SsOE|T-tR&T5Y:h{Ucvmg8^y-:Uv(sZ5}.V[aIl]F$zt /.m.n 1RB:11?V"Y1* n?tƴm+<$*Ux8YDeݽem3Iq282KyBh wF1ZlXl|*2}hqeO(șrOˁoJQl++7H62'9c-`S( =iT9 y5`=Il=0J#3ch'R_ jPYGwn~Y5u.hjq5뛆Pc󮊼:"(QEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE!u?3jm+s2vGڮ0㋟u]^YT.xoP3=SL\61 =KiJT2F@Wj>ruoHk12M;MWS.qBX:M\[Jr'>Bzcėsʨ8서Va.h9O1'i8qVجr^.5xbşĜxa!pupzpzA0jxz.5[Rʰ`Sf6~?}ղ]kV⪡ځGa~wd=8IUSqUqXiU7Ӄ0o}j~iM2lMV+Fc4̃'~jԅba!'$TzM5jB'gzzӼz&#].O+6,t, )5ۧkn ֔7ڳi:,f83$Ntg"噓j/oidwI@I HQ\׬YOjp^9_)2t'ڸm Kxnݧ[=wb_?*f`QwRy wq[!pp$_T`GVp>V&NLw̎2م\qjzZH c[z Punu泖ީk:􋛀0B=~9rB|*zcΌP7+{0q9܇vq5R\ҹdJ~/r?:q="(J{7"Uد+;s|U}ۏsƻ+Xg,8taѡFVn7 qZ崊Ga?8ͧf'kEVaEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPviZ? ?\E%۠ywPio-d|kԦ Ǟ zю"u # 1?u[okH A: g?5_/I ?3ZꨦE4SƲC"H2 Q@9 +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +#ʇjj(Լcqe{gEr:0hP $AE4}&Y"=.hf7Z=<7Jw]ԡQn UqnRJ)$(9Q՛OUrlt6qG\yO6m*]b^KP3s;>K5)_/uںfʐYYs^%q$f +200?:5{{Hl;Y&Ir,rpziM !2riAXMJ)j"`g$Tz4F帕` +ȡv,IɪڰY%c/$_t7c̦q !EHN < ʚsѾ2xr#~Y\auNqkF6=ZWnQ`8 =sG@2(^ Yp"Q_ɺWsu5-6;\p]/ׅu K,B2+tJ=W\/n 0.QEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE"hr 5xI+ZJ{+铱F֏]"E$n#à3q{qqhI#DeYLPgt.O_5I-<杀>@gpw""BFyAb3vq^,ckRfݸe29f-SRVCHaSH)LS{ñzT,z*w[l;L|(@Rm{`0푹y }+[}!p|ߘ^0-rwr|!(skMU2EF:/>i6O~t+`3$Pп$ +wqj B9^KwG{>?H$iwQ /8nK)$m95x7ڑ}[;h9ʺu1'Kbn5eσ\.CVL8oc`|ɱ@Z&#J$wKڞ!K;v%k)Pد +AWmy424n[/jQ!C~&xMojy'"A4"8ZkR;ԫ?zV;_n%fe*?Q* e.{ ?|t.،:ʬK)"0eOxǕsqG+/5kv`!uoI 9h?5`3?^?m+s+yn=\O!imwr1`hEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQER@ 4PRo?/ҸKkeKo5Posk8 !|૩ ^sgmysrkֲ%x[̿ZӚM\vPHb>E1#XVOI QW^Z&H i?V%ŝ<WoTMhT9!*K;Tm}3xqy펵?G5Wxߢ FmҀ1^v(ܞݵ㢾M(4-A0u݌f>") QEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE}چLD[ѢXN%\pɶsܟF災q5t"hr ֊+4瑉)z-&sۆkԼ XeE/TwqR=lJH"Tfl?|GiΓ8|7Ӽ I杼+U ((((((((((((((((((((((((((((((((((((((((((((((((((((֝gzOr9rn%(*(:m6v]f((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((((( +endstream +endobj +1066 0 obj +<< +/Length 8338 +/Filter /FlateDecode +>> +stream +x]Yw\~,t'%Ň1W3t恖GsbɖؙAa;n&)P*=|ܜ]<>>/79|_ًͫ^yx|ٳ4 m}uBD gh~yM%'$O O㏿ý}؝SqLj2&~˚S4#RJdQ07!3K&a/B,b)HWquz%i)e~}[7%U 7=BFrYcfx$`#CSA rvLIFOZذϖTƛp&ӑFRs3_&-80zG_?|V +VfMt{PK',~d +Q ~`lTl(# i!hD°2GPmF}i82Cn<B!8A p>-pbd ܧbѧ@zO&Csʕݩ`)j"I QXb,9 ֨ب>Kq}rdFLk}2 04ef" ӔJq9=O dq)3AO|4>Ohض}ީكyJf#{>OaވG`}RSb\6ڣSSl3&}C)1>[ )1><%XOjd)1>M1=FظE,cb;bg)+ŢRc')hG}*1,ܝ'c fT0,5]޸U&ISfDzvkOaZbV&`s@-Ƕrz^yE(9o7"D,̳sF`"d=2Z >&R_ +#'°Su5"Nj(m1i"[E X ݟcQhGM#R;>ֈ&VРBSX` +:n2$+ֺ?R@8'3WAoLL$>JWA SoPz=W] 3g$eG&Z6QPlX/^Cc=+1uNKA` ++R9K ݝHi FW$IFhв.1.ZO"2uCj3mE frјgl@5@ΈOcĪ?0đ QDEpFshUUSev*\A2 kp!K\㋈QFB6ET9CL쑐ǗQnnkF~0M,77C2c>VdMo0k3\UpF2f:Vb,C8M&fL@,_,2;Mk2Wke^vW7wDm_ְ' ;`]r,7u*^xXM`PF2Y>`ꛯ6̢B6IB6b|!Kk) Ua6G76ŒTfg$m ȑ:ƺ(S!#iu6Q>$|6¼̒^e-lD9yPx ϡ6čUD˖A4Zcs޼U,`m!M`- -XK#QN gσpe͐@ۨdubkK͒"*^İj C`N"|@nڝP~(FfRljf9(1oC ;m +Ή} n^;}~dСxkQg]7quwQ(.X"E1j)TS,Hs|O%+ûEbji/1,.4ݤN>;w%Uz'څr'?(v[ iHGh95@KMkPZ!a~UC} ]HAB:p<<[Q%q{QJrl'# NxF&!K4A:T%VsuMԋNz~Oh\ݳf΃Yb0=4aZ-fpoEZ+ CVp|#Vye{sp_ՆZ휡VLO{x3{ +oZa[$ɫZ8Gp`ނZB+3 ~_]T]Wٳ߂vZ!D+v7qU9ŭH+:'1{˛pnWآz S^C`00Y٨!D+lX,o^zƑ,@.F _k+c.Q_$ EWCٖ_t~q.^_lH-}N!}i:ΐFo>fe5( ɍmuQ{AZ?CvcBXz븬}V <ʬ? +;\vwH O}5jG;(V4|HA?yRU0 R0o.QURS^__ݫη[ Vx0Tu# K1 /+R5+b}Dgoϊ)Ap uE/nYy,D 8j WcYS A']-pTMik2du{oHk0Qd 2?B L=_T) IM)_)%D^-r b{ئFbTnrͮ253Npꇥm{U0T^UֳS-I8cZ)^PNVUc0hTns$c" +z{eBo֨Ffo & +Mm".s9H/3yO y܂=/Uu{9BAX W /T eC#:utDB{{wmkbR~M{%c6Du5}*Q|ΪOq=F6ûo7jBK{(ؾO~^Dm^` d:1[Ni"Ý-hD:!ۂ`[oq m*Ebx~y(x*Պm43~^"딵h)k}7WK.Lͭ' ]D qJ8QԸ Z.+ 4UB]u05>ɨ9f*`b;PvjfF봪!Ä!1-̋~~ƩQ)t4(;o$)4z.] .8FIkcI-E!eUxRuTc'Vm%8C5%]xrFu]*= +2Ft# +b"w" +FV5ؽ<))]tCՐ_O}AJQ>Ȗ>Q$o#?鏦{MUmj(a R5^~\ 4.E*+i 6(ZU;!|8 hHW#^sjIhD[%SEհvW +aC-/M085Z<FkS""8U6EۗۦʉFH6drVi~grA䴶9f5RJD:9'{05 ߠ59 3CPȊ25?0x2u&0ԏm? >ԎmyGO)ʱf+ 'Wv%ֱ+_I&7! #׌((QH4RQjg + VmC6po3>+kiIyNĊ  43"?q_$"H̃[u, +F.'\mOP"=mDW0śٷTá /yF3tVSsLmor +Fm3IVoh߾S .*=x}ö4a +zKDk=AX%ت1u&ҧH}OϚƸ0s9kRoDi~6+''Y̪o̎C}uy~@3ٽ?$ɡqVi8<d9=Ž3gЅ$4 2Fj-Ӟ1K["j|8r}9GE@ ^+܀J#);:d=!JqڌgVd>>f* Y=䀇уl(=)EK;cQǤ0vҰ |\'3D\PLx-!3{n&>EJD$ol3S h5p)Q,=x >4]#1,p2,6,뛮a˘aJa a +?5犛$`*h(ܬ_E'c*h!?h3Dy"pCeh +! +Sσ]ح/g.9Hi$ u/}[k؈_.{U w#UU&!/et+`;1Fջ{aKOǎ*ckuP<4yl&(Krwlì.'4Lc (8ϊjfp尐lK*\j[\ϦWƠmICYI;qr0B#ۋfۛOa{|QPԡzh]qcD˫f:E3ep6ioqtRJf6ZIec +6h'n餿1J2t1IXh|RoPTiD1v~\*[\0{=.[%dwr޽UO_5/w>ߙ. w3G.Rŵl]} }s#VG_(ǡ7A|?ё[uoc'vthQl]WuVpNLMՊѸhNw4n;7=tG(Ѵ[_z x:;2$eMXB{A ti_w3.U{eaN:d;]]NsR`=:%Oއe}F5]`4kk ^ z'8\ks0m@I=fxsG9Œƿ.PStkfKy5/P<~ϘnBG(.Qeڥ`ƺ mb2޷qWj{d|)ˡ31Jsšp3cE +bc< +w{ـU +endstream +endobj +1067 0 obj +<< +/BaseFont /ABCDEE+#C2#BB#C3#B5#C2#B1#C2#BC#C2#B8#C2#B2 +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1943 0 R +/LastChar 41 +/Name /F13 +/Subtype /TrueType +/Type /Font +/Widths [333 0 0 0 0 0 0 0 375 375] +>> +endobj +1068 0 obj +<< +/BaseFont /ABCDEE+#C2#BB#C3#B5#C2#B1#C2#BC#C2#B8#C2#B2 +/DescendantFonts [1944 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1945 0 R +/Type /Font +>> +endobj +1069 0 obj +<< +/Length 4652 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /FlateDecode +/Height 78 +/Interpolate false +/SMask 1946 0 R +/Subtype /Image +/Type /XObject +/Width 370 +>> +stream +x{PUU*"8B +f|)!AM\P2 x%b.4D%a*/b`% #i=};ϾpgXYk~{^` @ @ @ @ @ ]"""kjj-LNNMXX3lllEjHII?{',_)ݎ;d\? 3sss1Wy[ns=V۷Cr!9b&MJNNnkk@޽{L<2 +_kɉN8m4L >ٓyܸqRj+477#]boooX>(,Q֯_I_ +[vdd$AI8`-0P d(33SI>zXYf ;::WZ%dTVVfgg?&L?V1:+c>|Xܶ0U$Gͧr@O)++ST6nnn"k~@-))Q%V}}Y*4\[xɂ*f\O>P8MYIo>[ɓKG]]̙3™*...*HD5Z  /<0FԚU+^3(Ν;M}衇x#*0  7l +jR JHH)=ʕ+fAf^AUZ[[e+VXsZڤh@&MkV\]] +#x{{U& A5hWp*0YBlVqww=g/Pb C +YJnVrGGGXԩS2 ڵk5Y%++K@,Ξ=+b*P:06ouds΅t?2Ujj*7[uVquLڡ!h-̓}o޼-w\V8q˗W?tgGjkkZ#>>att4oud T?)//U*(RRTTTU-Z Z__LdffrU`L_ $$įۉ6*}0**_E&X;7'H0SYU.\0~x +fJmm-3jJ̀N g\\\ѭ*Ö*%[ HU*n*Vi8:[X +J{{ TNNN~aSSx:= +l(رc+*@uuө .Ո'9}o-2 + b8|V{{{N4a„A0h*@pp0uVJzJZZ-|ʔ)K{ + XɃckk+++a[dB]kk.]*d *,ce_cYU&0ΗTV+(JllЇ2*,4bwww3+x =[&'OlAIVÃEUJfhoot~~A$ܼySbsG*Hꢢv5y |%K\IVinnֶ$dh9666tBUU%}"Vb٬*D{IjZ2Xr% dNVV) .--ݻ"оUi~AiV;{lhh՝U@#v?#,,6U[HNNVe7xRm!`c4Ukjj!Xŋ5sYu$ +bU"##B@Y`Q?/vrA|r][رc|Vś*uuuȰ + c0*0G-&7XGƛ*}bp-9s/>#/#󃩤$d3fpC+ +cΎ%m{e&B&Th$eee(gLXQQL*H222XQK.' mx,C߿7T̚5kZc`Vոaޒ*q0Q}ùdVѰ + iXQY+i:,``d~->>*v.0K6%N0ܽ{ꌌ $D`` }Miqݺu8@[:P%O&വk׾'O xY!F 771_~C)իgF͛ҵb.^loLOX s]~}\\\0RWcsՃ0)b7mtL.K^ A%ضmzGo&cƌ <<<''Oadxdpp޽{3|A5'O ((B{4V^)S=zt˖-B}BGM96VEnݺoaRRR,XҕG"|$''+&ׯ_77 0$ne*8::.rURRb QHV5k(UΙ"۷KNNNu c(9? OiiqQ?=AwMz]Fqr_~iFD(CCCw?>SO=5u!@0,Z۹#/T+W+VM FGɉ'7J}bcc׌dժU*0*lb(0HxO1Xāw@:ҙ"@ @ ⛜ +endstream +endobj +1070 0 obj +<< +/Length 658 +/BBox [0 0 369.98 74.596] +/Filter /FlateDecode +/Matrix [0.1946 0 0 0.9652 0 0] +/Resources << +/Font << +/F12 1947 0 R +>> +>> +/Subtype /Form +/Type /XObject +>> +stream +xUMo0W x}J†V@U:q85xg#~h  B Ep]4w4X%Znxs$ќ9}>S_~N4>)'g5 d!^MiRIJpVTb!0S4vu>F9~mz r2$1߱KkyBd`h<]`T5^ՎFR1iq{-ku#GmhZ!hʽZ)&up]U@WNŹ03hZDN^˫p4MKKI/ <Iqרi6j{oIlc >?O2lm0С!ji5XnU\ Jj {Q ~vTqHjP gF#Jk]W62kLL8c @Έd]I0Sq@bX暱 \l1/yȻFWCl⊑w/ws=?p_s4`Sn]Ԃd,D3 cL9PK)clϰdk^ڽRYE{eV[8PRt:e[~{1 am/;'?x> +stream +x}[];ɚxq-.%$L]?joD\Ժ(ǰAڥp(j/,JoJ.JLb?zW~Wg+发Jrj)S>j}{Zov?RmwWwB/+k~|%ϏҞnzZ*6~m{7i K MgzynCF=,amӃWoz?QWĵTI yn5Be=+)Gai[q5ײmm{TF/vnܯ1< 7o7cM>^[!|D͵8#M4851$7 JY<;oa{"~?~?cmW_ESFeK#5ZeS-'~SdeeaXnZI:#RoP O~nׂCQ>_ss*|y tZN_zp<컷_sTEnn4zN:=a`qM^уUR7O7M ˗ݺ +OOW﾿/g *q#d=Xϲ D\D )ōՑRE#Xa9az $56-a'vDa#4@8r !Q8a\sAK澅m r`M5ȴr̊ ]$, rlAneB6VnLCӊqmiAN14ol[8gض GqMmANcʶ9:iض GqXpmi;^4k-49:#Ac ѩG^ض Gv.YS/V6 9:s 7wjrtj۩̍m rtj-S\[rtj7- 9:sˆk CN!mANܲaۂ +*l[S9mANܲaۂ +U\[Xrt*-,9:s˚k KN2K,9:s˖]͐S:8j) + 9:s 'F,98XZrpJ9])w!nϻ` \+rYznFǩ-68Mm},L cӚݖ0l5]qqk<.sPvu%AU+$N3^HGFoo VvwUJ-q%wFɠ[M%Z. :Ѩ[Zj`ƱM)80[Zj!?6i[TQiai -+ =Q^Ä́!6eD.R/V*ֱsS=juD]ae?Hﵰlbnk5 bُ=1 wק_^7R]oP9N/݁>F}l4p][|P +^Ӟ+KRz^~!f 1#E%;Ǝp˽caJg+di.-6b-SܼD +n]Tp5oP 9PH5hx6L()ṏq X{r +Ʃ!+{i5fQYԋԷ6j61+ܱKa!wYGr1Fw YK]ʃ +n ì{º~<`ݍ }KZɉO^$RzgBϜ.t\4-ՙ=!Z!bE6`!'@J)c4f4Xi:8bbb|Bx:\f0>+1!|xJU(o\OjxcE}iޫ6~7bHs, +)C1obdWP#sA풁&QCG8ACg5%?16ԋ 5+1+-"}}q*%UƯ6&(R}rt8MQŭb4[o){ b`?.i E J&wX&~a۵wMd٬E{.Siަ6ү +gpz +&usq>4Lm4*, B0pQQ) d5 +2~Դz=wАV?ցA]$R=QvEX]f]+;\ۻ q_I3;B4GqnڻYQ,^ex7{:rnlk/%:C +ƱQS0GH>Vt׭xגjGM{jQWgʮ)pAoÿ~ 7???9kG&&^R]O''Ǒu3(uy7I͙[%{Q'N2WPdA}QLH838Jqz+ $|8I^LtK׿ifIQ11B9__M(C,᰽-|cֲQ]`Ye).JUq\XmH8լb2wP/1V]wWHF7OF]hKdk3c^[.{~&`Bjϕyp/mB󎥧Ƭ@ Yױaxi89.@KC94DI#dpsX=.Sk$ܽs("q:x$phO@QB/:"x,Z>90,-̆[4yy%6?/W"!2$eE6^D}.٠ yVv B^a=ߜ2:X} F7J?0X,u0Ar󍒯{aT6H|f } ໄx")db\O +G!'Ν&iuZn"g{mlriJ C+ +CD!YA3} +at磲=/ b ;1=㍌h a W ѝq׾;1 y\Zm-4Gh!tޭbN3Shnn27Aџ)vx"aDyCCxG*s.ݏ΄nQR}jkקd.!\/kPm'/Er0|bwg7e%Qck^bۗλƑ1,O!S{W~a8AL»\J,>Ti~-NxC3D𬍀x3xGCН~l"MNKQ%r 46rȞTzq+N;ҹJʹ21\؎ڬ6gT~Ts];zW""ҟї TqlZU{-4'HwH;s\L/)ԾnA*1݂!|a#S!.>VyepRH|at{%c!L'=WiYŴȥC- ä`-iu RP8'0"cf˂KG:ı萞G ~ж΄/^+qȆ(Tc9034N"B5ob18C0;:8($G@"kuK@kZ7jؼfx0G;n#Exjy%0O0P p +WśF΃'x#j%W8 *5y^}s_$$fSm@45 Lɗ;߼B%P+eM3y|cDMD +'`cuԫNkñgq`VU䉚6R?/H&;-Ϸ;e;m %=Ԁ|t#%zOPc;dqtANe8\l}e78},-ʎo\XE-ɮnXX=kI-2|mKKOd6Z-ʓXyt-R8H50"e(r.C8,I: qLN_| |3y;t۬u`fN/T;"b7½xaZqnj4/;GP .tj(8jθ89ŗ!A8xq 1u5䘑CbK<ع^׹f7e?F +o7tnX+aTdR`%9%_JûƈV+T+s;+`٢"TFyŸr^8@j'k(l0ʉ8$_6AXq4 )N#5‰_ +)pT3FƍHR`*ޱF3)^;o6;e_@/,^Ar-Ԯ[x"i 0 (h$qVjs,JC9 +Ɣc)pξ8qw%Hط >c_[\F>Qg=SgwW|\y*\Kf63z6;&Qg=W2ډyڨF*':Ɯr"P.ߺIMd4VBHqcL4B3tzÃ@K33!,37̞.4ܖXPR#vɝ^dDm Ƅ +1 nJ޸cXm;E +HVQh~L9,kҗ%Q(GLKRb3iI2JӒ8E:ӒՋGK?MD-1ICf7Q0Gn2ExktAGf[~6 +PT\31ɜ9?㨑&!ɤ&zTڦL@#Ab@6?S$U,YU,HAéAقGg,,ǥ^%',j-2q, + lv,j"7ps+猥N?Oi8ga|B8z0ɉYhWIl2 #̢j5MBSYօrBudNVrƎT{HuWte,O}5 w?0|o2b />hNb)HK'(߰"NSNsm\`tiV|rRiةx7,GD ic#:3ˁM_OG$,CIoy\b=^dfQT&/ V:=~d)q򐚅zRsv}n +ag%S2'RUlj/ Yޜ&.CWE`ce=p_Oeَ',+{ FV3=V(o$|_ϊ$g,ELw>~]dOP+%>w,QJPk>@ +NuI$EΑ + 7=%D-oC$$ҡ-S^B|j!uJL4:T-tE|B!%J@nCЄՓ/^v)MsSgG#>2!wL% G :f?n8bY[RPY[8%Bn\8UtWZ0ǡ(Hs +w[ahD8r sa/h"A"W +Y[Ǣa |ë +;Ƅ ͝4AY0*,#WXF̻}me u fc,c\9Q?_gг/M*l Y'ݑe]ƭC8a̡S$8H1-Nf"(FMh>+'+]V=WRɐHZ;7 2A&=T> kѲ4r("A"̢ԩf u1#sn"p;Dv4F͛J ,̸3.nʔ:m#4pѢƍk-O5{qYgu4,жФ*\fڶМlAHHbv=ĹA]0tn>!F@S N·q:*t2Wdɱۈc:M`6F'Ǖuq: ִJ.NlRl@ )N#5Yr,H!gvg> +qEk$FފTjtiGV[#d)qAIOˣ4ĮЊ#v,fuxt8ѰBIgY{@SqL#Z4ՑGI9TиPYв I'NK*K#"!HPԥTm#KG3.+,s9ꥍ֚Qs"ֆX4҄(ɕ1Jf,=M'6Fi͌fcf\9vJS7ǗUrqw*$ː;R\S.3f>P؟sR(P44 RǑE +חX"?hsY4a.Vsa1sa,Mwˊwa.FcDY'8i"~/:ͤnq%8W4}덯/:oFvLU ]*Ҟ7'J5>Q,yCeʘ q;y^nl+':i 쑶R5ԭ|vS*"ݴ.v^<>K.H'|@)x$ubJ.LIȝX<Qco>HHŘ8By1'oxr]Cn΅+>ևsUfE%g$oz&iҌzkS耶n5(-! xc +Uʃ;_nJ(EaDǜJ[P9-,߃뵴W2=R۝{a}LE"C,k5op5ڊo@ٯA5R;C"52rê\z._P]4 u#< W?5QRo 46,FZnVzCh}k?⻻Pq͏w{A:9vY:_9M{R{C7>fLQ\'H4n~7v1X&"n-Lv>3L]~L%aZx>nY1f}4cѨ)r0BPa~u+n1iblD2J [i17F(C|lɼ *)Bє ׈4-/%iZ-l_#4GIUhޤ0P!_ d,fI14v\jqY!:m,v,eu.*Vna-xE C)]uoL8vCN&lټ}6abooT6aS\ĞRW2-b+2ЉC,ݨ~=J)RQueQ\I{ћ[aXM !Yf%vA@͵oZ?1J\.~-| 7~!v+Lb[Grv -y>!u!ZX||1 ݻm mj^>ɰ).˄iMGvlzxeLëW_daF]v,ӯVb8E8l˧49!o9˴c{GKJ3#0JU CL?*aA|Pl*r "*+B 4ZC/oNsP8{vo==gmHCVti ׳gkaAgMaG4t.bG3^d=!'ۼHf:6~ ɭ$$a`d| Ou$nΑFHNY4 +"4J`ebCHɀO䌯1hK@uJ6鄶R͞4rGk@5J ̜U:| hxNy 甜`地h5P^I>$Ls"fK;@.5/7i)8 IQ- :6 k}YAfn٭ %&°^#9 +H0j)/{F>yj> b^$kyz'16B/·OF Hw3BxƇZ}Ҝ}qEv#dDЍ4~Em1@@no>DCXq4)N#g8y5YwQ:60k5 E*7/X27mQ{KTMH쵑MCn75A|#`|61Sokfsa@Sa[%Ht8%ɅZjĒ czEHYIziyּueFOF=BOuhp7gR0i1k\KC2'6xVX4Rm0R: ٻ=,$eyg#~$&ī;N0hsbNwᑰ[P;dbroIfDn8 6_7rSx]|։+ +EHn|\=qqZS{oP a eƸ~Diɢ#OUޯL}ׂPKmSVGyXqT+>AqXx=`&4¾x Dq t CeH5wtAJI[H؝8c#w:b@i~y9K#Nxt8HC @sE6&V +:V@ɡryZ$67gt}QV=˦KVtX6gVtqh%RNJ.F>WIpnE0a\ncR%4lD4R?uT4 OD4$НU8ُG6++U 8]eSgVtd릃ś[c{Q +T'*k=HdKPFs,e;q(G;"ʦ=PkyfHġ ʢwPoӥl#q9-?4 +endstream +endobj +1072 0 obj +<< +/Length 11828 +/Filter /FlateDecode +>> +stream +x}Y;ŝq]2:5!E[3^d9]@IiT>,V""D|">?|ۯ󇷇wo>~ٳ/o,'}XN>|ۓPO\>ϞG(NfP|b_ ~'Ϟ̋O7ϟ<}OUUBdXҊG]+k@& dB.1; #l?GVXkx?է1 sVy"$m?悵-c +T;1NJxF)7ߏ1]S:y`0c|Qs]j4Mc4QU1\xg)S_|a ~fWO_EΫu͌9yo_@xU#4LU6E¿}r'O?83~2 } +b !v.8}2J@BM룉\lrCd(gy+MW&^_}g߿歟|@Uso',~dď;5|G.A_eH[1k9 \ؓ:aSkA+T>w/Lo^E-'yb- +M(PvZL*CԅO_08e'ߌGn_~u>S#_?xh̍=?^@!\zO UV>0ʞCSk7y'U+qZXfJPwk{磼zT@ >xᮾ|`|eaj"w#Ky=a@&[*[su3|`LG74[sΧT:Y]k  #[TKï\=x#cWwC͡O_"D mEQ{iL }ѥ/C'M wnyacFGJ@/Wt#IT1RDg)"]2._YT5RD-GV< /3ÌpK+aGJ8 3/^q=$v䣒Ɛd/_1WOP^O^s8;_p门B#](˛xĕg?u2nnؔKϩT;/jClbE1T.shbP?ʇ`;>Nz.Jd߽LW[+G^ZKm'/%d,{1ŒENWV\C?Bq_oؕ,WOlE:""n)T. +J7Znܿ"4|.}n%ڗ/B~-lޙ.bY΄ƢS֛9Wa@:8g'6 Ɉ=ZKJO]* .]Z[wĶS:UWS_I D +hm9앮=Z>x=Gaޝ^;鶦%嵝tS684 _ }v)%> +e9_u=IZh[ngKmPQ{,+?JH^ɉ^h;caoul[S"۞pTU/5Pq'Q/8b)lބS3cdXVpʀoOX, {t.Feldv'խ|_$>_Ԓ5Z ,yj&t%vۛ"w3Y|$`OAN*m Z*ժŐZ'E.jPړBP*=XKzp8Z6hKZ;zF;Z J i-L-ҡy M[GݦxH" +Nbr(s}?iӹz*z b$"a +`.q ((&OLFƥĠꙴ%NS:{P:mz?/A18m fp|JJI8\j-܍0"6cLNgחGs>x{(5DWywd]]@g_3oAӿDj/7QX}D"F0sU&;F^"?܋E]s %'$Pz-d9!3evV>ȀWLN;WSaۯJY2~jDq`}c<k(7y{Ae>J +ݴRNJqHq)#pXf{Pzxa)Ei#PƇ9dWV_ Fp>ae1vEߕp 6 6GiPpmTn +!^Zɋ}|{C$tlnuX*d}r&c;̑1ƁP9bpnntBVS +HBͮFHDŕuSHn,] gl/R,fǥ5Pܻ=E,Gw4Bܛ +(ъhF+*vTz6.w>Kf/ɛ-Es#g-I7+UݰW6&7I`GG2}=( a*ei2Ƿv4li̖h}ƥ6WR%FJ@ehʸɎDz=9ax9+i}Pa +^=/kFj|/ׂ f!l,t #`!M7clfO4xSjwXLXB;;p{PRCT^|xqWOglVlHŁxڏUp+έ:}_\R+Y Y S>/䶪;>1\kXO;`َZ;Xy^Sq8 rV?_ dψ;XX̘q `&0>̝i@Vʒze[X:†N2M'%GP_i"$$WbJiרM[ٷ"]}j3k(&FXSG"Hc̍CWkٷ5F M%|^~cœKwQfS%٩()|Z;J¾NZg`@WCH{RBW*xCINseNUėP|0?_O)oK6Q໿~̪ 9,1_hxSY%"L/r4Wj}{=&Ỹxnh B. +nedf0oL\1:Nҁ§2jvaYU{3L7 {j&|0 cu{9&vFA /{  +\eU.?O.?M79k&pKrH|ѧ _ڄ9g_./- Բ1zv>} > Mzg*f[(N'2@?c%%>45=ajj٭Ѽ!IJn *89;_,pUg2C} +v*]\54eSsǢF^FJRz +z?ۼ^0R_Ӄ̶cR⪎~(9G!|%/j+%!ws'2FVD^-Ň&]j ++-DHQ*ٮ{j%v9w$56Z{~ +ITyݻ\vĊzz{~*!:y7iݫBlK> ;%y ;GT94]X=0B2Z}%)=5QUX&5i7i:ӃU%*nj$O U$܅~u:#gp7u~Nu9ģHZRnέ-]_qߖ>/d(6[H 8s的6(JzAӹ>mIYG0BJGZl my٥\Z-5a4>*ov`?5Yj-aVJ);NLd$ JnH@A3B +7|OwS&g PֵPGj ۡҐs`Ze=%GOq +ix#U I1V]X#qyv ~Lq ^B/<|T26 @w) G2Yʾ8'<&IG<^t27G]VmԻi_ Hz})x s@cX9Zq#qXt9"Ê{&޸v&Fv"R9X=9+g+l%5ta)}p 34 +VF]Xq+2\2T[n A2̕]܏r4o}X?eb`۾jw2,/񶈈.֥^T5el 0GGV,RGRqb&K0K@Dz1}Xݽf:mw!rR&R(tڙn˅umzB2.nfwjɆjEdCal*G*dX!XLkCel Hqa9 8['9R\ 8e>#/65Pjh la](1h4"\߸qju}wy`h8H [۾>8`\Gu>HGf۹m24eQ.1[,4Já +_8(y\Kxa\ E3D4iLHğ(Ҹ"Ir S [%> gÀ](8}^g,6Fʙ(}W!VâT%Jl5VBHqE]@Aܜ> 5}P>@>@:C^/D +aS:p]>);m[}Hp}ps0AYCjw׻c@"v 󐁝r4e9pst~Rj2$FO8'8N8.pKW{ԴT}ux_Ed%bw5іG(1ιQ4jM^5ig|4OTL(rQ2NƵA(r&ߡ2Jƕ3Q}hVF]Xq+i|L`/GwTJ'oL2Rs(0.&f5$S@j #$eګ0!RЯinZ*3^ 3Xoayi> J o3Jہu nLc~W`%Q=zgz X%}vj&T_ O[Yf [lvc0Y/go7Ĥ߿ Wxnr^PjGLP@[tsB7Ky3nph]^$OPbJ%'D,. vq/d9PiխZ.AKޖ% [YnsaFE//. uŝC,e$a>םwcPnEq,\Ǹ9`=&9ۈԝp! ܕ,Ldw紧eTb݆C}iY'=Y +k"%"|>iR-eAކ#LE>r'`ۛ-[d)uT_2SS.l|l /Uh`ݎ x\KƤ=R~R7/b嗌EAM|E|R@jGzv'dLX3uKƦ7S%Q +Lj_sgiʹ(>Ⱥ!mrVyYc9ǭXYVYEu Hy@o@ӖZ9.t~ ZT kAJ~qF.R9ohō}-OqhRŠHq )cDqtgy,QX*z ig,}XJKMK&IhY,xDVcTbэw?(O̱33J'"fXJti"؏?X4TugKiK Y,xDfcGib)H9HX)iKU$ɁK %$,qdm 'JocW8sZ8-A1qr2aR܄+bg,$zÿ}A}I#Y8}}Z@Q`2z1RabĄ%Դ[(cQlE# Ϯ[xƗLƿ?Ϯ/z,ϨNzBhMysg[X4fԌ*G_ba ױ,\#Sz #$\#L,ԟqcqm p8P"/xִ1-|al;0 )>PX1XE{'1 q=c7 @#ݫ8N8 Rv/6XXW+vHVb:3YIJi9!&R%PVEh"ǬJU|. }kŭU2#\s&a,p^Mu >h+K`"2BQ(TĎnᬽ@h)ጸ@avN: 2m(t 'M (@4 +ڄs –bQ5O,q +endstream +endobj +1073 0 obj +<< +/Length 6366 +/Filter /FlateDecode +>> +stream +x]mq~bcK񮢛6=YlS1SDJE$E3b [V=~07w|g߼Yܽy웿R6<%GpsW7_甭^>{]; 2ƽdkSyKjt{.lv +N3g6jAGhc&,U8Ó9/}wד)oLfH|uG͓׿n^2i#_?K<.7~'Gr@WI)0A%)8gQboGJh K,vJ0-C)\_7foI?5OoV +:RFՃE4#%?|4;7ǏƤ/o;i9O)cljLIɸ(<éFѯ_肛/n~9z !Xw;gp7 hˡ5GgAD`rPUGUoK U³ W,& }U`$]JG,IBW)rËSl[EU1meQL"%jZ9%\fDU9&ПeF9DU;d%kUNK}pV]XT=GD U4rEYTPeQNC,ʢ7(v;(v4j!odU4,1.9h UDnQUDrɰ8v3,@T)%ۉUDʰP̰(v"e$ SN`IHn9,T-%YvB  %(ve(v*j'[j'[6j'p^$ [N daک-,lU;5pVS[LU;5eX +]mU;\j&eQNMKnVSCCkʩuCʩUCۮW,8]*,wCt`?Tp%ysDmҵ{m,ViRN=#1h:n;P\Jn/Q~J#VUXIteg/tJ2ްlbK3ZbƗB2Ҍ@_.Ҝ@,.l_#ecKb|lO ܭ\)Y u=_HEPAJ;XȂZ ?,h,AS氠b#Xg"PRݙW~JdO֜Bome!6E3z+RVTG?8KAe8pxk9˹C*:C!lQqwxʰmizmוCp]ʈ1}鸆4k3=IqcK+AI8s=eXVg#w^l#DU?ss#  Bv'Vz%<'JSXxsd=)vd,ĕ _64)$38n*OْIJtz83ܢ{zфVe:^B"rJ-=lD)yeʴz ֙S->=,HEk +E:4TQ̇-%Q[+KGK*_zE +9IհRr@bXf&i2 Kn<3IHF&Z:]"짃B8XsJ_Y L,wf}@g0 AӵZO@yK)~oHFBYnLk1#9* d$K. 8@MLYÖ~B+ԌWb( 65d;WlŊo&coNgNRM&8uJ> MRjS[> 92IAV#Wt +R+!z FGkT6.K%]-d#}Lv>1>ǩ/B e5rٴa.ac/?##i*ё4n4LH&Fne;h$56|ظ*EO *IlUIl):nn_~hV /xokb˥)‡ObhA/c n3/?8Ҕa*E#J`b~X_V4]R`Xټ[-+"PhT:ns+uKK~CU'XaTH4%EF(r>a~uy;q ;(ROT&Y9Kr^7)<9V4U*r*aĹ#Sx!/7A)-/ui_sX~|vߚ<>.I/dzV^*=l + )7K&m '=5h7oiI6I3j*/U:R*HY& +*wT$O{H5;%$5D =!g9T.  vAr| |ڰR52!I''5 gbcfϤI}-ҙˡNHעXx t@׻+x\9쥀xe@ +7nn ݝ拽/"XJM)5#Mh#=9PhQX<6<?vf&z)Fvsa;NF2%1OTk*3)9 ]JRmC1'-t@Im(茡Xl1h\ +Ԇ2O$6dHQ\3ȡ\HGRl;C2KfؼjX6i8æQk3VJ'Ϣ|Ǖϰ-naRE lCVi%o1O[>Lh<Xn#g/~ &m F:R&5¶-l۹l@Z +D[HG,Qn!o $nq1L&%oxQ rКf:@B9C^u@C3iԷJs +! Hne|YŞ":uo@th @3,Zi#?M1 z(ƶ 6! m$%LF-TbvD{f2jHƢ蟸IXe.޴XWMl{=1H=ej\X:L)űRݖb pW`>DFGd}eB4ҩ)IwTH)r{T"ŮDn E ;83--#8 mt~&8`@ADUiYuDlmz bO.hbWN|hy' $9p !Mk_b[{[wX 4IpX&=;Z\7 ѕ*xR:&C:9 I:BhUx Ɉx8 /{Peuxx# Zш5<a'a;H4Ap)CѼ]B^g2q s ^86Jʏs+&]o+;喢K T".K_3^0Fbb>̧RcBiER&rr6,9hhyb暆K)H +;sM No6BGL {"3R=Q @>'Q"ѿ\D;읛ɥ%|#ǭ1?P{-+j>9q,K%7΍YʶŎߔm'@ܣk7S +Yc?A}7bQf!OM]QWPl! u[|0 ׏+q/)Wo"03R-I-Gǹn{kLoQlmBJb*h0]* +CΝm'qb`XPr~ZR?Bli;,*s=;XT8{~|w lJ^N&b^v[Q|,gbNFbA+鰢]bWxHE`^*A" D2?h}f8&V<h#$Zg49xLjGm@ 2#}7Um, d" H>;(T?1(ÉB(]Sb~o7vx^n7Dq2YB=AMm!n%=f ' >X!. h:(l~_{{Zeb+Qlj ˖&=ً7N\7ơc+j6cv~+us$iyl)_C#jTH-"/՞=Eh)~Q+-Jkr g㖡Ziy>׃2 +<PZN_lD h1zx|rD3=&+( NJjTj -ҜZhg2%h$EpW- oc"6ؗ!z(6 f`5:ڡ$G:Gp$*`&26XGnN s\HJy:M( WF*Q6^Ud~,eJ7x7M_M~SyQ[q)VJeq +?PyE?C'7?Qżz?.`J.(އxyrm5A*M =#7x:_wEUxafhxJx'ë0#a)9E^#o#<--%Oּbx$ҥhT([|)/?c0ŷ1CALmuc?*N?ffy7fsCV"za(؋qX!_⮃h5Vӎ/q/ĝ~r[m,t'ywĥ&-(D~8}+ǵ]Q?w{7fڐpZ¯a]P$z`{X%u@/:OR!Q^-(Tݑt5l`]np:݀ 5nL{4ϸ6*=Bڪ_]PQ&r8yuQmܤ76/{56G᥼΅L( +endstream +endobj +1074 0 obj +<< +/BaseFont /ABCDEE+Corbel +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1948 0 R +/LastChar 109 +/Name /F15 +/Subtype /TrueType +/Type /Font +/Widths [200 0 0 0 0 805 0 0 0 0 +0 0 0 0 0 275 514 448 511 453 +517 0 524 0 0 524 264 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 0 829] +>> +endobj +1075 0 obj +<< +/BaseFont /ABCDEE+Lucida#20Sans#20Unicode +/DescendantFonts [1949 0 R] +/Encoding /Identity-H +/Subtype /Type0 +/ToUnicode 1950 0 R +/Type /Font +>> +endobj +1076 0 obj +<< +/Length 32018 +/BitsPerComponent 8 +/ColorSpace /DeviceRGB +/Filter /DCTDecode +/Height 519 +/Interpolate true +/Subtype /Image +/Type /XObject +/Width 719 +>> +stream +JFIF``C  +   $.' ",#(7),01444'9=82<.342C  2!!22222222222222222222222222222222222222222222222222" + }!1AQa"q2#BR$3br +%&'()*456789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz + w!1AQaq"2B #3Rbr +$4%&'()*56789:CDEFGHIJSTUVWXYZcdefghijstuvwxyz ?( +( +( +SMh-7]3, O,K3u5I6=܉ޣ)va@4EPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPEPN-bQ +\ ll-zXf'c LkN,QE4k$l0 q@ :O#@T~Qv-@TJ֢#Uyv8ݡRxo 5@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@WfJN܀-[E|xS'.'rOw +CI񶳥Czr*)"T=+a5;C]ze{yiLѢ) dGLEeǯW.S1#C&(((((((((((((((((((((((((((((((((((((ai*ӹ +zbݵ9x:M?| +{}O>:E溻=%/<ٺkPˌ +4Ց993ntY8Z˖H׮ͦG({D;TI*F1:NKG OA]D^7qXRK' Y){HiT!7Ja5i-5R!UcXKhHiǾpq4+쎧!괯dQn?ZhM3ܨJ][sՇΟ?v6ZۃPE'rQHcd&цXd+/N}=-֢2wvx('ĩ:?XoXec%EcԦKG"+ 2#P hrtg۰lG~S~f~xxϓ/rZ֢;{X%yy>߁5@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@HPI8Mrۚ =ߏVOx U?OƠE㲷)FYC +}mI5d,5ܒs]n"_wqu2EOTh"ip&J+I{Rh`UYl#~j4R*\gҳB2gс!k"A䐕؇SA79}BǛ\: +˛Muk%Aۍ"6' +(X]NƝ҉"ut!X+)JTSص6-'N`go"05UY,=nOy,sqUFְEPR!-S0*zUi!thةJYmK1=Fְt4SL+&hR̳|n_Zo-bE4g`iMER"2:# aEe?kN$sz[6֢2wvz;}B13֜ ݴ2K}КԤtYea"5# ((((((((((((((((((((((((((((((t}a'c/d3ʑ9c],oGB]<jEј<㚊k"aUޙ;H硫ш[5&?aG+TvsK VIa^i5a.KTMƎXZrBh[4օp)wntgLk.m=<^6 b4ToZ2 R!SZjW m%AQS]}փ?*Ÿs+9POb7z }wqWo|Eu,g)8^+6~Y:UCئxĐȒ! kP,ϖҾ+j0mMB/`hW-@5=&S7hWO*цC)5CFUt*HdYgsuūmL3?j@;?ڄc&_IV V,%U̔_.k4ĐA1"(UœTy-GP*hVF-͂r1_<ψ&]6ೊBOk~#kCCUg|2 +xŖv[s} mM72Q=.E0 +0#'t3|lT*( +W=`?{ʣFJNxQ+hWR&s$2䜜qJ<{וxyE¤6{LV6gQI]ffPKpN*WjnT4{D}xCբ2sڌc2RQ (eiI ZFPU {` "m&]=>ϩNP"'v?팸U@VPgeO|iI ZPAGzZ( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +(#Am,ǤhX5x2r9&OK~b+kC{ޟ#9n5 ޳q* htRiW3^Z Zm9 +O4Z ݗȨ(Z(fTFv8U$56JFȅwiUw"dOȂ"}-4nu݃L_Fx;J/u47S] ݤsOܯ!߫!?x`= +?+׺ ]mmCjyJBHp?>ME(sȹȥ[âYզLh#:,O艡Zl!n+V~!B6Q~Sl]qr?Aכ6}KQ, "gHŇ#j-kh0X=7o߇Vó'o_j<׏q\!*/O}+6œp$^%7A^YfDm4꧆sc#%ұbLRç1Y t˻\1-o7n>l{}ֺ'fҺs6 ҮEFMd^ Fn8.OQ2UY1LwqSU+KSQj$cQUY*:=M\9)ZH k kdVMhcs W?pCmbԧ?5Ed?팿X4q.Zl\kV4+PAdޖH쌺|>'ԧ' &5>ڄc2Uc|Ex';u&_s׺ kWe)fgu/ _\ĥ<o:m2^iʳ*?YZFF_ +ğE_̓S>F`mH6)HPF]m6~{8n|BU ]I#Y;+{qŨE +omO;׵i1ie#ƿ=LdR}WVmgIir˨l(ci2kmOZƳ=ZbG7Attp׳oo\;m{iB/n|E$ت]Twм9c#XdlЂxs[kǺi>x_",upO2 +Ù\#k x\=>? hw?ilLa봜; y;PdA~#T%ݘW8SWp%G4ď3E>K)P5iV]Ί(4c!4y$C]sI*_Hc_g/οU?-e*صQ|YMY=~GkBrZ}J}~֭nϣ[j~_eX4M?OڙPUAh2e# ik)?bgXHNKZ? +XAƷgZPvo?-_mp'rļ#xwnM犵[93>Q*a>CZE4qb= ݣq<i8]Ledx\xѲ{JMhm<.|s4:}^r蜙wؚSð 7}h`Ea\GcW:77bƲD p,@go+^R6r*OҾbuGԵI3~gҕ5ir߆\w]qWMvs^ƛeѣw-Z,I4Y.._ ̪K(C+{6/e}_?yI⺒<͟s^>àY[*.'&+"#l[x콼~J]љUK1Mx닛A_ +TzX>hQCym(#?^[WGl͏"aGV.cRhB Gjt:U~n"z Jk&Htϵc*zWm:jj6[?w_uiΛg +0pH☗He8"EEQPQ^ |H4P]En!~kҾ)i[R -/ΟVN әRT,{pHnaEP@#eUĖo}JAJ('ngmF;8eTiG-a!u۹MSZ@ GY]20e9S-?bh$,T|MۭlڄcɓR j+(kS&#)TWцC)"EPEPEPEPEPEPEPEPEPEPEPEPEPEPe&C#pkG˝UcHoC֩C)V֚v SQvey+>jkOUMR߁*Unl4җvYxޤgJbR,@=HSLV/ՉOKo/r2%HU) R Qh6S5mE/Bw-TУF=ՅcǴ+ox23Wѫy8?֓ao˝_*tuɪsؼ)O e_|׆xjj^&ӭ +%@C KLAW!Xż.GRڹj [*WpLՔ5WԿWad%iQsJM-jگ@22tUd^~{|È ا? {zӒm N͔geRelHIo +յk :/*裓[M:u2H0Qʳ>ZOgs~N=M8K^տ4˰p  G\Gĭamll,q#T54raݖ1I{d 0G cG >;Xdn85w{"iX{#6fV/ JG=1uxQtHa +/r>GFQoL/?%0Gri:-Qqݏ$dց *4ֱY/ܔlK6 C ¹/S[ %y1!8_gdq lY}t +*-SWUI裯+V4TPZ)ESWw#hA+jQss#>? +R4*A>=Hξf %dRcz +U쀈f0{? +n4Z4}N|95fHP)-'RN~!Ҵ';됎"5R͏\m +^[Guk*(޼z%,Vp|it1?:f2pc$O_ʗ@ojҁgq[*ѐt@_Ϊ8Z0.xRRk,UEiH|rLKOZJG&f=ΈA 0ڨ2IdV͞>d#OpZt d r{SՔֻ +QQLjs3[YHxz2k#kyTe ':>+>]8rrC4EսK7=~cһ -FQͳtҾr{fS6r`H?\Ѣ}-Ex'\ +5tk%f \4RL-/mom."?FSԌ(,C-VKI{G}YG߈5Ed- dTi;u)~Z:c%dцUi՗&am'%œ>VM?5(d + +֢Q}=JA`⹯iq^x9]Kq;^'5[1|:Ux+L3I?_5)؛HC\׏u6Ӽ%v"lOp<y!sg[h0i~uN53|> +JtQDW|=7W:БL~uAJ޲5ȞWjr)gdN)+vSicVO`mn"ftͦ`Aw2Z=* +åoBd3{VOZNpkL >fϥ}[Ƣfn-kdN+?MjZB/jY 1Tzҙk;H +~U뚽h,SQ)pr9rDUilԃrA|f3S~F+i.[ÏjxvZjx9CJL歮,eO,2/FҺ/nb.oZɗM#8F[Ȭe@P/V}2YHCk{D4gF_85RZ^y֗2r%AUC+|+fW˹5b[=~YJyI=?e;^sޢ**r)ՙAEPYhFf92Z9`>VA:('k}B1a}(*X~ a{6=fo'0A1ᔕ Fs?Pv"|b ȃ$:Q[BXmIoHelfpBVfli,{71E֝QEQEQEQEQEQEQEQEQEQEQEQEQEQEgj:H ?\茾ylwS/hݤZ(ܿOz~OGԃXn|~Si +ǓQy{/%vI>Vҹ{6M{o^LV$bJxzw\ToRJ$I5uŜ2195}nq޳L6;թ^n˻rd_h1PCȪ~KktvФ7Wd}*Ӄ?f-;G'] dy$8}&ť? {t*|AF|UIv=iR^g[Ԏ^݆"J'-cY<X}P۠Glӂq($W1ox)rҜHe h{LLE`"Eҙ-qr l +ǚ|yonr!֒I+q1VmbXRNM{vOҭm{?k<'gKXf4o5kDJ\m @jWdΕ@+Nkhsݎ?*Z+m)>Jwҵ9D܆SvivI! +jWԴ7t5Vm +6-/⮡m^#Jj{T}So=wSL+'Y?jKMb-j##e:B,r*ԌԢ(((((((((((((((((,Q2AQ@֧}&SPDK#3^E;ҘuWR ޽/.)}=+Ծi{~~tyi +ǜȦ3֧sMˈ>;\#u*ÂU&"FQ3vR-ޥ[x8#=GzghC%kpF5a.K8YO$Y{n$@*]ܑ!XLJp+FPU +),tit8}ij"(˷Տ +R=('hЎCoۯH찯+x|.B!^uDg/P;,KJ_շ\ްmا/rb!us]YO q#H~u| hv?L.:R=jA/mbM'N]ɗ'Iu[l-(,GSHmβ<~IJ N2=pzۆӼBan5kgS8t-݇k) {^i>lBݤ.:ׯJ+d5ט&bʽ2?C۸?RPkGKYIpz_^[CqsT 3?#><6?3Zu_?0S} +?!ΰnkƹƀ5h SE: +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +Դ-3WR/l㑻>0֍Z`Ϧ_=dC+ռ-i<-c?)V>cj_Bеeo>$XF_Ƹ=c=[IIӴS|?Ry)Dwڦp?CYJDZKZk+J2\MZp~R}5,w#hK%׽N\uj;٫qݏZLSoK|IצXt5_ʱ`0^A(fR^a.zsZ\]e9 y+5.5* ;%=L$;1X[1֦[}s@XT:ϘW$_XzdIlLz I8PU)4:Ļȫ uֹ`i/?C:Euly.3Fn =A&qK~*݂:NF42:N{U5J%zŢԓ&1L)H$ɧn4!hA^K0å^)4Kf,ҩj:T+C+C4-7 +`; tqUj37s}ʹ',QFNdk CzGe2Ĝ{^T Z Qiq8bO +[HvU?(+8b|>Ĝp Hvq-ŬN;zgl@8^M;$+4r@M_qTe<[8J +LJ-٭ۮ2c{ m F\`1'irh(( di %c%KSi>ˬǽ7h?=}:kV؞ߋ.= _!>oE[u cryQ+GVcm7vY_? +Eed\[aD?&}X>+7ƀ5hA)6vn3Z}FEQEQEQEQEQEQEQEQEQEQEQEQEQE01;I\F՟c6P>&~vUכ# +0tR}YmrSrM#CZ.Ts|E`|”HEt:kks3ѴK-݉/m//ksxpzӣK#`ƱT}OrO֫dQ.B]8;|}GM񶑨) ϥc*rFi G4f9Q]2\e1;~u2A e!*֬7Ib9|O5^XXa GT +־v6yWGP0iV>X#dgFM>I,%<_?# y:VKay;c=J!XCޥYQ$FGS0GLjDؽwrGz2\N;{դi.jwDwq:d s\wS'zԫ0=.w]Wrllo!5A.3ަTT37OWY{ח=SVr:]xg+܊ژO5W )tF9XK0Mc":;'8W0t52](b:Z=kK;QT:i%=S-<\^ZtKSgD&9 b欥=k7I]\Xv0>'4t0\ &yPƷw:9LĤ){:MjէUxaè +Џu3mm9g?Hn7;v}9?t [S,(|"1$ +S#8BZV;| 2|Bx=zPIfɸB|# c= M%a9aٷTt}pߙ4Es&;:K5nMO/~vS< ӷ뙄 tL R PQ@Q@Q@Q@Q@Q@Q@Q@Q@P58:K9#_7aW5K(?sP9=c$M!uu|sd  `KovAHG (OEMяGh[Sߘբ(((((((((sGGg +.Xdv'ҔyLݑ6d"NXZfQKgð*)ɶl`PN ։Ojq$'M3L<-.Hk{UaROU Gs'Ký)ڡAVda[ƬYF0R$Ú['SYtE#/ ֳ2T1iVUT8>(t il:Ul]A +åDhxgxFm4Jù怤a|ƒ+V%/wsANhMMq aЃ+N~L!3qk=_֦PRjMlzN2j^+N22~c]<0]D%9c=6 ?1^"vIm=͌m̐63XKoOjU/KW_º7>K Y?sʜfPԴM3Wfc]=E_FEm"tM9tּY>k|SG}E;Mj&})x7Aַ>1+XϮG_Ƹ=[&#hp +w Fc",+ռ5Fa4+=1?!dNzPj2jcZ+;د95΂AbZQu)vCS 5<ħ`O)hK\$W:̏mokw94@BH^"4 FΛDAU:?od̉m82,gs[Xf(no%t r >b9뷽zȶR lRMr{n$PeldlG[1o˞t~PZ ѭ\|Hp?<7J|KnÒM~_\\*Qޔ&?ƚOMl+51򒬄k@NirXgytZ2mgUk@`pa^wJ&(6 +( +( +( +( +( +( +( +(*jKUJ'Gp?]6iemLJ@I񪚯NCK|ZQEQEQEQEQEQEQEQEQE`q# <~8&xtֶ*z#sKkafrV7 +-VI5KPo. C,{cxw/Z(`d 5 5tczEH%n«f隹E4Isiz-gϥueA*'Sҵi#7M3OdbqT%dn +% >gͦtNGښk'ӈ:iEeS>idw<zUbOO:g,mbC-? NYQR0(((((((;;98G>d sP#`?Y-E1;,fI +(((((((((3 +N_OAX/һm8yAds];Pt$e{]=Lu:=''kaQcH= OY -ZfGkb}KpNu?]L?^+Ѿ / bK2GʿȟƭiAZ5^BYWBS=j.1^utO/,}1?SԩOW\ᢕz2*jm,gȅc'ԁP%Llq+FdiͥٽEs>>#bh<⻲ +5҆ƚ{ %aȧR2TVZT.)X#YwQ^Jn+Uymq ndv<¬azohʆRXkV{1o8OI P2u*ə84qdҔHT}kzm,U YG VIbNF9mޕ ۲JUun(UY-:0A KR: +2ҫùF}kB[Oj%(@UX -ne6#P(VcWbqo\ܷsi9Nq?v u+g'¼M5[>y~=d3T`r=~՜G^i꺥g+*2G˭sO5YU,uKN?2)p>ƅKFuxLZ7 +F2=cۤ/E/ΟQץQ@;i^L:W7}k#kjmӡ27>j }iGvݾY:0q!d7޻mgM _WoZ؍CN$yw!+HՔvdPԫ. Iam}*KؠQ lqT7ajl +G ƹ_7d6ֽc wrO'ӂ“h((((((((((++\vx˅Fqrbo +k*/O/TB~B@QEQEQEQEQEQEQEQEQE `Ȍ[uxǥ=e?g1۫io7湨%؝jxOu)tqSZEwt";y#Mgw\5,h[)ir~3ȧ)h.S?I"8v,b\( W&%e`cx֪; rC3a52:+lw"x^#1R*>yM4lD]حdz"\rky]:c]#m-%4. +I?4X4rݳ~2I koz7^il~M?o<~u"Tem cHVRNzfp4R4CK&VlYr?Z^m5K)'[vVAzܦpAُ+Q' +"]5S~ϖȪ3X+n`F:ӍFO6rN+x)ֺ#_d*xYa`56]G87֍-;fIjõitb%gңhYGCDIX!Xm4s`uB8?JTyb8m_h“:(Xm%4LcsCK죷[q:DpqbYڥ;@WiRjeXɅS,H^}+;)rvA~iPAƯxj[=k\0#_~IBCMJ&z$z~ֺ2,h-t}k+~9((pLF]a.kYjUCYE)YQ׭3eo_3| 5%98ntv7ch2: .E 8H5"Y]8ǕsH=q(.HO=٧k"*gtqS?C4_A2~=iK"Iύ٪_حoΟZ30ZPWAV99#x2nĹ~B~֭!PhVWPAmHNK9'/ ImjE˨7Eelow4+84WA #*ܶBmJ+8Hћ6}p@?حiΕy--~QZO;.5-=xeP {ֽ>mSǜ}:j]WyLznxӧkg|"0x}wBH[f^j^t֍$CZCƲOzɱt==dk'H-J⨇&ƂR,D˫*Fd⫘V&2=68VȲղ/|sVľJBlM<"Z_35jDؓv(ޙHqZ)jTg?Z&]2MYK&=.M1].5%upAsKzny\Z;zC汷<޲.*~a"e4=iF< )-,Y"X{z[!y['Z,) V&C=Vma tLXE +=.;"Ήc/֤m/it.Wf?@UsJՔ<ҧֵh6].tr|?rWvz|U崰`F~]Db%WPE5!X&iu?mw'z~u~ִmCZA~cRSQ)YS8<wjjw +<=UO UqXޞ$۩V- 3J5jBguKHFzQH qin0xV&Rr_ʭN1Xzֶy;Blm!HX$y꺦f.<Ǘ;ں?M3K!WS\DvAh |C.lRϫ{ ٞ8†y3.ְ r#ڀaycUj8F,:i=꬘SnEfRz=ЎUz ިpttzN18|jqx=k7HjF]G ?S]Es>yȈOsWM\t*tCkQYQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEŴp4704L0"5-5:Utlw/G՟$j?3G7/ECkwm{)<T՟u\n5qn7?R>95-N눦@c|m\Nmt-Wvw<7I@Z4\ujgO1\&ӯ5 C09~Shrƙe1RY3^ʮYC)2 sZ}Gs<zSR*N[ ny5? 9Qu0EUX N U\V' J (ji JDAVM;GX&zs!dt0.u<`Vԉwiu6Ki|*M;gRO0f%U9ߵ|'@z~w,FAe=tO'Ua"re:6r]!Cdw,Mͳ#$mn2/?_oVg%wլ=%c_#*cN PO4WtFy27&=r:~5fX:mMj!H~SqR:U+yh7Fop1BZ洑.Pro˧\wg͎w~uΤnciS*G )dXqq +f)ku'k:MylY qb^u1)G3V/)!V[cRdU5(1&G7½^oiaNle>G3SYIݖQE# +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( wovȡ}yw|隃-*}grdJ֢2dUoa*=ZPO +5 +6}I̳cն? hJ5EB?,E7NSk67y )6\zl=~˺9W8JghqeָGºvn'%ȃrtkبY"\S>{}ÜFUgX'>l?#~>q;MK#?cVt75+kyw?2I4Ëibihu[t:W I)jBO(((((((((((((((((((((((((((((((((((((((ɻx(8>POe:nfT̏j?ӍV[0:ο{Z֢ \BA*KVS2m +ѦiL7 rڶ՗g=Fpb9cCkQTl|pMc]|bPSAuUuRZ[@!8bW2i cȂhlz >jݴ kky\-Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@Q@,-5Wv̀\C+⸭K Ys)%Ss|wL|gTwkm-Ͷ(&1lԵkc{ +C%7ޢ0w =8O:sq(=An.+J +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +( +YB[ HQbh.QEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQEQE +endstream +endobj +1077 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x35V0P0V51Q05P0UH1230 +!U`bn,ɥPRTʥTȥ`bɥ`ȥ 44KM V8qy(-v?H?!5O\ 8\=ԯ> +endstream +endobj +1078 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x35V0P0V51Q01R @c$r*jA,c(9yr{*rr{IgC.}h\nn@n.P9?47ΎEAmlV? $")lW\^S$  B ? +endstream +endobj +1079 0 obj +<< +/Length 183 +/Filter /FlateDecode +>> +stream +x35V0P0V51U"SC.=C ɹ\ +&F`A]S  'BIQi*~8P!PK)YK%hh,`cﭠ q)+jtQP[ĪM2w_>vkTiD-> +stream +x35V0P0Q51U"cC.=C ɹ\ +&`AZ  rN\ +%E\@\ +&\N +@K4X.}7}gg7 7F[A(㜟SW bg颠p& g/99fւYڏ?•ts# \ +\0I9 +endstream +endobj +1081 0 obj +<< +/Length 219 +/Filter /FlateDecode +>> +stream +x35V0P0V51U01R @c$r*j-,c(9yr{*rr{(Xp;8+rD wwvvrllt29y@-vv\. +j W[Bbkqaɷߕ=9D '6 +LJֻ7[2[o{o tcDbbk3+#B  K +endstream +endobj +1082 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x35V0P0V51U01R @c$r*j-,c(9yr{*rr{(Xp;8+rD wwvvrllt29y@-vv\. +j 02&+ +d H[uP VB2[Ss 7Ҹ{09q`hrTqD +endstream +endobj +1083 0 obj +<< +/Length 201 +/Filter /FlateDecode +>> +stream +x35V0P0Q51U05T0UH1230 +!U`bnT55L9yr{*rr{ ]r;;66 +@ܼb;;.Oml'Wd㯺Ob֮60[ <)Lo +endstream +endobj +1084 0 obj +<< +/Length 227 +/Filter /FlateDecode +>> +stream +x35V0P0Q51U01S0VH1230 +!U`bdL,ɥPRTʥTȥ`b`ȥ 44KM V8qy(-mWsՖӾ˿9%5[_>[3$7gP||"WD:bLJϱ\U?;W/ N1(0qz*rMq +endstream +endobj +1085 0 obj +<< +/Length 83 +/Filter /FlateDecode +>> +stream +x3T0CC L1230ɹ\ +U;yr{*r+r{g 4.ES! Y +endstream +endobj +1086 0 obj +<< +/Length 189 +/Filter /FlateDecode +>> +stream +x35V0P0Q51U05T0UH1230 +!U`bnT55L9yr{*rr{ ]r;;66 +@ܼb;;.OKrQY})*}z鵙/{9>4&~?|`drTD +endstream +endobj +1087 0 obj +<< +/Length 217 +/Filter /FlateDecode +>> +stream +x35V0P0Q51U05P0VH1230 +!U`bfL,ɥPRTʥTȥ`b`ȥ 44KM V8qy(-\So`u{2՛7˪8[[v\qo`_D5yKoh`drT7M +endstream +endobj +1088 0 obj +<< +/Length 190 +/Filter /FlateDecode +>> +stream +x35V0P0V51Q01R @c$r*jA,c(9yr{*rr{IgC.}h\nn@n.P9?47ΎEAmč/4lllmXl$/[6Enmfmfe`rTB> +endstream +endobj +1089 0 obj +<< +/Length 159 +/Filter /FlateDecode +>> +stream +x35V0P0Q51U"SC.=C ɹ\ +&`A]S  'BIQi*~8P!PK)Yq˥{+esJsZ<]!0YmF.WO@.l9? +endstream +endobj +1090 0 obj +<< +/Differences [1 /g1896 /g2861 /g1892 /g3 /g723 /g2208 /g2487 /g2844 /g645 +/g1600 /g774 /g1625 /g636] +/Type /Encoding +>> +endobj +1091 0 obj +<< +/Length 238 +/Filter /FlateDecode +>> +stream +x]n0 a MϮUhfg$)RN!@%}j[b +h y`Uèz> +stream +x36V0B]3#K3C.=C U6055 2N\ +%E\@e\ +F\N +\.@#c݀}o]s~Nin^1PsAi?_9?&.WO@.9 +endstream +endobj +1093 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0V51W0P0QH1230 +!U`bjT55,ɥPRTʥTȥ`jȥ\r;;66 +@ܼb;;.O{_}I=l%.ޗ>4}޲Ga@ӓO4s ?s#B I +endstream +endobj +1094 0 obj +<< +/Length 223 +/Filter /FlateDecode +>> +stream +x3T0P0Q51W02R @c$r*tM ,(9yr{*rr{(r;8+)h\nn@n.P9?47ΎEAm5W?/?n_ +f",>x^7 Ba/RER{Ix%̭p4AS! {J +endstream +endobj +1095 0 obj +<< +/Length 165 +/Filter /FlateDecode +>> +stream +x3T0P0Q51S05U0TH1230 +!U`jh5L$sU()*M*P01w +pVr\r;;66 +@ܼb;;.OEO?V4e$.WO@.y4 +endstream +endobj +1096 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x3T0P0W5P05W0RH1230 +!U`j`T́,ɥPRTʥTȥ& ]r;;66 +@ܼbb;;.OkFT xpρ +l؏~+e4 +/PO1 \ +\u? +endstream +endobj +1097 0 obj +<< +/Length 165 +/Filter /FlateDecode +>> +stream +x3T0P0U51R0RF +)\z@Q 1s +L @  TotU()*MJq{(IgC.}h\nn@n.P9?47ΎEAmR$if V'"@?^\=7M +endstream +endobj +1098 0 obj +<< +/Length 184 +/Filter /FlateDecode +>> +stream +x3T0P0S51W0T0VH1230 +!U`blT55̀$sU()*M*P05w +pV0w˥{+esJsZ<]~p g/ϪOrp?H02pz*r +CI +endstream +endobj +1099 0 obj +<< +/Length 201 +/Filter /FlateDecode +>> +stream +x3T0P0V51W052R @c$r*tM ,c(9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j $O{eٓ|&ר!4mb@*?&.WO@.gF +endstream +endobj +1100 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x3T0P0R5P0T0VH1230 +!U`bnT55,ɥPRTʥTȥ`jȥ\r;;66 +@ܼb;;.Oۯb(_ X S?sqOf@W& _j2 01(pz*r Jw +endstream +endobj +1101 0 obj +<< +/Length 206 +/Filter /FlateDecode +>> +stream +x3T0P0R51W0P0VH1230 +!U`bfT55,ɥPRTʥTȥ`j`ȥ 44KM V8qy(-7ir_&/K?Tio?Q%AS! R +endstream +endobj +1102 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x3T0P0Q51Q05R +)\z@Q 1s +L @U@@N\ +%E\@\@\N +@K4X.}7}gg7 7F[A(㜟SW bg颠p)ee/4Wq/z yT7$Lhms $7p04pz*r A +endstream +endobj +1103 0 obj +<< +/Length 189 +/Filter /FlateDecode +>> +stream +x3T0P0U51S05U0VH1230 +!U`j`\c'BIQi*~8PKCĒK)YK%hh,`cﭠ q)+jtQP[xEb3r} __wfn# "L 9\3!?ap`rT/@Z +endstream +endobj +1104 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x3T0P0Q51W02R @c$r*tM ,(9yr{*rr{(r;8+)h\nn@n.P9?47ΎEAm899[xq ~`CqG++ 99~.Ørm J +endstream +endobj +1105 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x3T0P0U51V05R +)\z@Q 1s +L, + )%<=}JJSÁ*=S!K4X.}7}gg7 7F[A(㜟SW bg颠QE‡^P7˯}={۴[<V8Vp>&.WO@.kt; +endstream +endobj +1106 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0U5P0T0VH1230 +!U`bbT55L,ɥPRTʥTȥ`jȥ\r;;66 +@ܼb;;.O7GuMYo?/Zw޾;Y-ac.WO@.HNn +endstream +endobj +1107 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0S51W0T0QH1230 +!U`blT55̀,ɥPRTʥTȥ`jȥ\r;;66 +@ܼb;;.OW?_M?jXBTFBaGfy>pB I +endstream +endobj +1108 0 obj +<< +/Length 206 +/Filter /FlateDecode +>> +stream +x3T0P0Q51W05Q0VH1230 +!U`j`TL,ɥPRTʥTȥ& ]r;;66 +@ܼbb;;.OsX2VPC59&9%ko(w|Z& + Vsd_gƵOt A +endstream +endobj +1109 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0U51W0T0VH1230 +!U`bbT55XɓKW4K?K(ϥ`ȥ 44KM V8qy(-y?> +stream +x3T0P0S51W0P0VH1230 +!U`jdT55̀,ɥPRTʥTȥ`j`ȥ 44KM V8qy(-\![OoPxbp;|a77IwgÙ`gƙ  \ +\K +endstream +endobj +1111 0 obj +<< +/Length 214 +/Filter /FlateDecode +>> +stream +x3T0P0U51W0P0RH1230 +!U`jlL,ɥPRTʥTȥ`bɥ`ȥ 44KM V8qy(-㛢7ϘxAŇ/?pu·>8X ?~+ʘ?a@S! L} +endstream +endobj +1112 0 obj +<< +/Length 82 +/Filter /FlateDecode +>> +stream +x32P@C L1230ɹ\ +U;yr{*r+r{g 4.ES! V_ +endstream +endobj +1113 0 obj +<< +/Length 218 +/Filter /FlateDecode +>> +stream +x35Q0P0U51R02S , 1s +LL @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQP[p-nɖr,oYy?n wUM;iGu+_M/793~۴)fMH8dH +endstream +endobj +1114 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x36Q0P0W56R0T54TH1230 +!U`ddT52́,ɥPRTʥTʥ`dȥ\r;;66@\9y@-vv\. +j?|(BMNs5/_|_NAS! L.t +endstream +endobj +1115 0 obj +<< +/Length 111 +/Filter /FlateDecode +>> +stream +x32R0PT5U04QPH1230!U`jS54,,ɥPRTʥ`ʥ`h̥`ȥ 42EHE\ +\J +endstream +endobj +1116 0 obj +<< +/Length 95 +/Filter /FlateDecode +>> +stream +x32R0PP5U04R , 1s +L b@@TɓKW4K?\KD88+rDtQ\ +\6: +endstream +endobj +1117 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x36Q0P0Q51R062S @, 1s + @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQP[ľ|q˗*/|_~]t 8*nŮͣ1: AS! *; +endstream +endobj +1118 0 obj +<< +/Length 150 +/Filter /FlateDecode +>> +stream +x36Q0P0S51R02P5TH1230!U`hb*6 @ N\ +%E\@\ +&\N +@%hj,`c ͥSW bg颠׵ 3?e G.WO@.0 +endstream +endobj +1119 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x36Q0P0Q51R06T5TH1230!U`dn*6LXJ:yr{*rUr{(r;8+)h\nn@n7P7s~Nin^1PB#MrwV]Qm"&t!13CWu鵽55 ,\ +\51 +endstream +endobj +1120 0 obj +<< +/Length 198 +/Filter /FlateDecode +>> +stream +x31V0P0Q51R0T0TH1230 +!U`lj5L,ɥPRTʥTȥ`b̥`ȥ 44KM V8qy(-x0!{K|GK˾̏_oMO8߭_]z;ej6F.WO@.آ? +endstream +endobj +1121 0 obj +<< +/Length 198 +/Filter /FlateDecode +>> +stream +x31Q0P0Q51R01T0TH1230 +!U`ln5L,ɥPRTʥTȥ`b̥`ȥ 44KM V8qy(-xC!; /d7jXV.b}6=L*G "iáM  \ +\E1 +endstream +endobj +1122 0 obj +<< +/Length 171 +/Filter /FlateDecode +>> +stream +x36S0P0T51R062S , 1s +M @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ0c/s7VHS! T@W +endstream +endobj +1123 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x36Q0P0Q56T062S , 1s +, @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +j$[ؗTH_:Dӓ=4_[AD+8,8\=7u +endstream +endobj +1124 0 obj +<< +/Length 174 +/Filter /FlateDecode +>> +stream +x36V0P0Q56T062S @l8#9P,R d@e<=}JJSÁʸ= K4X.}7}gg7 7F[A(㜟SW bg颠HFKż&ޗ_)9z;evb¡.WO@.U68 +endstream +endobj +1125 0 obj +<< +/Length 131 +/Filter /FlateDecode +>> +stream +x34Q0P0U51RR , 1s +L b @TɓKW4K?\KCĈK)YK%h`,`cﭠ q)+V0tQX\=g#c +endstream +endobj +1126 0 obj +<< +/Length 158 +/Filter /FlateDecode +>> +stream +x35Q0P0U56T012S , 1s +L @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +fis/;UZ]\.WO@.5` +endstream +endobj +1127 0 obj +<< +/Length 176 +/Filter /FlateDecode +>> +stream +x36S0P0U56T06R0WH1230 +!U`dnL$sU()*M*P0w +pV0w˥{+esJsZ<]Қ_|"\-n$i'&:B 6 +endstream +endobj +1128 0 obj +<< +/Length 149 +/Filter /FlateDecode +>> +stream +x36V0P0U56T02S , 1s + @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +f!jvG(g'1m`hrT-5 +endstream +endobj +1129 0 obj +<< +/Length 176 +/Filter /FlateDecode +>> +stream +x3P0P0T56T022S , 1s + @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +j+$M=zљ݊ݺ{}_f`aprT9 +endstream +endobj +1130 0 obj +<< +/Differences [1 /g1493 /g859 /g849 /g20870 /g29346 /g24986 /g27658 /g866 /g865 +/g854 /g861 /g867 /g26178 /g26146 /g20931 /g27574 /g884 /g896 /g903 +/g914 /g925 /g934 /g918 /g22426 /g937 /g27714 /g23906 /g31582 /g26038 +/g27770 /g31306 /g27546 /g31342 /g863 /g22510 /g929 /g926 /g27786] +/Type /Encoding +>> +endobj +1131 0 obj +<< +/Length 391 +/Filter /FlateDecode +>> +stream +x]n0 E +C &dѢh%^c8ET.ku$^__^_~7USk۶w+W]6-Os.$q$gjD$O*]ai3 +$4($A_QI-WEz8" * |$ +b_YAC@,(^(UXd sFlh͕w\͕w83+\Qę\U=+o͕7. +4+\A'l@)+}ese}߀eTg,5 +r^5S8f +4.֣fhGyu{W{עYkv`Vot2C, +endstream +endobj +1132 0 obj +<< +/Length 145 +/Filter /FlateDecode +>> +stream +x36Q0P0S51V022S , 1s + @TɓKW4K?KCĘK)YK%hd,`cﭠ q)+jtQP[X +".WO@.). +endstream +endobj +1133 0 obj +<< +/Length 187 +/Filter /FlateDecode +>> +stream +x36Q0P0Q51V06P0TH1230 +!U`df5@,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-VQ~_ApfuӃO%kDW =׉08pz*r9b +endstream +endobj +1134 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x36Q0P0T51R062S , 1s + @TɓKW4K?KCH:8+rDwwvvrllt29y@-vv\. +j y.[+nK_^HYmAS! r9 +endstream +endobj +1135 0 obj +<< +/Length 155 +/Filter /FlateDecode +>> +stream +x31R0P0S52V0R +)\z@a 1s + @e :yr{*rr{(IgC.}h\nn@n7P;s~Nin^1Pڒ +a&[K~a*rM0B 6( +endstream +endobj +1136 0 obj +<< +/Differences [1 /g22 /g96 /g21 /g19] +/Type /Encoding +>> +endobj +1137 0 obj +<< +/Length 181 +/Filter /FlateDecode +>> +stream +x]1 EwN KdhU1!CoM e.crOA?!cI-i#atRzQ`!>f TJD3Ldr!wd=AA.(lǚOI|zK |g%> +endobj +1139 0 obj +<< +/Ascent 836 +/CapHeight 836 +/CharSet (/g1336/g15/g2573/g2674/g2827/g3/g36/g37/g38/g42/g45/g47/g49/g50/g52/g53/g54/g55) +/Descent -77 +/Flags 4 +/FontBBox [0 -77 936 836] +/FontFile3 1951 0 R +/FontName /DUCRGK+TT8Bo00 +/ItalicAngle 0 +/StemV 140 +/Type /FontDescriptor +>> +endobj +1140 0 obj +<< +/Length 257 +/Filter /FlateDecode +>> +stream +x]n <o@J'/VU `N .7qӡÇO\Һlv +ݷGӃ +rן_]ΤjE7wcvoy*.];)F(Wz%0 Vvl:`03 +`uB3 +`Z3 +`"CֲzgbBaXuYfYpLЎ_뀌|[:8#dP״95-st?ax +endstream +endobj +1141 0 obj +<< +/Length 146 +/Filter /FlateDecode +>> +stream +x5 +@-R3XLewnN"!B,XQsY\27~z8RYI˩|*C"?̆2Hx? ~P!.%.=WBe2Xq_2$)Ǐi> +stream +x35R0P0W5P032R @c$r*t@,s(9yr{*rr{(IgC.}h\nn@n.P9?47ΎEAm5?99 +ΗK^w_0[//__nYu쵭[$t\=4M +endstream +endobj +1143 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x35R0P0P5P05U0UH1230!U`lnT536i`I'O.}O_T.pJ.}3c.}gC.}h\nn@n.P9?47ΎEAmbﯗMn4 ;ٯAS! < +endstream +endobj +1144 0 obj +<< +/Length 220 +/Filter /FlateDecode +>> +stream +x33R0PP5P07V0UH1230 +!U`fjT53,$sU()*M*P03w +pV0w˥{+esJsZ<]`pYENPU^/v_{e~/4[XmصC7"N8K% +endstream +endobj +1145 0 obj +<< +/Length 217 +/Filter /FlateDecode +>> +stream +x3P0P0S5P03W0UH1230 +!U`fhT53̀,ɥPRTʥTȥ`f̥D wwvvrllt29y@-vv\. +j L n~Wv}_/y2k/[{[2^]U V޲cގ؂mbn1u`aprT^O +endstream +endobj +1146 0 obj +<< +/Length 187 +/Filter /FlateDecode +>> +stream +x35W0P0Q5P07R0UH1230 +!U`faT53L,ɥPRTʥTȥ`f̥`ȥ 44KM V8qy(-_&_2=?.^KLWdty1(0qz*rNl +endstream +endobj +1147 0 obj +<< +/Length 212 +/Filter /FlateDecode +>> +stream +x35W0P0Q5P07R0UH1230 +!U`faT53L,ɥPRTʥTȥ`f̥`ȥ 44KM V8qy(-I/[&}٫ew^]ޞK]m_[_ZMo02pz*rL! +endstream +endobj +1148 0 obj +<< +/Length 205 +/Filter /FlateDecode +>> +stream +x35V0P0Q5P03U0UH1230 +!U`fhT53L,ɥPRTʥTȥ`f̥D wwvvrllt29y@-vv\. +j LfYd&_U}}k˖/y2Kޗ.qVxw]zVO<ГYu08pz*r4J +endstream +endobj +1149 0 obj +<< +/Differences [1 /g44 /g36 /g37 /g14 /g41 /g49 /g18 /g17] +/Type /Encoding +>> +endobj +1150 0 obj +<< +/Length 202 +/Filter /FlateDecode +>> +stream +x] <oiZ3aq( `=v<|04)Y72uzLHtIVWwY5o_ީa_Z)rGM7R> +stream +x3P0CC L1230ɹ\ +U;yr{*r+r{g 4.ES! YK +endstream +endobj +1152 0 obj +<< +/Length 131 +/Filter /FlateDecode +>> +stream +x35R0P0U56W012R @c$r*t,S(9yr{*rr{IgC.}h\nn@n.P9?47ΎE?`aprT$ +endstream +endobj +1153 0 obj +<< +/Differences [1 /g572 /g3] +/Type /Encoding +>> +endobj +1154 0 obj +<< +/Length 167 +/Filter /FlateDecode +>> +stream +x]1 EwN "tЪj{bLzh:t>za3;O6'=Nq!]p5ofA8Եk4ЌRidV&w$;]F*$#X.ÖRxbwVM +endstream +endobj +1155 0 obj +<< +/Length 218 +/Filter /FlateDecode +>> +stream +x37R0P0Q55U02R @c$r*j-,(9yr{*rr{(Zr;8+rD wwvvrllt29y@-vv\. +j&lDdoTzr 7(|^X~}P4> +stream +x37R0P0V5T03T0UH1230 +!U`jaT53,ɥPRTʥTȥ`f¥`ȥ 44KM V8qy(-X3"e}__eo/{T_Vxam5C&.WO@.5iLk +endstream +endobj +1157 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x37R0P0W5T03T0QH1230 +!U`jbT53́$sU()*M*P03w +pV0w˥{+esJsZ<][?HG > +stream +x37R0PT5T07P0QH1230 +!U`fhT53,,ɥPRTʥTȥ`f̥D wwvvrllt29y@-vv\. +j VԨC +l於Y'r~zB.gϬ?8+gT-b}\=FM +endstream +endobj +1159 0 obj +<< +/Length 241 +/Filter /FlateDecode +>> +stream +x37R0P0V5P032R @c$r*Zt ,c(9yr{*rr{Mw +pVR.@Cc݀}o]s~Nin^1PڂϓE_N1+or__޳fo'+fQy!gp(ڊMhnbk3=#&.WO@.U +endstream +endobj +1160 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x37R0P0V5T032R @c$r*Zt͌,c(9yr{*rr{(q;8+rD wwvvrllt29y@-vv\. +j G h7e& _+ooE( iO +endstream +endobj +1161 0 obj +<< +/Length 215 +/Filter /FlateDecode +>> +stream +x37R0P0Q5T03R0VH1230 +!U`jaT53L,ɥPRTʥTȥ4K)YK%hh,`cﭠ q)+jtQP[de9UrM(\r?i?籝?&.WO@.fpR} +endstream +endobj +1162 0 obj +<< +/Length 207 +/Filter /FlateDecode +>> +stream +x37R0P0S55V03W5VH1230!U`fh*6̀X,ɥPRTʥTɥ`jDMwwvvrllt29y@-vv\. +j ~a_/uz._ըPLnCvud6AS! G +endstream +endobj +1163 0 obj +<< +/Length 245 +/Filter /FlateDecode +>> +stream +x37R0P0S55S0T0QH1230 +!U`flT53XɓKW4K?K(ϥ`ȥ 44KM V8qy(-XA<-ī8;wxCĄ)"??.yj?a.9!F\__5 +`:޹IĤ6XǠHQ +endstream +endobj +1164 0 obj +<< +/Length 244 +/Filter /FlateDecode +>> +stream +x37R0P0S5P03W0WH1230 +!U`fhT53̀,ɥPRTʥTȥ`fʥD wwvvrllt29y@-vv\. +j \RPoboב ~~> +stream +x37R0P0Q5P032R @c$r*Zt͌,(9yr{*rr{Mw +pV0w˥{+esJsZ<],$u? "?B/~ H{كe?S*SÏ\=`< +endstream +endobj +1166 0 obj +<< +/Length 144 +/Filter /FlateDecode +>> +stream +x32U0PT51U04W5WH1230!U`aS5,X,ɥPRTʥ`` $ ]fr;;66 +@ܼb ;;.OA? ,\ +\g(H +endstream +endobj +1167 0 obj +<< +/Differences [1 /g27126 /g21302 /g26167 /g20987 /g26594 /g27735 /g22523 /g21946 /g27567 +/g26602 /g30766 /g875] +/Type /Encoding +>> +endobj +1168 0 obj +<< +/Length 232 +/Filter /FlateDecode +>> +stream +x]Mn! F 0?IțtEED&޾cO.ö_w\K^tfE\blH:-e_ᆰw%6Pߩ$Wv9ңzˍ8JWZߒV Oh޲ xL#xBp[`z'b #b}^ړl"yJ^S.y+Oz. +endstream +endobj +1169 0 obj +<< +/Length 187 +/Filter /FlateDecode +>> +stream +x3P0PP55U0P0QH1230 +!U`j`,,ɥPRTʥTȥ`jɥ`ȥ 44KM V8qy(-O>dVp6iIhO *H6 +endstream +endobj +1170 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x3P0P04P55U0P0RH1230!U`ja57iF`I'O.}O_T.pJ.}Ss.}gC.}h\nn@n.P9?47ΎEAm*$*t%pĠJG +endstream +endobj +1171 0 obj +<< +/Length 240 +/Filter /FlateDecode +>> +stream +x3P0P0Q55U032R @c$r*j-,(9yr{*rr{(Zr;8+rD wwvvrllt29y@-vv\. +j g/Uz&&Wت}iPrnщW<4Rp`ow4:lp陁Ç_̑'Pn$ۄnȷ)HDv>`bPrTQ +endstream +endobj +1172 0 obj +<< +/Length 210 +/Filter /FlateDecode +>> +stream +x3P0P0S55U05W0VH1230 +!U`jh̀,ɥPRTʥTȥ`jD wwvvrllt29y@-vv\. +j Vuy_u//_o`mϬSbmﷵ[ }Ӿm> +stream +x3P0P0U55V03U0VH1230 +!U`f`2L,ɥPRTʥTȥ`jƥ`ȥ 44KM V8qy(-WG !~E7~8~im[<$J7F$&Nȏ?rXVn#Z?AS! F +endstream +endobj +1174 0 obj +<< +/Length 212 +/Filter /FlateDecode +>> +stream +x3P0P0S55U03W0VH1230 +!U`fh̀,ɥPRTʥTȥ`jD wwvvrllt29y@-vv\. +j VԨj?B'~Ce_rKs߭KH AS! rN +endstream +endobj +1175 0 obj +<< +/Length 238 +/Filter /FlateDecode +>> +stream +x3P0P0W55Q0P0VH1230 +!U`fh5@,ɥPRTʥTȥ`jΥD wwvvrllt29y@-vv\. +j 蟈ڿvBKB@ݜ/wh7n^]}>~$y, ENJV}w=WGDBw\=rT +endstream +endobj +1176 0 obj +<< +/Length 261 +/Filter /FlateDecode +>> +stream +x3P0P0S55V03Q0QH1230 +!U`ja5̀,ɥPRTʥTȥ`jΥ`ȥ 44KM V8qy(-ƥ¡1C@\DKK5-da~wjUsZ+^]ګ'C%%[V~jD{_o4ۥۦN\P4†SL:> +stream +x3P0P0S55V03Q0QH1230 +!U`ja5̀,ɥPRTʥTȥ`jΥ`ȥ 44KM V8qy(-ƥ¡ϱ C/cf~G/{վtD/\i8ߓEuhG~o5AYWmG{{vóL3mnEn8ͣ!7sF.WO@.^ +endstream +endobj +1178 0 obj +<< +/Length 83 +/Filter /FlateDecode +>> +stream +x32V0CC L1230ɹ\ +U;yr{*r+r{g 4.ES! W +endstream +endobj +1179 0 obj +<< +/Length 171 +/Filter /FlateDecode +>> +stream +x32S0P0W55S022R @c$r*t͌,s(9yr{*rr{(s;8+rD wwvvrllt29y@-vv\. +j /]+/>lf-뷃Pu.WO@.; +endstream +endobj +1180 0 obj +<< +/Length 176 +/Filter /FlateDecode +>> +stream +x32S0P0Q55S02P0WH1230 +!U`hfT53L,ɥPRTʥTȥ`f̥`ȥ 44KM V8qy(x@__7u_f_AS! $@ +endstream +endobj +1181 0 obj +<< +/Length 152 +/Filter /FlateDecode +>> +stream +x31P0P0R51R0P5WH1230Tɹ\ +f`A]cS u N\ +%E\@\ +Ʀ\N +\.@Sc݀}o]s~Nin^1Pz$F.WO@.3{ +endstream +endobj +1182 0 obj +<< +/Length 187 +/Filter /FlateDecode +>> +stream +x31P0P0Q5T062S @pFr.WX218yr{*rq{(Xr;8+rDwwvvrllt29y@-vv\. +j =bo7!ޗ__AV[1ӎo&&CA +endstream +endobj +1183 0 obj +<< +/Length 148 +/Filter /FlateDecode +>> +stream +x31P0P0S5T02Q5TH1230Tɹ\ +`Ab u N\ +%E\@\ +&@)YK%hj,`c ͥSW bg颠_D* d3 +endstream +endobj +1184 0 obj +<< +/Length 203 +/Filter /FlateDecode +>> +stream +x31P0P0Q5T062S @pFr.WX218yr{*rq{(Xr;8+rDwwvvrllt29y@-vv\. +j 2_>?yC-cYiggs +Pa7֦lq[ߖ]au8:"1 B A +endstream +endobj +1185 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x31P0P0Q5T062S @pFr.WX218yr{*rq{(Xr;8+rDwwvvrllt29y@-vv\. +j ͛y@K˿'WkGgm;Y0gbk_OKξYpMصk \=D +endstream +endobj +1186 0 obj +<< +/Length 142 +/Filter /FlateDecode +>> +stream +x5@G8Pp@(ډ^_\HiI&f bHoV瞞pzl"i"y+qhy Wx!.y"Z2CBoSj\Tg&L +endstream +endobj +1187 0 obj +<< +/Length 146 +/Filter /FlateDecode +>> +stream +x=; +@r;˸EEXYU3^Jg-930d":,Hڎ.g~ּDӴqu8oJe`kV9tNНo:) +n2<k<-"6($ +endstream +endobj +1188 0 obj +<< +/Length 203 +/Filter /FlateDecode +>> +stream +x3T0P0U55P01W0TH1230 +!U`bd5LD<=}JJSÁ +=L K4X.}7}gg7 7F[A(㜟SW bg颠`s!~Ǘ/;4UT7R}_՚Σw+GuCn׭ 01(pz*rcC) +endstream +endobj +1189 0 obj +<< +/Length 149 +/Filter /FlateDecode +>> +stream +x31V0P0S5T012S @l8#9P,R d@e<=}JJSÁʸ=L, ]Fr;;66 +@ܼb;;.Ogl@? \ +\, +endstream +endobj +1190 0 obj +<< +/Length 178 +/Filter /FlateDecode +>> +stream +x3P0P0Q56S"C.=C a\Bc# H-ebqU()*M*ť`ȥ 42KM V8qy(-brd|J ۗ/.'M_VǶMOڶML`SCB 6 +endstream +endobj +1191 0 obj +<< +/Length 181 +/Filter /FlateDecode +>> +stream +x31T0P0Q5T062S @@c$r*Aj,'BIQi*~8P%S!K4X.}7}gg7 7F[A(㜟SW bg颠p UaЮ}&~G/gkɡߺ[MFbN&܂ \ +\< +endstream +endobj +1192 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x3P0P0Q56S"C.=C a\Bc# H-ebqU()*M*ť`ȥ 42KM V8qy(-VOUpv)pЁS! 9 + +endstream +endobj +1193 0 obj +<< +/Length 138 +/Filter /FlateDecode +>> +stream +x34S0P0S5T042S , 1s + b @pU()*MW0P0w +pV0w˥{+esJs<]z\=9% +endstream +endobj +1194 0 obj +<< +/Length 150 +/Filter /FlateDecode +>> +stream +x3T0P0U56S062S , 1s + @TɓKW4K?KC،K)YK%hd,`cﭠ q)+jtQ9t]Cˣ.WO@.F- +endstream +endobj +1195 0 obj +<< +/Length 176 +/Filter /FlateDecode +>> +stream +x31T0P0Q56S02S @@c$r*Aj,(ɥPRTʥTƥ`lƥ`ȥ 42KM V8qy(-> +stream +x31T0P0U56S0PPH123 !U`llT51L,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(ؤ2&4> +stream +x32R0P0V51S022S @l8#9P,R d@e<=}JJSÁʸ=L̸ ]Fr;;66 +@ܼb;;.OeǓq`I{01(pz*r7 +endstream +endobj +1198 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x36V0P0T56S01S , 1s + @TɓKW4K?KC،K)YK%hd,`cﭠ q)+jtQP׷sn]v_[%}K/~e}د \ +\Ђ== +endstream +endobj +1199 0 obj +<< +/Differences [1 /g5166 /g31347 /g875 /g849 /g865 /g937 /g873 /g27722 /g22618 +/g31326 /g27378 /g24862 /g26279 /g857 /g866 /g860 /g878 /g874 /g858 +/g5167 /g886 /g916 /g918 /g929 /g933 /g922 /g928 /g927 /g884 +/g917] +/Type /Encoding +>> +endobj +1200 0 obj +<< +/Length 337 +/Filter /FlateDecode +>> +stream +x]Mn0F$R4tE=D,EoM]H/cdqu\i/m4McRr2Mq55_߫@o&Շ?v來([R8r!s7.?1ϥFtĪ5sm8jm9i=g7=|kHmk6b+"4); g\mӑ3cJz@T[NH +TPm%MPm%mZvdMipH[*zI[.PmVFJ5yO6w]v{piY.ຬxT: +endstream +endobj +1201 0 obj +<< +/Length 153 +/Filter /FlateDecode +>> +stream +x36T0P0T56R06P5UH123!U`diT52 @ N\ +%E\@\ +F\N +\.@Sc݀}o]s~Nin^1PsA@8"F0 B [/ +endstream +endobj +1202 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x36T0P0V5P02S @@c$r*Aj,c'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg颠h'ܼy=__~Wߖ'uaVF.WO@.8k +endstream +endobj +1203 0 obj +<< +/Length 143 +/Filter /FlateDecode +>> +stream +x36T0P0U56W02S @@c$r*Aj,S'BIQi*~8P9S!K4X.}7}gg7 7F[A(㜟SW bg颠Z9EYaS! - +endstream +endobj +1204 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x36T0P0V5P02S @@c$r*Aj,c'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg颠hr@[Z{߫_^zPTc"[ĦMuZcAS! +3 +endstream +endobj +1205 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x36T0P0V5P02S @@c$r*Aj,c'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg颠hr@UUq#}{P@ߎ'>v˟3ߚmzCѢ[6s1(0qz*r7 +endstream +endobj +1206 0 obj +<< +/Length 165 +/Filter /FlateDecode +>> +stream +x36T0P0Q56W02S @@c$r*Aj,'BIQi*~8P9S!K4X.}7}gg7 7F[A(㜟SW bg颠y@xNoK_}W|L:_gv@pS! q6] +endstream +endobj +1207 0 obj +<< +/Length 154 +/Filter /FlateDecode +>> +stream +x36T0P0U56W02S @@c$r*Aj,S'BIQi*~8P9S!K4X.}7}gg7 7F[A(㜟SW bg)%3t룾ѯx }F.WO@./ +endstream +endobj +1208 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x36V0P0V56Q06T5QH1230!U`da*6PXɓKW4K?KK)YK%hj,`cﭠ q)+jtQP[͙ڲ7RtZQ|"a{noog`rT?'0^ +endstream +endobj +1209 0 obj +<< +/Length 145 +/Filter /FlateDecode +>> +stream +x36T0P0R52S06P54RH123 !U`da*3  N\ +%E\@\ +&\N +\.@cc݀}ov.}ܼb;;.OOYH`drT`# +endstream +endobj +1210 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x36U0B]c c #Ő@,g$r `j,'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg颠AW7%40Tկi%/7p04pz*r < +endstream +endobj +1211 0 obj +<< +/Length 184 +/Filter /FlateDecode +>> +stream +x3P0P0V5P062S , 1s + @qU()*M*P0w +pV0w˥{+esJsZ<]brd>\anzI͡W wn9&$620rz*r5 +endstream +endobj +1212 0 obj +<< +/Length 160 +/Filter /FlateDecode +>> +stream +x3P0P0U5P062S , 1s + @TɓKW4K?KC؂K)Yq˥{+esJsZ<]ۋy~^d7wˢU"" ,\ +\0x +endstream +endobj +1213 0 obj +<< +/Length 145 +/Filter /FlateDecode +>> +stream +x36R0P0U5P062S @l8#9P,R dAx@'O.}O_T.p2.}c .}gC.}h\nn@n.P9?47ΎEs.WO@.0)\ +endstream +endobj +1214 0 obj +<< +/Length 130 +/Filter /FlateDecode +>> +stream +x= +@-)R rlf THujM˖5A_ߦl5:r<" +endstream +endobj +1215 0 obj +<< +/Length 159 +/Filter /FlateDecode +>> +stream +x36Q0P0U5P062S , 1s + @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQn]7= +{Vle/_}}~~~ݷo\=3 +endstream +endobj +1216 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x36W0P0U5P062S , 1s +, @TɓKW4K?KCH:8+rDwwvvrllt29y@-vv\. +6> +stream +x3T0P0V5P062S , 1s +M @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQP[xACn-_~ժ]>HmvL +\ +\; +endstream +endobj +1218 0 obj +<< +/Length 196 +/Filter /FlateDecode +>> +stream +x3T0P0V5P06W0RH1230 +!U`lbT2z,ɥPRTʥTȥ`b`ȥ 44KM V8qy(-> +stream +x36U0P0U5P062S , 1s +, @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQP='{wvE=X{o.WO@.62 +endstream +endobj +1220 0 obj +<< +/Length 193 +/Filter /FlateDecode +>> +stream +x36Q0P0V5P062S , 1s +, @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQP[4 Y/Io^ IHT+Ͽ!3s׳q]:: +endstream +endobj +1221 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x36T0P0V52W062S @@c$r*Aj,c'BIQi*~8Pt +pV0w˥{+esJs󊁊<]MdwKo?5zuvG9$:[mAxuF.WO@.0N +endstream +endobj +1222 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x3T0P0V52W02S , 1s +L @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQP[4CNř_Xo'WeovMƷmb< \ +\&2 +endstream +endobj +1223 0 obj +<< +/Length 172 +/Filter /FlateDecode +>> +stream +x36R0P0V5P02S , 1s + @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQP[j/|6_|nNU[m)o+HL`bPrTǥ4( +endstream +endobj +1224 0 obj +<< +/Length 169 +/Filter /FlateDecode +>> +stream +x3T0P0V52W02S , 1s +L @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQP[4-/2394eى 8\=;/ +endstream +endobj +1225 0 obj +<< +/Length 150 +/Filter /FlateDecode +>> +stream +x3T0P0V5P042S , 1s + M @TɓKW4K?KC؂K)YK%hd,`cﭠ q)+jtQP[|ySy_m4p +endstream +endobj +1226 0 obj +<< +/Length 185 +/Filter /FlateDecode +>> +stream +x36T0P0V52W0P0WH123 !U`djT56,ɥPRTʥTȥ`l¥`ȥ 44KM V8qy(-!QػEn//sص[s'\`zm΂Co®: l8R +endstream +endobj +1227 0 obj +<< +/Length 138 +/Filter /FlateDecode +>> +stream +x34V0P0Q5PR , 1s +L b @TɓKW4K?\KC؂K)YK%h`,`cﭠ q)+V0tQ3k [%N +endstream +endobj +1228 0 obj +<< +/Length 131 +/Filter /FlateDecode +>> +stream +x34V0P0Q5PR , 1s +L b @TɓKW4K?\KC؂K)YK%h`,`cﭠ q)+V0tQX\=" +endstream +endobj +1229 0 obj +<< +/Length 143 +/Filter /FlateDecode +>> +stream +x36P0P0Q52W"C.=C0U`dlL 2N\ +%E\@e\@ ]Fr;;66 +@ܼb;;.O)6j\=Y) +endstream +endobj +1230 0 obj +<< +/Length 165 +/Filter /FlateDecode +>> +stream +x36R0P0V52W062S @l8#9P,R d@e<=}JJSÁʸ=S!K4X.}7}gg7 7F[A(㜟SW Tlg颠H-@~>zK7ݺAS! h0 +endstream +endobj +1231 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x36R0P0Q52W06P0SH1230 +!U`dfT56L$sU()*M*P06w +pV0w˥{+esJsZ<],9,ڋ[|W׮]ʣ*뗘AS! ܉. +endstream +endobj +1232 0 obj +<< +/Length 139 +/Filter /FlateDecode +>> +stream +x34W0P0Q52W01S , 1s + @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQX|i@E& +endstream +endobj +1233 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x32W0P0V52W022S , 1s + @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQP[3F^J~]]it61F.WO@.U5O +endstream +endobj +1234 0 obj +<< +/Length 152 +/Filter /FlateDecode +>> +stream +x34W0P0R56U042S , 1s + M @TɓKW4K?KCؔK)YK%hd,`cﭠ q)+jtQP[?&? LnmB 4 +endstream +endobj +1235 0 obj +<< +/Length 146 +/Filter /FlateDecode +>> +stream +x3T0P0Q52W022S , 1s + @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQomU[z&.WO@.+} +endstream +endobj +1236 0 obj +<< +/Length 156 +/Filter /FlateDecode +>> +stream +x32U0P0T52W01S , 1s +L @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQ\u~ǿf-S[w, \ +\#2 +endstream +endobj +1237 0 obj +<< +/Length 167 +/Filter /FlateDecode +>> +stream +x32U0P0T52W01S , 1s +L @TɓKW4K?KCȜK)YK%hd,`cﭠ q)+jtQP[!^/]/uv2?^ޭ  \ +\4T +endstream +endobj +1238 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x32S0P0T52W02S0WH1230 +!U`djT56 ,ɥPRTʥTȥ`l¥`ȥ 44KM V8qy(Xn{Vumv붿e׭ \ +\-5 +endstream +endobj +1239 0 obj +<< +/Differences [1 /g865 /g937 /g866 /g849 /g887 /g934 /g927 /g916 /g933 +/g922 /g928 /g884 /g917 /g918 /g895 /g932 /g929 /g931 /g867 +/g900 /g914 /g920 /g882 /g878 /g896 /g892 /g885 /g860 /g898 +/g938 /g919 /g899 /g868 /g877 /g872 /g869 /g890 /g935 /g925] +/Type /Encoding +>> +endobj +1240 0 obj +<< +/Length 391 +/Filter /FlateDecode +>> +stream +x]1n@ާ /k6H%Y l@gLH#>޵O/iכuΟ^ԯ6?\sSRc6n6n^J G 7/eKD0 *SRṔJZ%yݡ6C !QcPEmbA%u<.y(QCq@CU;$<蝱lά8v*hUqlU0ϊcUql`WlzTű86`8* @`űŮ*װ;ay#v= +.A*~ ZżMFUQkZ=HmC1>Ώu-ݦڦ:NoyZS"ɹ +endstream +endobj +1241 0 obj +<< +/Length 27 +/Filter /FlateDecode +>> +stream +x3T0C.=CHy? +endstream +endobj +1242 0 obj +<< +/Differences [1 /j1 /j2 /j3 /j4 /j5 /j6 /j7 /j8 /j9 +/j10 /j11 /j12 /j13 /j14 /j15 /j16 /j17 /j18 /j19 +/j20 /j21 /j22 /j23 /j24 /j25 /j26 /j27 /j28 /j29 +/j30 /j31 /j32 /j33 /j34 /j35] +/Type /Encoding +>> +endobj +1243 0 obj +<< +/Length 27 +/Filter /FlateDecode +>> +stream +x35R0C.=CHxC +endstream +endobj +1244 0 obj +<< +/Differences [1 /j1 /j2 /j3 /j4 /j5 /j6 /j7 /j8 /j9 +/j10 /j11 /j12 /j13 /j14 /j15 /j16 /j17 /j18 /j19 +/j20 /j21 /j22 /j23 /j24 /j25] +/Type /Encoding +>> +endobj +1245 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x32V0P0U51W02R04TH1230!U`hnT5L,ɥPRTʥTɥ`j`ȥ 45KM V8qy(-4;n븭?: 6#B o; +endstream +endobj +1246 0 obj +<< +/Length 171 +/Filter /FlateDecode +>> +stream +x32V0P0T51W0T04TH1230!U`haT5 AD%<=}JJSÁ*=LS!K4X.}7}gg7 7F[A(㜟SW bg`'2k{k;6mo B > +endstream +endobj +1247 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x33U0P0R55S05W0WH1230 +!U`jjT53,ɥPRTʥTȥ`f̥`ȥ 44KM V8qy(-X >ɟLPG5V \ +\%D +endstream +endobj +1248 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S05W0UH1230 +!U`jlT53L,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.O+r 2@egϱ{~O?Q O!?`bPrTL +endstream +endobj +1249 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S!C.=C ɹ\ +F`A]3C M rN\ +%E\@\ +f\N +@%hh,`cﭠ q)+jtQP[C0Q'$''&\bFo`hrT%F +endstream +endobj +1250 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x33U0P0S55U05W0UH1230 +!U`jhT53XɓKW4K?K(ϥD wwvvrllt29y@-vv\. +j OȜj P[p?'@ӂYP  \ +\dK +endstream +endobj +1251 0 obj +<< +/Length 178 +/Filter /FlateDecode +>> +stream +x33U0P0S55S02R @c$r*t@,3(9yr{*rr{(IgC.}h\nn@n.P9?47ΎEAm YOh@>"OO8Zg`rT5M +endstream +endobj +1252 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x33U0PP55S05U0SH1230 +!U`bnT53,,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-\n>ޗ$s= +endstream +endobj +1253 0 obj +<< +/Length 144 +/Filter /FlateDecode +>> +stream +x34W0P0TеP04VPH1230!U`hdT54 ,ɥPRTʥTȥ`hƥ`ȥ 44KM åSW bg颠+:#B l'5 +endstream +endobj +1254 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x33U0P0S55U02R @c$r*t ,3(9yr{*rr{(r;8+)h\nn@n.P9?47ΎEAm Y $gA~(L-08pz*rNZ +endstream +endobj +1255 0 obj +<< +/Length 164 +/Filter /FlateDecode +>> +stream +x33U0P0Q55P03T5RH1230!U`jnT5LX,ɥPRTʥTɥ`b`ȥ 45KM V8qy(-jA8X fS! [; +endstream +endobj +1256 0 obj +<< +/Length 110 +/Filter /FlateDecode +>> +stream +x32W0P0U52T02V54UH1230 +!U`haT52L,ɥPRTʥTɥ`ƥ`ȥ 44EhS! '' +endstream +endobj +1257 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x33U0P0W55U03V0QH1230 +!U`jf́,ɥPRTʥTȥ`jɥ`ȥ 44KM V8qy(-\& Os̍Y@c:G?1$bJ7PAS! Fv +endstream +endobj +1258 0 obj +<< +/Length 183 +/Filter /FlateDecode +>> +stream +x33U0P0W55U0P0QH1230 +!U`jh́,ɥPRTʥTȥ`jɥD wwvvrllt29y@-vv\. +j ,+ A'$?"'! ? +B /2D +endstream +endobj +1259 0 obj +<< +/Length 116 +/Filter /FlateDecode +>> +stream +x34W0P0VеT04Q0TH1230!U`hhT2Z$sU()*M*q;8+9.@Cc<]grTg" +endstream +endobj +1260 0 obj +<< +/Length 169 +/Filter /FlateDecode +>> +stream +x33U0P0Q55Q03T0UH1230 +!U`jnL,ɥPRTʥTȥ`jɥ`ȥ 44KM V8qy(-lj6@l +"Sz0-A) +endstream +endobj +1261 0 obj +<< +/Length 216 +/Filter /FlateDecode +>> +stream +x33U0P0V55S0P0UH1230 +!U`jjT53$sU()*M*P03w +pVR.@Cc݀}o]s~Nin^1Pڂ5$'u⼪])gK?*ח\zrq}C2E|@s> +stream +x3P0P0R5P06U0TH1230 +!U`ll,ɥPRTʥTȥ`bɥ`ȥ 44KM V8qy(-hK|}͗5_wU/j+ziM;~ $" \=B +endstream +endobj +1263 0 obj +<< +/Length 237 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ4K)YHD wwvvrllt29y@-vv\. +j ~HUW?狹6*%|:lWӳF7醎ͼvgT{ +`'i6 +/_~by8>laݟͣcЉk +L\ +\(Ol +endstream +endobj +1264 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S05W0UH1230 +!U`jlT53L,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.O?Z}̨Q90Fa-޲c[(>p'~gdG?02pz*rr K +endstream +endobj +1265 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x33U0P0Q55R03T5VH1230!U`jnT5LX,ɥPRTʥTɥ`bɥ`ȥ 45KM V8qy(-.|*U*>#ǡpk78\SZ  \ +\*?? +endstream +endobj +1266 0 obj +<< +/Length 195 +/Filter /FlateDecode +>> +stream +x33U0P0V55S05U0WH1230 +!U`jdT53@,ɥPRTʥTȥ`f̥`ȥ 44KM V8qy(-X(~߭~Kk~i~e&.ۑoY{?XCCB :L} +endstream +endobj +1267 0 obj +<< +/Length 148 +/Filter /FlateDecode +>> +stream +x3P0P0W5P022S , 1s + - @TɓKW4K?KCĂK)YK%hd,`cﭠ q)+jtQP[0#:t0a e%2 +endstream +endobj +1268 0 obj +<< +/Length 205 +/Filter /FlateDecode +>> +stream +x33U0P0Q55T03T5VH1230!U`jnT5LX,ɥPRTʥTɥ`b`ȥ 45KM V8qy(-hKl6_Uzy/.\2j*_ +% _r>\kr45%O]G + +endstream +endobj +1269 0 obj +<< +/Length 242 +/Filter /FlateDecode +>> +stream +x33U0P0U55S03V0SH1230 +!U`jaT53L,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-UҾ! 0uӶoj[X>p6> |MĿs?߱[5)620rz*rSV\ +endstream +endobj +1270 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x3P0P0Q5P062S , 1s + @TɓKW4K?KCĂK)YK%hd,`cﭠ q)+jtQP[yȑ ?luӦ{;&.]Хz`aprT-M5 +endstream +endobj +1271 0 obj +<< +/Length 214 +/Filter /FlateDecode +>> +stream +x33U0P0S55S032R @c$r*t͌,3(9yr{*rr{(q;8+rD wwvvrllt29y@-vv\. +j ץ bG/_k>P7o{g]?̡_g>8\=JT +endstream +endobj +1272 0 obj +<< +/Length 210 +/Filter /FlateDecode +>> +stream +x33U0P0U55S0P0SH1230 +!U`jlT53L,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-X=!{?__׿>?}HM?~{ImM`y8AS! dZc +endstream +endobj +1273 0 obj +<< +/Length 229 +/Filter /FlateDecode +>> +stream +x33U0P0S55U052R @c$r*t@,3(9yr{*rr{(Ig  44KM V8qy(-ܲIn|5p2?3>o>\O`}#NCž> +stream +x33U0P0U55S02R @c$r*t ,S(9yr{*rr{(r;8+)h\nn@n.P9?47ΎEAm O_̿kOYmm?g;GM8T&.WO@.ֱV +endstream +endobj +1275 0 obj +<< +/Length 230 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ4K)YHD wwvvrllt29y@-vv\. +j 7Vlbo7#_#má[%G'?_ 796Pp|򓄺Í_á7Ƿnm#朶AS! P +endstream +endobj +1276 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ4K)YHD wwvvrllt29y@-vv\. +j >oĴ엿j߿qnvݺu07NsSz0S! I +endstream +endobj +1277 0 obj +<< +/Length 212 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0UH1230 +!U`jnT53L,ɥPRTʥTȥ`f`ȥ 44KM V8qy(-JZEEn޼& L»ڵk 6$l6=4)k0;f r B F +endstream +endobj +1278 0 obj +<< +/Length 205 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0UH1230 +!U`jnT53L,ɥPRTʥTȥ`f`ȥ 44KM V8qy(-JZEEn޼& FxҶ nl:s]iYM?iߘY?AS! )F* +endstream +endobj +1279 0 obj +<< +/Length 211 +/Filter /FlateDecode +>> +stream +x33U0P0R55S05W0SH1230 +!U`jjT53@,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-XA<|/_pk~~zo]'FO"FB?m?>Z o`hrT[*J +endstream +endobj +1280 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x33U0P0S55S"3C.=C ɹ\ +`A]3# rN\ +%E\@\ +fF\N +\.@Cc݀}o]s~Nin^1P­OT~h˯Z.귿oY_vgȬzv8> +stream +x33U0P0V55S03V0SH1230 +!U`f`T53(sU()*Mr{(q;8+rD wwvvrllt29y@-vv\. +j K$;y׿̡6!BuAo]xk$ENM~}{>z#1~kDDb +KF.WO@."Tk +endstream +endobj +1282 0 obj +<< +/Length 203 +/Filter /FlateDecode +>> +stream +x33U0P0V55U05W0SH1230 +!U`jbT53,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.Ok'dX?P}߿8kW/忌7oUU5&ooϞAS! OV +endstream +endobj +1283 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x33U0P0V55U05W0SH1230 +!U`jbT53,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.Ok<ڃ)N_^᯵//寮~isoMo |+o`hrTJH +endstream +endobj +1284 0 obj +<< +/Length 207 +/Filter /FlateDecode +>> +stream +x33U0P0V55U05W0QH1230 +!U`jb$sU()*M*P0w +pV0w˥{+esJsZ<]ρ"K__9|K|&/9P!߲Yov;M\(vB)2 .WO@.^cMT +endstream +endobj +1285 0 obj +<< +/Length 210 +/Filter /FlateDecode +>> +stream +x33U0P0V55S!C.=C ɹ\ +`A]3C 359yr{*rr{(r;8+)h\nn@n.P9?47ΎEAmן__~Yg_/̨Q9Pm㧬-Rov;9[ms|#P v4OW +endstream +endobj +1286 0 obj +<< +/Length 240 +/Filter /FlateDecode +>> +stream +x33U0P0V55S05W0SH1230 +!U`jbT53,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-X3g pH˜_/ ɷ&\hzr̿ ohH36˗dEzo͙#/uk61F.WO@.vY +endstream +endobj +1287 0 obj +<< +/Length 203 +/Filter /FlateDecode +>> +stream +x33U0P0Q55P03T5RH1230!U`jnT5LX,ɥPRTʥTɥ`b`ȥ 45KM V8qy(-q^|1org{B>ṴmḶ Y?04ppz*rB +endstream +endobj +1288 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x33U0P0V55U"3C.=C ɹ\ +F`A]3C  rN\ +%E\@\ +f\N +@%hh,`cﭠ q)+jtQP[ϖ@D|0W/oKk~x_'}9vue X\=J: +endstream +endobj +1289 0 obj +<< +/Length 215 +/Filter /FlateDecode +>> +stream +x33U0P0V55W03V0UH1230 +!U`f`T53,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-9#pekKTaɶG ٷ(~kW< +s0X\=xU +endstream +endobj +1290 0 obj +<< +/Length 203 +/Filter /FlateDecode +>> +stream +x3P0P0V5P06S0TH1230 +!U`ll@> +stream +x33U0P0W55U05W0SH1230 +!U`j`T53́,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.O[2flHM۔ϡQ AS! @= +endstream +endobj +1292 0 obj +<< +/Length 221 +/Filter /FlateDecode +>> +stream +x33U0PP55S05W0SH1230 +!U`biT53,,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(- x4AO8Ar@ yrM? _`C>Za [Gs9(`drT,FL$ +endstream +endobj +1293 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x33U0P0Q55P03T5RH1230!U`jnT5LX,ɥPRTʥTɥ`b`ȥ 45KM V8qy(-q G]w 8Z`pF.WO@.> +endstream +endobj +1294 0 obj +<< +/Length 183 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ4K)YHD wwvvrllt29y@-vv\. +jU?r'CHʅ5ן\S +LȝU (ƻ?8\=AD +endstream +endobj +1295 0 obj +<< +/Length 211 +/Filter /FlateDecode +>> +stream +x33U0P0U55U03V0SH1230 +!U`jaT53L,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.O g?Y 99 y-@ OyWٲ~g8woE88`AS! SQ +endstream +endobj +1296 0 obj +<< +/Length 215 +/Filter /FlateDecode +>> +stream +x33U0P0U55S03V0QH1230 +!U`jaT53L,ɥPRTʥTȥ`f`ȥ 44KM V8qy(-x9?9 A9/1_//@-#[З8PX\=iO +endstream +endobj +1297 0 obj +<< +/Length 212 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S05W0SH1230 +!U`jlT53L,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-X;ab0xf$?G~*.???\_۾뇹6 B Y +endstream +endobj +1298 0 obj +<< +/Length 202 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-9Sό9dcJh|}ILlw=᠞m6IagVcxĠqE( +endstream +endobj +1299 0 obj +<< +/Length 189 +/Filter /FlateDecode +>> +stream +x31R0P0V5P0T0TH1230 +!U`lf,ɥPRTʥTȥ`bɥ`ȥ 44KM V8qy(-3k[ʺ\Pr0WWuG}s}_Qaa : +endstream +endobj +1300 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x3P0P0S51W012S , 1s +L @TɓKW4K?KCĜK)YK%hd,`cﭠ q)+jtQP8##c^0Gp/__mt3aJhF \ +\5 +endstream +endobj +1301 0 obj +<< +/Length 146 +/Filter /FlateDecode +>> +stream +x36Q0P0S51W062S , 1s + @qU()*M*P01w +pV0w˥{+esJsZ<]e?5Y@pS! W/* +endstream +endobj +1302 0 obj +<< +/Length 143 +/Filter /FlateDecode +>> +stream +x35P0P0S51W012S @pFr.WX238yr{*rq{(s;8+rDwwvvrllt29y@-vv\. +& &&.WO@.4. +endstream +endobj +1303 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x31R0P0S51W012S , 1s + @TɓKW4K?KCH:8+rDwwvvrllt29y@-vv\. +&> +stream +x33V0P0S51W02S , 1s +L @TɓKW4K?KCĜK)YK%hd,`cﭠ q)+jtQPl ]>5nFgMwAS! F +endstream +endobj +1305 0 obj +<< +/Length 163 +/Filter /FlateDecode +>> +stream +x31P0P0S51W02S @pFr.WX238yr{*rq{(s;8+rDwwvvrllt29y@-vv\. +&z`cP`rT2= +endstream +endobj +1306 0 obj +<< +/Length 235 +/Filter /FlateDecode +>> +stream +x33U0P0Q55S03T0SH1230 +!U`jnT53L,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-giWYǽz{M9>gA=Ţ~b-$^Ϗ/_c~~q5m8\=Z/ +endstream +endobj +1307 0 obj +<< +/Length 229 +/Filter /FlateDecode +>> +stream +x35W0P0V51W05Q0TH1230 +!U`jh$sU()*M*P0w +pVr\r;;66 +@ܼb;;.OEy=Nde6UL_[?`OK[.ٶwɆ}_*U4?RV$/o%?M`hrTYSO +endstream +endobj +1308 0 obj +<< +/Length 236 +/Filter /FlateDecode +>> +stream +x33U0P0U55U05W0SH1230 +!U`jdT53L,ɥPRTʥTȥ`fȥ\r;;66 +@ܼb;;.O+f" +[5Uq&!-{澥#yCem M@$L/̽/?LAvv6oD$ςL +\ +\N +endstream +endobj +1309 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x33U0P0Q55P03T5RH1230!U`jnT5LX,ɥPRTʥTɥ`b`ȥ 45KM V8qy(-VM :cC.WO@.`#; +endstream +endobj +1310 0 obj +<< +/Length 167 +/Filter /FlateDecode +>> +stream +x33U0P0Q55V03T0VH1230 +!U`jn5L,ɥPRTʥTȥ`jƥ`ȥ 44KM V8qy(-jhr@cP`rT[%: +endstream +endobj +1311 0 obj +<< +/Length 189 +/Filter /FlateDecode +>> +stream +x33U0P0Q55U"3C.=C ɹ\ +`A]3 rN\ +%E\@\ +f@)YHD wwvvrllt29y@-vv\. +j VPO~鿽_vſ,eW˒-;zw=L\.WO@.C7 +endstream +endobj +1312 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x36U0B]ccc #Ő@,g$r `j,'BIQi*~8P1S!K4X.}7}gg7 7F[A(㜟SW bg颠>9۱sn:|vvgUm_u%5cP`rT> +stream +x33U0PP55S05W0SH1230 +!U`biT53,,ɥPRTʥTȥ`fĥ`ȥ 44KM V8qy(-=~dGBOzzrE*BVS! J +endstream +endobj +1314 0 obj +<< +/Differences [1 /g1315 /g2316 /g1880 /g2651 /g2799 /g3 /g10 /g45 /g37 +/g38 /g15 /g42 /g50 /g19 /g7 /g702 /g2118 /g2416 /g2252 +/g11 /g2232 /g783 /g1966 /g792 /g2067 /g1889 /g2175 /g642 /g2163 +/g1587 /g2843 /g2924 /g14 /g47 /g18 /g90 /g40 /g26 /g2043 +/g1779 /g2234 /g2226 /g2205 /g20 /g2228 /g1819 /g2850 /g2011 /g1142 +/g941 /g2117 /g2180 /g2829 /g1291 /g1866 /g1446 /g1464 /g1398 /g1144 +/g16 /g2841 /g1990 /g709 /g2207 /g1618 /g2735 /g1539 /g2063 /g1141 +/g2165] +/Type /Encoding +>> +endobj +1315 0 obj +<< +/Length 577 +/Filter /FlateDecode +>> +stream +x]Mn0>n`tE!xYPEo. 37x}~qѭqXJ{mzHk[m=o]O,{m{v]KO.m(RDݜ$l1ǰOB cI81N\P9X. K:q2tjk:q:tzpM'. =IVrZ9bֳyRr#6{ur$7 Cبz Up%6 •dó!+)r6ÕAcǰE\ +$9WѱUk U++ fp 5h{b\̱N qD^!\IqEJlĦ\IjJʴз+0* WRZÕ%k1\I:=\{ !\ vPYbfWp%;GRgeJj8XLggCIFJY3ey+ ytqm{5綵7~YՁ> +endstream +endobj +1316 0 obj +<< +/Length 159 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P"i`V4Og=\ B -9n +endstream +endobj +1317 0 obj +<< +/Length 193 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P"i \ *_\|!`~},8FBucDbbs2 ,\ +\B +endstream +endobj +1318 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x3T0P04P55P05T0QH1230!U`bh51i PɓKW4K?KCԄK)Yq˥{+esJsZ<]ؗ#?LrrEV]j0չCB ->y +endstream +endobj +1319 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x3P0P0Q51R04W04PH1230!U`hlT55L,ɥPRTʥTɥ`jĥ`ȥ 45KM V8qy(-̬6eMOY[wCB :I +endstream +endobj +1320 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x5L` t4}ss~E0_'n"%| ƍ`^][@>$Ȉ/NW8bZ7I> +stream +x3T0P0W55P05T0UH1230 +!U`bb5́D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O7'dʳr#?ܬOg .WO@.4N +endstream +endobj +1322 0 obj +<< +/Length 167 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j˂$!Fd?GW0  \ +\>= +endstream +endobj +1323 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x32U0P0V51R02R52TH1230 +!U`hi) `,'BIQi*~8P)!Sr˥{+esJsZ<]͖|΂9;Ns=[8W~י+8\= 0 +endstream +endobj +1324 0 obj +<< +/Length 218 +/Filter /FlateDecode +>> +stream +x3T0PP55P05S0QH1230 +!U`ba5@< sU()*M*P05w +pV0w˥{+esJsZ<].:T9 ?-ldoe\M;-&&ɓ {H +endstream +endobj +1325 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x3T0PT55P!C.=C ɹ\ +&`AZS ,ɥPRTʥTȥ`jʥD wwvvrllt29y@-vv\. +j W@!@٬ +9=?8AS! Ž= +endstream +endobj +1326 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x3T0P0W55P05T0UH1230 +!U`bb5́D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O7'dSBaOώ9'gSbc)TqF.WO@.I +endstream +endobj +1327 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j&:sJ]ͤ@IX՘\=55 +endstream +endobj +1328 0 obj +<< +/Length 181 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1PڢWo&?"`z6o+ap`rT< +endstream +endobj +1329 0 obj +<< +/Length 211 +/Filter /FlateDecode +>> +stream +x3T0P0W55P05S0QH1230 +!U`bi5́D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O+r?`&4xBMA/>}_>vaΜ V7֮ifq`aprT/L +endstream +endobj +1330 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x3T0P0W55P0T0UH1230 +!U`bd5́D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.Okg)o>AS! J= +endstream +endobj +1331 0 obj +<< +/Length 116 +/Filter /FlateDecode +>> +stream +x34V0P0T5WTPH1230 +!U`aS54 $rU()*MWP04w +pV0w/'''cccQQQPB ' + +endstream +endobj +1332 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x3T0P04P55P05R0QH1230!U`bd51i PɓKW4K?KCԄK)YK%hj,`cﭠ q)+jtQP[BԆgj[_$Ar9'!8\=C` +endstream +endobj +1333 0 obj +<< +/Length 172 +/Filter /FlateDecode +>> +stream +x3T0P0W55P05W0UH1230 +!U`j`2́D<=}JJSÁ\ +\N +\.@Cc݀}o]s~Nin^1P9g<;b{vj[acP`rTyGQ +endstream +endobj +1334 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x3T0P0W55P05S0QH1230 +!U`bi5́D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O+rx?yxOS^i[??7\ĊX\=CeCY +endstream +endobj +1335 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x3T0P04P55P05R0QH1230!U`bd51i PɓKW4K?KCԄK)YK%hj,`cﭠ q)+jtQP[B `g8W[?}@qD\=E +endstream +endobj +1336 0 obj +<< +/Length 163 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +jflNn8 a~:2CB ł= +endstream +endobj +1337 0 obj +<< +/Length 105 +/Filter /FlateDecode +>> +stream +x32Q0P0Q5T02R +)\z@a 1s + +&@%<=}JJSÁ*=L ]ry(.WO@.9 +endstream +endobj +1338 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T05U0UH1230 +!U`jhT55@,ɥPRTʥTȥ`j¥D wwvvrllt29y@-vv\. +jَA?'/`'IO?4p04pz*r@| +endstream +endobj +1339 0 obj +<< +/Length 183 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1Pڢ> +stream +x3T0P0Q51V05UPH1230 +!U`jhTL,ɥPRTʥTȥ&K4X.}7}gg7 7F[A(㜟SW Tlg颠pGr\_}LW~ݷW{u?|u@t"خKMͣv!3ELu….WO@.T +endstream +endobj +1341 0 obj +<< +/Length 241 +/Filter /FlateDecode +>> +stream +x3T0P0Q51V05UPH1230 +!U`jhTL,ɥPRTʥTȥ&K4X.}7}gg7 7F[A(㜟SW Tlg颠pGr\_}^ZU[ޯp^˽m_oWlUt-K/2}-z;Ww6 oxqr+.`drT4O" +endstream +endobj +1342 0 obj +<< +/Length 250 +/Filter /FlateDecode +>> +stream +x3T0P0Q51V05UPH1230 +!U`jhTL,ɥPRTʥTȥ&K4X.}7}gg7 7F[A(㜟SW Tlg颠pGr\_}ʡqߤf~~o=ͽ[v >ۏ]}+D͜zCސwciyT.Dq&6INXA +endstream +endobj +1343 0 obj +<< +/Length 160 +/Filter /FlateDecode +>> +stream +x3T0PP55P0T0UH1230 +!U`bh5,D<=}JJSÁ +=LMh\nn@n.P9?47ΎEAmx[Cg|.WO@.m= +endstream +endobj +1344 0 obj +<< +/Length 234 +/Filter /FlateDecode +>> +stream +x3T0P0Q51V05UPH1230 +!U`jhTL,ɥPRTʥTȥ&K4X.}7}gg7 7F[A(㜟SW Tlg颠pGr\_}r{~_7t__dK!ą͇4Xzn0em\-$yl:ơcȭPAS! U +endstream +endobj +1345 0 obj +<< +/Length 243 +/Filter /FlateDecode +>> +stream +x3T0P0Q51V05UPH1230 +!U`jhTL,ɥPRTʥTȥ&K4X.}7}gg7 7F[A(㜟SW Tlg颠pGr\_}rK[v`ܯ/O;COxynU練{b2 1bDz7nv+GB4gb3D  \ +\V +endstream +endobj +1346 0 obj +<< +/Length 100 +/Filter /FlateDecode +>> +stream +x34V0P0V5UT0TH1230 +!U`fS1,ɥPRTʥ`ƥ" ]ry(T +.WO@.2s +endstream +endobj +1347 0 obj +<< +/Length 192 +/Filter /FlateDecode +>> +stream +x3T0P0V55P05W0UH1230 +!U`jb5D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O7rH_sgs@9>T_r_Jӷo!z\=!O +endstream +endobj +1348 0 obj +<< +/Length 224 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j O_vnԺ_f %ܧ7Ǐ8N)5gk_\k7eyT7;Nڶ!aGCB ݷS0 +endstream +endobj +1349 0 obj +<< +/Length 224 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P01R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j N|?,k%Wϖ[WP_5CՔ|?kX82_|/}[o$b:!υS! V +endstream +endobj +1350 0 obj +<< +/Length 207 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j n9*%ۿU_reFdC>v'Wq0}Vs1焫AS! %L +endstream +endobj +1351 0 obj +<< +/Length 155 +/Filter /FlateDecode +>> +stream +x32V0P5T51R02V0WH1230!U`db4i`I'O.}O_T.pJ.}K.}gC.}h\nn@n7.}ܼb;;.O{e?BZ +L\ +\ g8F +endstream +endobj +1352 0 obj +<< +/Length 194 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j W{}zۗܛ\C>6*q|l>"a"uqmԑoAsB 1?p +endstream +endobj +1353 0 obj +<< +/Length 192 +/Filter /FlateDecode +>> +stream +x3T0P0Q5P05U0UH1230 +!U`jhT55L,ɥPRTʥTȥ`j̥D wwvvrllt29y@-vv\. +j *y+<ۗJ&ǡ`y ǵ-Ō eutܠ01(pz*rwA. +endstream +endobj +1354 0 obj +<< +/Length 190 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j W׾4_rO(s M>rl,9n(\SX\=8 +endstream +endobj +1355 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P!C.=C ɹ\ +&f`AZS ,ɥPRTʥTȥ`jʥ`ȥ 44KM V8qy(-D(kD_ +F_r}kT4/rͷzFH?&.WO@.9EE& +endstream +endobj +1356 0 obj +<< +/Length 193 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P01R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j nW_OW/MkC5%~3k "VI.WO@.!N +endstream +endobj +1357 0 obj +<< +/Length 201 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j n9__}_&_4&/r]m-R~6jEgĊ \ +\J +endstream +endobj +1358 0 obj +<< +/Length 187 +/Filter /FlateDecode +>> +stream +x36R0P0V51V06T0TH1230 +!U`da5,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-oib_|/UW_7ߒ[ig&65p04pz*r? +endstream +endobj +1359 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j 6'~++]/{Wڗٞ{&MݫmV6/wDVH`drTCA +endstream +endobj +1360 0 obj +<< +/Length 209 +/Filter /FlateDecode +>> +stream +x3T0P0S55P05S0UH1230 +!U`j`2̀D<=}JJSÁ\ +\N +\.@Cc݀}o]s~Nin^1P9OxpͿo]yg|[m}o$']ϣϣ/ 01(pz*rO" +endstream +endobj +1361 0 obj +<< +/Length 145 +/Filter /FlateDecode +>> +stream +x36R0P0S51V022S @, 1s + M @TɓKW4K?KCĘK)YK%hd,`cﭠ q)+jtQP[uV \=}0 +endstream +endobj +1362 0 obj +<< +/Length 202 +/Filter /FlateDecode +>> +stream +x3T0P0S55P05T0UH1230 +!U`bj̀D<=}JJSÁ +=LS!K4X.}7}gg7 7F[A(㜟SW bg颠'?hUj?@\ Vߢ]??JU& +endstream +endobj +1363 0 obj +<< +/Length 178 +/Filter /FlateDecode +>> +stream +x36R0P0V51V02S , 1s + @TɓKW4K?KCĘK)YK%hd,`cﭠ q)+jtQP[4F˗,/}ޱ: +[lhtBTTlfMI  \ +\p7 +endstream +endobj +1364 0 obj +<< +/Length 193 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j ,03Q!B|Asv/ٷ.p(3'B@д B B +endstream +endobj +1365 0 obj +<< +/Length 224 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P9mO 9ldp|0RH ;tEޚ?R?BO[' 9**//wݭl9a) \ +\O> +stream +x3T0P0Q5P05U0UH1230 +!U`jhT55L,ɥPRTʥTȥ`j̥D wwvvrllt29y@-vv\. +j ,03Q!BK{%;lÜ9#[U:ZrBv +endstream +endobj +1367 0 obj +<< +/Length 192 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +j LRh|"dE s~z-$vёЩ/AS! 8 +endstream +endobj +1368 0 obj +<< +/Length 201 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P9mO 9ldp|ᐾo]Ol#tcC'?"`z6o?]08pz*rCc +endstream +endobj +1369 0 obj +<< +/Length 196 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P!C.=C ɹ\ +&f`AZS ,ɥPRTʥTȥ`jʥ`ȥ 44KM V8qy(-_j4ײH4 ;-yTo$V 1ؑX}bg[@F.WO@.7gH\ +endstream +endobj +1370 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x3T0P0W55P!C.=C ɹ\ +&`AZS ,ɥPRTʥTȥ`jʥ`ȥ 44KM V8qy(-\_kU7n_?w-Eo=l==H +endstream +endobj +1371 0 obj +<< +/Length 237 +/Filter /FlateDecode +>> +stream +x3T0PP55P05S0UH1230 +!U`ba5@< sU()*M*P05w +pV0w˥{+esJsZ<]ʩWTW]__4? v˾7g>^ac5Hes}u+|aϽ +|lTMh2uD6&.WO@.XX +endstream +endobj +1372 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x36R0P0Q51V0T0TH1230 +!U`dj5@,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-␛?Gˏ{_+*Bu> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j _$.~k;7="ROgg(z$ \"Ro]Olٖ!vGQAS! IGQ +endstream +endobj +1374 0 obj +<< +/Length 189 +/Filter /FlateDecode +>> +stream +x3T0P0U55P02R @c$r*jM,9yr{*rr{(r;8+rD wwvvrllt29y@-vv\. +j ny`pY?yY_W___ZR/ͻveoe`rT8Ga +endstream +endobj +1375 0 obj +<< +/Length 229 +/Filter /FlateDecode +>> +stream +x3T0P0U5 +& +)\z@A 1s +LL @& @`9'O.}O_T.pB.}S.}gC.}h\nn@n.P9?47ΎEAmZ_UqK=U_reFP~WC5OsJ62}_~)Ko1vym׭܊,8\=QP +endstream +endobj +1376 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P!C.=C ɹ\ +&f`AZS ,ɥPRTʥTȥ`jʥ`ȥ 44KM V8qy(-:!k?I? (/%vu_oQ'W;8AS! HW +endstream +endobj +1377 0 obj +<< +/Length 194 +/Filter /FlateDecode +>> +stream +x3T0P0Q5P05U0UH1230 +!U`jhT55L,ɥPRTʥTȥ`j̥D wwvvrllt29y@-vv\. +jK?_{br+y2N+8M ;mgGVԜ08pz*rDA +endstream +endobj +1378 0 obj +<< +/Length 162 +/Filter /FlateDecode +>> +stream +x36R0B]#c3Ő@,g$r `j,'BIQi*~8P t +pV0w˥{+esJsZ<].X[=.do^/}ٷVL)Hʒ  \ +\t7* +endstream +endobj +1379 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x3T0P0Q5 + +)\z@A 1s +LL @ h'BIQi*~8P!)t +pV0w˥{+esJsZ<]$ɵj?> +stream +x36R0P0U51R0T0TH1230 +!U`db5L,ɥPRTʥTȥ`b̥`ȥ 44KM V8qy(Yr=Go- L 4fbP`rTD86? +endstream +endobj +1381 0 obj +<< +/Length 206 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+9.@Cc݀}o]s~Nin^1Pڂ7$Os˗|ДIq +ˬgC[x ~f eutܠRQҘs?E`aprT,G +endstream +endobj +1382 0 obj +<< +/Length 195 +/Filter /FlateDecode +>> +stream +x36R0P0V51V06T0TH1230 +!U`da5,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-x"'+/{__Cn޼>]o4+~ݭإ ;t8"aB a< +endstream +endobj +1383 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P05U0UH1230 +!U`jh5LD<=}JJSÁ +=q;8+9.@Cc݀}o]s~Nin^1Pڂ7OS|ݣr+xDnyḶAGj Wm8.sG +endstream +endobj +1384 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x36R0P0R51R062S @l8#9P,R d@e<=}JJSÁʸ=L ]Fr;;66 +@ܼb;;.OW_۲8 c뿭_CB ]2 +endstream +endobj +1385 0 obj +<< +/Length 178 +/Filter /FlateDecode +>> +stream +x3T0PP55P05T0UH1230 +!U`bl5,D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O'?7C?'CxIOyhis"ϞAS! F +endstream +endobj +1386 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x3T0PT55P0T0UH1230 +!U`b`5,D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O+Q#m;7{bgiά@, \ +\ : +endstream +endobj +1387 0 obj +<< +/Length 205 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P"AEfR9qpr@?gJC-_28T /z֛ n1mVc,B +endstream +endobj +1388 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x36R0P0V51V06T0TH1230 +!U`da5,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-9ak+?߭u3s®?d_@ʬemvL +\ +\cA +endstream +endobj +1389 0 obj +<< +/Length 193 +/Filter /FlateDecode +>> +stream +x36R0P0V51V06P0TH1230 +!U`dn5,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-oibof^Kw}ڪvdvnz];gaކ, \ +\Z?f +endstream +endobj +1390 0 obj +<< +/Length 182 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P/0tӔO,-? @ +endstream +endobj +1391 0 obj +<< +/Length 207 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P05S0UH1230 +!U`jd5LD<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O͉snʒDU_u8_ou?@]wf5[>kS! zrQ7 +endstream +endobj +1392 0 obj +<< +/Length 204 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j ܘ|]@!km@*]X&M7fǏ +8#B >Nt +endstream +endobj +1393 0 obj +<< +/Length 206 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P05T0UH1230 +!U`bn5LD<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O'|sGrEjpR?^s#P-oY&[۾~@57p04pz*rJ +X: +endstream +endobj +1394 0 obj +<< +/Length 233 +/Filter /FlateDecode +>> +stream +x3T0P0U5 +& +)\z@A 1s +L @&@)h'BIQi*~8P! S!K4X.}7}gg7 7F[A(㜟SW bg颠l[o9?hk"Sjώg]#D|5L'\\X0!b[=vˎ2k 6:qAS! X? +endstream +endobj +1395 0 obj +<< +/Length 149 +/Filter /FlateDecode +>> +stream +x34V0P0V56TT0TH1230!U`f4,ɥPRTʥ`ƥ`lĥ`ȥ 42KM V8+qy(t5?> +stream +x32T0CC L123ɹ\ +U;yr{*r+r{g 4.ES! V +endstream +endobj +1397 0 obj +<< +/Length 173 +/Filter /FlateDecode +>> +stream +x31T0PP56T06V5QH123!U`djT52,X,ɥPRTʥTɥ`dΥ`ȥ 45KM V8qy(-  M94Z| >>cc\=\V+ +endstream +endobj +1398 0 obj +<< +/Length 174 +/Filter /FlateDecode +>> +stream +x31T0PP56T06V5QH123!U`djT52,X,ɥPRTʥTɥ`dΥ`ȥ 45KM V8qy((7<<ܮ"|7h`hrT0 +endstream +endobj +1399 0 obj +<< +/Length 181 +/Filter /FlateDecode +>> +stream +x3T0P0T51R02S , 1s + @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQP[@%q /nĠA+ +endstream +endobj +1400 0 obj +<< +/Length 168 +/Filter /FlateDecode +>> +stream +x36Q0P0S51R062S @, 1s +L @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ0吱#_t(0`s,uuݛ5D#AS! 2 +endstream +endobj +1401 0 obj +<< +/Length 185 +/Filter /FlateDecode +>> +stream +x36W0P0V51V06Q0TH1230 +!U`lh5$sU()*M*P01w +pVr\r;;66 +@ܼb;;.O;[f\eRExkMU߻6M71ؐAS! ; +endstream +endobj +1402 0 obj +<< +/Length 171 +/Filter /FlateDecode +>> +stream +x31R0P0S51R02S , 1s + @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ0mzBFpulٲaS)3B -4\ +endstream +endobj +1403 0 obj +<< +/Length 147 +/Filter /FlateDecode +>> +stream +x36T0P0S51R02S @@c$r*Aj,3'BIQi*~8P t +pV0w˥{+esJsZ<]1ϥ~y04ppz*rX) +endstream +endobj +1404 0 obj +<< +/Length 141 +/Filter /FlateDecode +>> +stream +x3T0P0S51R022S , 1s + @TɓKW4K?KCĈK)Yq˥{+esJsZ<]>7DnS! >+* +endstream +endobj +1405 0 obj +<< +/Length 191 +/Filter /FlateDecode +>> +stream +x31T0P0V51V06W0TH123 !U`lb,ɥPRTʥTȥ`$ ]r;;66 +@ܼb;;.O=Ψ^\0IaO/צ''O '_on01p0F.WO@.2>X +endstream +endobj +1406 0 obj +<< +/Length 139 +/Filter /FlateDecode +>> +stream +x31V0P0S51R02S , 1s + @TɓKW4K?KCH:8+rDwwvvrllt29y@-vv\. +!uS! . +endstream +endobj +1407 0 obj +<< +/Length 131 +/Filter /FlateDecode +>> +stream +x34S0P0S51R042S @, 1s +L b @TɓKW4K?\KCĈK)YK%h`,`cﭠ q)+V0tQX\=)# +endstream +endobj +1408 0 obj +<< +/Length 162 +/Filter /FlateDecode +>> +stream +x36U0P0S51R01S , 1s +, @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ-[/koo/G}}~~~޽{,``rT70 +endstream +endobj +1409 0 obj +<< +/Length 137 +/Filter /FlateDecode +>> +stream +x3P0P0S51R022S , 1s + @TɓKW4K?KCĈK)Yq˥{+esJsZ<],X\= *q +endstream +endobj +1410 0 obj +<< +/Length 184 +/Filter /FlateDecode +>> +stream +x35Q0P0S51R02S , 1s +L @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ۷~BV~[v[ewo6p04pz*r@; +endstream +endobj +1411 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x31U0P0S51R012S @l8#9P,R d@e<=}JJSÁʸ=L ]Fr;;66 +@ܼb;;.O;G~~]o}g`rT'; +endstream +endobj +1412 0 obj +<< +/Length 199 +/Filter /FlateDecode +>> +stream +x31S0P0V51V"CC.=C ɹ\ +&`AZ S rN\ +%E\@\ +&&\N +\.@Cc݀}o]s~Nin^1P¦#6 +/.XиWZ%_}w eoznaan6.&.WO@.A +endstream +endobj +1413 0 obj +<< +/Length 160 +/Filter /FlateDecode +>> +stream +x36Q0P0S51R062S , 1s + @qU()*M*P01w +pV0w˥{+esJsZ<]LY3H߾_Mn \ +\*- +endstream +endobj +1414 0 obj +<< +/Length 169 +/Filter /FlateDecode +>> +stream +x36S0P0S51R01S , 1s + @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ0mb{dcw◮e-n6#B 4e +endstream +endobj +1415 0 obj +<< +/Length 188 +/Filter /FlateDecode +>> +stream +x36R0P0Q51V0T0TH1230 +!U`dj5@,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-~B'}?a69߲M[7<&.WO@.,7 +endstream +endobj +1416 0 obj +<< +/Length 137 +/Filter /FlateDecode +>> +stream +x36T0P0T51R062S @@c$r*YAj,C'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg)KA *3 +endstream +endobj +1417 0 obj +<< +/Length 159 +/Filter /FlateDecode +>> +stream +x31T0P0U51R06S0TH123 !U`lh5L$sU()*M*P01w +pVr\r;;66 +@ܼb;;.O ip7mcۦf٦pЁS! ۄ3 +endstream +endobj +1418 0 obj +<< +/Length 174 +/Filter /FlateDecode +>> +stream +x36W0P0T51R062S , 1s +M @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQ`lKά[w+}׳ݭ=n7WX\=f?) +endstream +endobj +1419 0 obj +<< +/Length 210 +/Filter /FlateDecode +>> +stream +x35S0P0T51R052S , 1s +LM @TɓKW4K?KCĈK)YK%hd,`cﭠ q)+jtQm?*s2+~wK_ٻ_=nGk_׿> B R +endstream +endobj +1420 0 obj +<< +/Length 190 +/Filter /FlateDecode +>> +stream +x36S0P0T51R06R +)\z@Q 1s + +@!%<=}JJSÁ*=- ]r;;66 +@ܼb;;.OX /ů__3:akIS +|jaU}UB > +endstream +endobj +1421 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x36V0P0T51R01S , 1s + @TɓKW4K?KCH:8+rDwwvvrllt29y@-vv\. +Ow޲חn"vo]~04ppz*rE>; +endstream +endobj +1422 0 obj +<< +/Length 141 +/Filter /FlateDecode +>> +stream +x3P0P0S51R04WTH1230 +!U`hhT5̀,ɥPRTʥTȥ` +$K4X.}7}gg7 7F[A(㜟SW bg8 AS! ^, +endstream +endobj +1423 0 obj +<< +/Length 192 +/Filter /FlateDecode +>> +stream +x3T0P0U5@F!!Pa\BS# P)@9'O.}O_T.pB.}SS.}gC.}h\nn@n.P9?47ΎEAm_!nor{{٫Y7}C} +˜Y O\=pF* +endstream +endobj +1424 0 obj +<< +/Length 138 +/Filter /FlateDecode +>> +stream +x3P0P0T51R04RTH1230 +!U`hhT5@,ɥPRTʥTȥ` +$K4X.}7}gg7 7F[A(㜟SW bgJ4 Ǡzw0 +endstream +endobj +1425 0 obj +<< +/Length 196 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j L_a~i_/Kg M_PP@ЇG;Mo8Od6PpF.WO@.] I +endstream +endobj +1426 0 obj +<< +/Length 222 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P052R @c$r*jM,9yr{*rr{(p;8+rD wwvvrllt29y@-vv\. +j tşHܫ7=nʍ){9>Looqzr'eN`/~Nxo&/9G&o-/02pz*rT +endstream +endobj +1427 0 obj +<< +/Length 183 +/Filter /FlateDecode +>> +stream +x36T0P0V56T02W0TH123 !U`db5,ɥPRTʥTȥ`lĥ`ȥ 44KM V8qy(-㯺uN:ٳFI ׯ>pqvނ> +stream +x36U0P0U51U06V0TH1230 +!U`da5L,ɥPRTʥTȥ`bƥ`ȥ 44KM V8qy(XpS!* ?E/~ ?zuW!Уmjee`rT}4 +endstream +endobj +1429 0 obj +<< +/Length 170 +/Filter /FlateDecode +>> +stream +x3P0P0V56T02S0TH1230 +!U`dl5@> +stream +x3T0P0U5 +& +)\z@A 1s +LL @& @`9'O.}O_T.pB.}S.}gC.}h\nn@n.P9?47ΎEAm4unח}K_^zkeǂ<[v8&mjzơx6EBENʄ }=v6IVK +endstream +endobj +1431 0 obj +<< +/Length 205 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P05T0UH1230 +!U`bn5LD<=}JJSÁ +=LM ]r;;66 +@ܼb;;.O'd?I_ +ԯ_׿~)˾> +stream +x36S0P0V51U06T0TH1230 +!U`da5,ɥPRTʥTȥ`bƥ`ȥ 44KM V8qy(-X9ճ/'_痯YzcЧl +.WO@./; +endstream +endobj +1433 0 obj +<< +/Length 162 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +jmOLAR X\=q:9 +endstream +endobj +1434 0 obj +<< +/Length 179 +/Filter /FlateDecode +>> +stream +x36R0P0V56T06P0TH1230 +!U`dn5,ɥPRTʥTȥ`lĥ`ȥ 44KM V8qy(-9O[_f_ނ8t>>\ !4 +endstream +endobj +1435 0 obj +<< +/Length 200 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T052R @c$r*tM,(9yr{*rr{(s;8+9.@Cc݀}o]s~Nin^1P"A?a?a'(|C@mzpM8\=gF] +endstream +endobj +1436 0 obj +<< +/Length 154 +/Filter /FlateDecode +>> +stream +x3T0P0R51S022S @l8#9P,R d@e<=}JJSÁʸ=L̸ ]Fr;;66 +@ܼb;;.Oak3~?_̚y?ap`rT70 +endstream +endobj +1437 0 obj +<< +/Length 197 +/Filter /FlateDecode +>> +stream +x36S0P0V56T"CSC.=C(ɹ\ +F`A]3  M N\ +%E\@\ +&f\N +\.@Sc݀}o]s~Nin^1PB&_k|_6ǠOmغzl"=V +endstream +endobj +1438 0 obj +<< +/Length 162 +/Filter /FlateDecode +>> +stream +x3T0P0Q51U05U5VH1230!U`jh*6LX,ɥPRTʥTɥ`bĥDMwwvvrllt29y@-vv\. +jӶ?<: aA GgF.WO@.? +endstream +endobj +1439 0 obj +<< +/Length 152 +/Filter /FlateDecode +>> +stream +x36Q0P0U51U062S @l8#9P,R dAx@'O.}O_T.p2.} `ȥ 42KM V8qy(XHS!S͎01(pz*r |0 +endstream +endobj +1440 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x3T0P0Q5T05U0UH1230 +!U`jhT55@,ɥPRTʥTȥ`j¥D wwvvrllt29y@-vv\. +j9GGμ?MYqN,?~.WO@.7+= +endstream +endobj +1441 0 obj +<< +/Length 143 +/Filter /FlateDecode +>> +stream +x34U0P0Q51Q042S @l8#9P,R +d@%<=}JJS̸=LL ]r;;66 +@ܼb3;;.O>02pz*r. +endstream +endobj +1442 0 obj +<< +/Length 166 +/Filter /FlateDecode +>> +stream +x3T0P0Q55P!C.=C ɹ\ +&f`AZS ,ɥPRTʥTȥ`jʥ`ȥ 44KM V8qy(-cF!'Ev8? +8AS! D +endstream +endobj +1443 0 obj +<< +/Length 130 +/Filter /FlateDecode +>> +stream +x34U0P0U51U042S @l8#9P,R +dAx@ 'O.}O_T.pS.} `ȥ 40KM V8+qy( \ +\/$ +endstream +endobj +1444 0 obj +<< +/Length 186 +/Filter /FlateDecode +>> +stream +x3T0P0S55P0T0UH1230 +!U`bl5̀D<=}JJSÁ +=LM ]r;;66 +@ܼb;;.Oүח^˒]1 Mz덞 h`hrTeAa +endstream +endobj +1445 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x35R0P0U56T02S , 1s +L @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +j ٍ{ ]k@CB 7e +endstream +endobj +1446 0 obj +<< +/Length 148 +/Filter /FlateDecode +>> +stream +x36Q0P0U56T062S @l8#9P,R dAx@'O.}O_T.p2.}cC.}g  42KM V8qy(-y̾|Ԯyֽ\=_. +endstream +endobj +1447 0 obj +<< +/Length 181 +/Filter /FlateDecode +>> +stream +x36U0P0V56T06V0TH1230 +!U`l`5\C'BIQi*~8PKC؈K)YK%hh,`cﭠ q)+jtQP[|X>}S?vVz{}-۴yt$48\=76 +endstream +endobj +1448 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x36U0P0U56T06V04QH1230!U`daT51@,ɥPRTʥTɥ`bʥ`ȥ 45KM V8qy(-> +stream +x32T0P0U56T01S @, 1s + @TɓKW4K?KCؐK)YHDwwvvrllt29y@-vv\. +j .N? Ǡ( +endstream +endobj +1450 0 obj +<< +/Length 177 +/Filter /FlateDecode +>> +stream +x32S0P0V56T02Q0TH1230 +!U`dh5$sU()*M*P06w +pVr\r;;66 +@ܼb;;.OEM׉Xߓ'>lop=CGCf-ؐAS! ~5H +endstream +endobj +1451 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x32P0P0R5T02P0TH1230T ɹ\ +`A]  rN\ +%E\@\ +&\N +\.@Cc݀}o]s~Nin^1Pڢbg̃a+\=m5Z +endstream +endobj +1452 0 obj +<< +/Length 156 +/Filter /FlateDecode +>> +stream +x36Q0P0Q56P0T0TH1230 +!U`dj5LD<=}JJSÁ += K4X.}7}gg7 7F[A(㜟SW bg`!m-# B ur/6 +endstream +endobj +1453 0 obj +<< +/Length 161 +/Filter /FlateDecode +>> +stream +x3T0B]c#3Ő@,g$r `j,'BIQi*~8PS!K4X.}7}gg7 7F[A(㜟SW bg`!_{[==uv|mB V7f +endstream +endobj +1454 0 obj +<< +/Length 224 +/Filter /FlateDecode +>> +stream +x3P0P0Q51V01W0TH1230 +!U`bl5@,ɥPRTʥTȥ`b¥`ȥ 44KM V8qy(-0p\@Mx +endstream +endobj +1455 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x3T0P0U5 +& +)\z@A 1s +LL @& @`9'O.}O_T.pB.}S.}gC.}h\nn@n.P9?47ΎEAm ɟ +^=m S'p"&.WO@.KJIF +endstream +endobj +1456 0 obj +<< +/Length 175 +/Filter /FlateDecode +>> +stream +x32W0P0T56P022S , 1s +L @TɓKW4K?KC؀K)YK%hd,`cﭠ q)+jtQr-]/z޶Kokׯ|}/U{4AS! ih8r +endstream +endobj +1457 0 obj +<< +/Length 180 +/Filter /FlateDecode +>> +stream +x3T0B]c#KCSC.=C(U70(61 APɓKW4K?KCĔK)YK%hf,`cﭠ q)+jtQ|rd\x ^tV]uô_[\=A +endstream +endobj +1458 0 obj +<< +/Length 154 +/Filter /FlateDecode +>> +stream +x31T0P0S52R06U54VH123 !U`diT1̀,ɥPRTʥTɥ$ ]r;;66@\9y@vv\. +j*9_<&EQsD6CB ' +endstream +endobj +1459 0 obj +<< +/Differences [1 /g49 /g36 /g48 /g40 /g39 /g53 /g72 /g74 /g76 +/g86 /g87 /g85 /g3 /g55 /g92 /g83 /g73 /g68 /g88 +/g79 /g42 /g1656 /g712 /g20 /g17 /g2509 /g2237 /g13 /g38 +/g50 /g21 /g89 /g19 /g91 /g23 /g62 /g64 /g44 /g81 +/g11 /g12 /g16 /g97 /g80 /g51 /g29 /g43 /g58 /g82 +/g71 /g22 /g41 /g25 /g24 /g27 /g9 /g31 /g60 /g33 +/g46 /g54 /g57 /g47 /g70 /g75 /g28 /g56 /g2183 /g1989 +/g1061 /g705 /g2121 /g2046 /g1308 /g26 /g15 /g1969 /g795 /g1544 +/g1762 /g2287 /g2113 /g1318 /g2319 /g1883 /g2654 /g643 /g1782 /g2229 +/g2208 /g37 /g69 /g2684 /g1894 /g748 /g1752 /g2643 /g2239 /g2813 +/g1599 /g1896 /g2861 /g1892 /g723 /g2487 /g2844 /g645 /g2163 /g1339 +/g1600 /g774 /g1625 /g636 /g1052 /g1458 /g1740 /g2120 /g2178 /g1393 +/g1420 /g2849 /g707 /g1238 /g1045 /g1529 /g2193 /g2166 /g1206 /g18 +/g163 /g754 /g1569 /g164 /g165 /g1813 /g166 /g1849 /g1307 /g167 +/g6 /g2853 /g1538 /g904] +/Type /Encoding +>> +endobj +1460 0 obj +<< +/Length 1008 +/Filter /FlateDecode +>> +stream +x]Mn7sO6`x Hrm£X^yQ +/>AfR|v}jovϧq|/>\#qy[bqx3nQ%{ǏGߎ'O_wn2Ώ~_tþp3¥0 2ü/\J ˾p90 n•pl ;~ kdhUM5 /\ֺpSy!%X +Cy  +zy VnCKC%={ȑqC Zr^Q3CK00Ďp%ּօo 囘 W/t2odr +bzUkom CfwkЁ&&65QkXrޅ\X ,z + MB.H0R?@.H0qfx ) S1ȁ@H:X +LDE F<(u!uAYة(H_ XpWk\/gNpM-(rW I@ +SXEiGQnd +COJ,p%$\`SKޅ+jU,yF sp%N=+Q9f @f9ÕLuQ>WWb>h0\5p%V;!pFtyճBÕXPgp%} \|}HL7:B}Qb= +,d涩kG֢Hvrh榧H9kHAN)^~ѲBTСŘH#@#AƎ:BV:z=WU +J7̺b1d &# +\x3\eWbUt[Y+ pWҧzfkkV4U G7 9!(a]5eckk.]ȑR+?c>ZH:b +endstream +endobj +1461 0 obj +<< +/K [1543 0 R 518 0 R] +/P 80 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1462 0 obj +<< +/K [513 0 R] +/P 110 0 R +/Pg 13 0 R +/S /LI +/Type /StructElem +>> +endobj +1463 0 obj +<< +/K [514 0 R] +/P 110 0 R +/Pg 13 0 R +/S /LI +/Type /StructElem +>> +endobj +1464 0 obj +<< +/K [515 0 R] +/P 110 0 R +/Pg 13 0 R +/S /LI +/Type /StructElem +>> +endobj +1465 0 obj +<< +/K [1544 0 R 1545 0 R 1546 0 R 525 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1466 0 obj +<< +/K [1547 0 R 1548 0 R 1549 0 R 1550 0 R 531 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1467 0 obj +<< +/K [1952 0 R 1551 0 R 1552 0 R 1553 0 R 535 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1468 0 obj +<< +/K [1554 0 R 1555 0 R 1556 0 R 1557 0 R 540 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1469 0 obj +<< +/K [1953 0 R 1558 0 R 1559 0 R 1560 0 R 544 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1470 0 obj +<< +/K [1954 0 R 1561 0 R 1562 0 R 1563 0 R 548 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1471 0 obj +<< +/K [1564 0 R 1565 0 R 1566 0 R 1567 0 R 555 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1472 0 obj +<< +/K [1955 0 R 1568 0 R 1569 0 R 1570 0 R 559 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1473 0 obj +<< +/K [1956 0 R 1571 0 R 1572 0 R 1573 0 R 563 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1474 0 obj +<< +/K [1957 0 R 1574 0 R 1575 0 R 1576 0 R 567 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1475 0 obj +<< +/K [1958 0 R 1577 0 R 1578 0 R 1579 0 R 571 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1476 0 obj +<< +/K [1580 0 R 1581 0 R 1582 0 R 1583 0 R 576 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1477 0 obj +<< +/K [1959 0 R 1584 0 R 1585 0 R 1586 0 R 580 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1478 0 obj +<< +/K [1587 0 R 1588 0 R 1589 0 R 1590 0 R 586 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1479 0 obj +<< +/K [1960 0 R 1591 0 R 1592 0 R 1593 0 R 590 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1480 0 obj +<< +/K [1594 0 R 1595 0 R 1596 0 R 1597 0 R 596 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1481 0 obj +<< +/K [1961 0 R 1598 0 R 1599 0 R 1600 0 R 600 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1482 0 obj +<< +/K [1962 0 R 1601 0 R 1602 0 R 1603 0 R 604 0 R] +/P 115 0 R +/Pg 13 0 R +/S /TR +/Type /StructElem +>> +endobj +1483 0 obj +<< +/K [1604 0 R 1605 0 R 1606 0 R 1607 0 R 615 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1484 0 obj +<< +/K [1608 0 R 1609 0 R 1610 0 R 1611 0 R 1612 0 R 621 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1485 0 obj +<< +/K [1613 0 R 1614 0 R 1615 0 R 1616 0 R 1617 0 R 627 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1486 0 obj +<< +/K [1618 0 R 1619 0 R 1620 0 R 1621 0 R 1622 0 R 633 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1487 0 obj +<< +/K [1623 0 R 1624 0 R 1625 0 R 1626 0 R 1627 0 R 639 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1488 0 obj +<< +/K [1628 0 R 1629 0 R 1630 0 R 1631 0 R 1632 0 R 645 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1489 0 obj +<< +/K [1633 0 R 1634 0 R 1635 0 R 1636 0 R 1637 0 R 651 0 R] +/P 187 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1490 0 obj +<< +/K [1638 0 R 1639 0 R 1640 0 R 658 0 R] +/P 199 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1491 0 obj +<< +/K [1641 0 R 1642 0 R 1643 0 R 662 0 R] +/P 199 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1492 0 obj +<< +/K [1644 0 R 1645 0 R 1646 0 R 666 0 R] +/P 199 0 R +/Pg 14 0 R +/S /TR +/Type /StructElem +>> +endobj +1493 0 obj +<< +/K [1647 0 R 1648 0 R 1649 0 R 673 0 R] +/P 298 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1494 0 obj +<< +/K [1650 0 R 1651 0 R 1652 0 R 677 0 R] +/P 298 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1495 0 obj +<< +/K [1653 0 R 1654 0 R 1655 0 R 681 0 R] +/P 298 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1496 0 obj +<< +/K [1656 0 R 1657 0 R 1658 0 R 685 0 R] +/P 298 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1497 0 obj +<< +/K [1659 0 R 1660 0 R 1661 0 R 689 0 R] +/P 298 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1498 0 obj +<< +/K [1662 0 R 1663 0 R 1664 0 R 1665 0 R 1666 0 R 1667 0 R 702 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1499 0 obj +<< +/K [1668 0 R 1669 0 R 1670 0 R 1671 0 R 1672 0 R 1673 0 R 709 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1500 0 obj +<< +/K [1674 0 R 1675 0 R 1676 0 R 1677 0 R 1678 0 R 1679 0 R 716 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1501 0 obj +<< +/K [1680 0 R 1681 0 R 1682 0 R 1683 0 R 1684 0 R 1685 0 R 723 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1502 0 obj +<< +/K [1686 0 R 1687 0 R 1688 0 R 1689 0 R 1690 0 R 1691 0 R 730 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1503 0 obj +<< +/K [1692 0 R 1693 0 R 1694 0 R 1695 0 R 1696 0 R 1697 0 R 737 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1504 0 obj +<< +/K [1698 0 R 1699 0 R 1700 0 R 1701 0 R 1702 0 R 1703 0 R 744 0 R] +/P 301 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1505 0 obj +<< +/K [1704 0 R 1705 0 R 1706 0 R 1707 0 R 1708 0 R 1709 0 R 757 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1506 0 obj +<< +/K [1710 0 R 1711 0 R 1712 0 R 1713 0 R 1714 0 R 1715 0 R 764 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1507 0 obj +<< +/K [1716 0 R 1717 0 R 1718 0 R 1719 0 R 1720 0 R 1721 0 R 771 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1508 0 obj +<< +/K [1722 0 R 1723 0 R 1724 0 R 1725 0 R 1726 0 R 1727 0 R 778 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1509 0 obj +<< +/K [1728 0 R 1729 0 R 1730 0 R 1731 0 R 1732 0 R 1733 0 R 785 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1510 0 obj +<< +/K [1734 0 R 1735 0 R 1736 0 R 1737 0 R 1738 0 R 1739 0 R 792 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1511 0 obj +<< +/K [1740 0 R 1741 0 R 1742 0 R 1743 0 R 1744 0 R 1745 0 R 799 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1512 0 obj +<< +/K [1746 0 R 1747 0 R 1748 0 R 1749 0 R 1750 0 R 1751 0 R 806 0 R] +/P 304 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1513 0 obj +<< +/K [1752 0 R 1753 0 R 1754 0 R 1755 0 R 1756 0 R 1757 0 R 819 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1514 0 obj +<< +/K [1758 0 R 1759 0 R 1760 0 R 1761 0 R 1762 0 R 1763 0 R 826 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1515 0 obj +<< +/K [1764 0 R 1765 0 R 1766 0 R 1767 0 R 1768 0 R 1769 0 R 833 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1516 0 obj +<< +/K [1770 0 R 1771 0 R 1772 0 R 1773 0 R 1774 0 R 1775 0 R 840 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1517 0 obj +<< +/K [1776 0 R 1777 0 R 1778 0 R 1779 0 R 1780 0 R 1781 0 R 847 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1518 0 obj +<< +/K [1782 0 R 1783 0 R 1784 0 R 1785 0 R 1786 0 R 1787 0 R 855 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1519 0 obj +<< +/K [1788 0 R 1789 0 R 1790 0 R 1791 0 R 1792 0 R 1793 0 R 862 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1520 0 obj +<< +/K [1794 0 R 1795 0 R 1796 0 R 1797 0 R 1798 0 R 1799 0 R 869 0 R] +/P 309 0 R +/Pg 15 0 R +/S /TR +/Type /StructElem +>> +endobj +1521 0 obj +<< +/K [1800 0 R 1801 0 R 1802 0 R 1803 0 R 1804 0 R 1805 0 R 882 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1522 0 obj +<< +/K [1806 0 R 1807 0 R 1808 0 R 1809 0 R 1810 0 R 1811 0 R 889 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1523 0 obj +<< +/K [1812 0 R 1813 0 R 1814 0 R 1815 0 R 1816 0 R 1817 0 R 896 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1524 0 obj +<< +/K [1818 0 R 1819 0 R 1820 0 R 1821 0 R 1822 0 R 1823 0 R 903 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1525 0 obj +<< +/K [1824 0 R 1825 0 R 1826 0 R 1827 0 R 1828 0 R 1829 0 R 910 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1526 0 obj +<< +/K [1830 0 R 1831 0 R 1832 0 R 1833 0 R 1834 0 R 1835 0 R 917 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1527 0 obj +<< +/K [1836 0 R 1837 0 R 1838 0 R 1839 0 R 1840 0 R 1841 0 R 924 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1528 0 obj +<< +/K [1842 0 R 1843 0 R 1844 0 R 1845 0 R 1846 0 R 1847 0 R 931 0 R] +/P 385 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1529 0 obj +<< +/K [1848 0 R 1849 0 R 1850 0 R 1851 0 R 1852 0 R 1853 0 R 944 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1530 0 obj +<< +/K [1854 0 R 1855 0 R 1856 0 R 1857 0 R 1858 0 R 1859 0 R 951 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1531 0 obj +<< +/K [1860 0 R 1861 0 R 1862 0 R 1863 0 R 1864 0 R 1865 0 R 958 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1532 0 obj +<< +/K [1866 0 R 1867 0 R 1868 0 R 1869 0 R 1870 0 R 1871 0 R 965 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1533 0 obj +<< +/K [1872 0 R 1873 0 R 1874 0 R 1875 0 R 1876 0 R 1877 0 R 972 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1534 0 obj +<< +/K [1878 0 R 1879 0 R 1880 0 R 1881 0 R 1882 0 R 1883 0 R 979 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1535 0 obj +<< +/K [1884 0 R 1885 0 R 1886 0 R 1887 0 R 1888 0 R 1889 0 R 986 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1536 0 obj +<< +/K [1890 0 R 1891 0 R 1892 0 R 1893 0 R 1894 0 R 1895 0 R 1000 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1537 0 obj +<< +/K [1896 0 R 1897 0 R 1963 0 R 1898 0 R 1899 0 R 1964 0 R 1005 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1538 0 obj +<< +/K [1900 0 R 1901 0 R 1965 0 R 1902 0 R 1903 0 R 1966 0 R 1010 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1539 0 obj +<< +/K [1904 0 R 1905 0 R 1967 0 R 1906 0 R 1907 0 R 1968 0 R 1015 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1540 0 obj +<< +/K [1908 0 R 1909 0 R 1910 0 R 1911 0 R 1912 0 R 1913 0 R 1022 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1541 0 obj +<< +/K [1914 0 R 1915 0 R 1916 0 R 1917 0 R 1918 0 R 1919 0 R 1032 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1542 0 obj +<< +/K [1920 0 R 1921 0 R 1922 0 R 1923 0 R 1924 0 R 1925 0 R 1039 0 R] +/P 390 0 R +/Pg 16 0 R +/S /TR +/Type /StructElem +>> +endobj +1543 0 obj +<< +/K [516 0 R 517 0 R] +/P 1461 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1544 0 obj +<< +/K [519 0 R 520 0 R] +/P 1465 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1545 0 obj +<< +/K [521 0 R 522 0 R] +/P 1465 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1546 0 obj +<< +/K [523 0 R 524 0 R] +/P 1465 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1547 0 obj +<< +/K [526 0 R 527 0 R] +/P 1466 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1548 0 obj +<< +/K [528 0 R] +/P 1466 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1549 0 obj +<< +/K [529 0 R] +/P 1466 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1550 0 obj +<< +/K [530 0 R] +/P 1466 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1551 0 obj +<< +/K [532 0 R] +/P 1467 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1552 0 obj +<< +/K [533 0 R] +/P 1467 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1553 0 obj +<< +/K [534 0 R] +/P 1467 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1554 0 obj +<< +/K [536 0 R] +/P 1468 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1555 0 obj +<< +/K [537 0 R] +/P 1468 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1556 0 obj +<< +/K [538 0 R] +/P 1468 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1557 0 obj +<< +/K [539 0 R] +/P 1468 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1558 0 obj +<< +/K [541 0 R] +/P 1469 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1559 0 obj +<< +/K [542 0 R] +/P 1469 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1560 0 obj +<< +/K [543 0 R] +/P 1469 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1561 0 obj +<< +/K [545 0 R] +/P 1470 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1562 0 obj +<< +/K [546 0 R] +/P 1470 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1563 0 obj +<< +/K [547 0 R] +/P 1470 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1564 0 obj +<< +/K [549 0 R 550 0 R 551 0 R] +/P 1471 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1565 0 obj +<< +/K [552 0 R] +/P 1471 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1566 0 obj +<< +/K [553 0 R] +/P 1471 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1567 0 obj +<< +/K [554 0 R] +/P 1471 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1568 0 obj +<< +/K [556 0 R] +/P 1472 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1569 0 obj +<< +/K [557 0 R] +/P 1472 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1570 0 obj +<< +/K [558 0 R] +/P 1472 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1571 0 obj +<< +/K [560 0 R] +/P 1473 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1572 0 obj +<< +/K [561 0 R] +/P 1473 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1573 0 obj +<< +/K [562 0 R] +/P 1473 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1574 0 obj +<< +/K [564 0 R] +/P 1474 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1575 0 obj +<< +/K [565 0 R] +/P 1474 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1576 0 obj +<< +/K [566 0 R] +/P 1474 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1577 0 obj +<< +/K [568 0 R] +/P 1475 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1578 0 obj +<< +/K [569 0 R] +/P 1475 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1579 0 obj +<< +/K [570 0 R] +/P 1475 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1580 0 obj +<< +/K [572 0 R] +/P 1476 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1581 0 obj +<< +/K [573 0 R] +/P 1476 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1582 0 obj +<< +/K [574 0 R] +/P 1476 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1583 0 obj +<< +/K [575 0 R] +/P 1476 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1584 0 obj +<< +/K [577 0 R] +/P 1477 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1585 0 obj +<< +/K [578 0 R] +/P 1477 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1586 0 obj +<< +/K [579 0 R] +/P 1477 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1587 0 obj +<< +/K [581 0 R 582 0 R] +/P 1478 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1588 0 obj +<< +/K [583 0 R] +/P 1478 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1589 0 obj +<< +/K [584 0 R] +/P 1478 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1590 0 obj +<< +/K [585 0 R] +/P 1478 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1591 0 obj +<< +/K [587 0 R] +/P 1479 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1592 0 obj +<< +/K [588 0 R] +/P 1479 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1593 0 obj +<< +/K [589 0 R] +/P 1479 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1594 0 obj +<< +/K [591 0 R 592 0 R] +/P 1480 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1595 0 obj +<< +/K [593 0 R] +/P 1480 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1596 0 obj +<< +/K [594 0 R] +/P 1480 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1597 0 obj +<< +/K [595 0 R] +/P 1480 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1598 0 obj +<< +/K [597 0 R] +/P 1481 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1599 0 obj +<< +/K [598 0 R] +/P 1481 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1600 0 obj +<< +/K [599 0 R] +/P 1481 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1601 0 obj +<< +/K [601 0 R] +/P 1482 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1602 0 obj +<< +/K [602 0 R] +/P 1482 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1603 0 obj +<< +/K [603 0 R] +/P 1482 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1604 0 obj +<< +/K [607 0 R 608 0 R] +/P 1483 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1605 0 obj +<< +/K [609 0 R 610 0 R] +/P 1483 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1606 0 obj +<< +/K [611 0 R 612 0 R] +/P 1483 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1607 0 obj +<< +/K [613 0 R 614 0 R] +/P 1483 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1608 0 obj +<< +/K [616 0 R] +/P 1484 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1609 0 obj +<< +/K [617 0 R] +/P 1484 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1610 0 obj +<< +/K [618 0 R] +/P 1484 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1611 0 obj +<< +/K [619 0 R] +/P 1484 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1612 0 obj +<< +/K [620 0 R] +/P 1484 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1613 0 obj +<< +/K [622 0 R] +/P 1485 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1614 0 obj +<< +/K [623 0 R] +/P 1485 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1615 0 obj +<< +/K [624 0 R] +/P 1485 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1616 0 obj +<< +/K [625 0 R] +/P 1485 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1617 0 obj +<< +/K [626 0 R] +/P 1485 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1618 0 obj +<< +/K [628 0 R] +/P 1486 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1619 0 obj +<< +/K [629 0 R] +/P 1486 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1620 0 obj +<< +/K [630 0 R] +/P 1486 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1621 0 obj +<< +/K [631 0 R] +/P 1486 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1622 0 obj +<< +/K [632 0 R] +/P 1486 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1623 0 obj +<< +/K [634 0 R] +/P 1487 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1624 0 obj +<< +/K [635 0 R] +/P 1487 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1625 0 obj +<< +/K [636 0 R] +/P 1487 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1626 0 obj +<< +/K [637 0 R] +/P 1487 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1627 0 obj +<< +/K [638 0 R] +/P 1487 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1628 0 obj +<< +/K [640 0 R] +/P 1488 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1629 0 obj +<< +/K [641 0 R] +/P 1488 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1630 0 obj +<< +/K [642 0 R] +/P 1488 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1631 0 obj +<< +/K [643 0 R] +/P 1488 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1632 0 obj +<< +/K [644 0 R] +/P 1488 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1633 0 obj +<< +/K [646 0 R] +/P 1489 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1634 0 obj +<< +/K [647 0 R] +/P 1489 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1635 0 obj +<< +/K [648 0 R] +/P 1489 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1636 0 obj +<< +/K [649 0 R] +/P 1489 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1637 0 obj +<< +/K [650 0 R] +/P 1489 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1638 0 obj +<< +/K [652 0 R 653 0 R] +/P 1490 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1639 0 obj +<< +/K [654 0 R 655 0 R] +/P 1490 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1640 0 obj +<< +/K [656 0 R 657 0 R] +/P 1490 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1641 0 obj +<< +/K [659 0 R] +/P 1491 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1642 0 obj +<< +/K [660 0 R] +/P 1491 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1643 0 obj +<< +/K [661 0 R] +/P 1491 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1644 0 obj +<< +/K [663 0 R] +/P 1492 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1645 0 obj +<< +/K [664 0 R] +/P 1492 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1646 0 obj +<< +/K [665 0 R] +/P 1492 0 R +/Pg 14 0 R +/S /TD +/Type /StructElem +>> +endobj +1647 0 obj +<< +/K [667 0 R 668 0 R] +/P 1493 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1648 0 obj +<< +/K [669 0 R 670 0 R] +/P 1493 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1649 0 obj +<< +/K [671 0 R 672 0 R] +/P 1493 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1650 0 obj +<< +/K [674 0 R] +/P 1494 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1651 0 obj +<< +/K [675 0 R] +/P 1494 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1652 0 obj +<< +/K [676 0 R] +/P 1494 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1653 0 obj +<< +/K [678 0 R] +/P 1495 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1654 0 obj +<< +/K [679 0 R] +/P 1495 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1655 0 obj +<< +/K [680 0 R] +/P 1495 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1656 0 obj +<< +/K [682 0 R] +/P 1496 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1657 0 obj +<< +/K [683 0 R] +/P 1496 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1658 0 obj +<< +/K [684 0 R] +/P 1496 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1659 0 obj +<< +/K [686 0 R] +/P 1497 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1660 0 obj +<< +/K [687 0 R] +/P 1497 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1661 0 obj +<< +/K [688 0 R] +/P 1497 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1662 0 obj +<< +/K [690 0 R 691 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1663 0 obj +<< +/K [692 0 R 693 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1664 0 obj +<< +/K [694 0 R 695 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1665 0 obj +<< +/K [696 0 R 697 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1666 0 obj +<< +/K [698 0 R 699 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1667 0 obj +<< +/K [700 0 R 701 0 R] +/P 1498 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1668 0 obj +<< +/K [703 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1669 0 obj +<< +/K [704 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1670 0 obj +<< +/K [705 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1671 0 obj +<< +/K [706 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1672 0 obj +<< +/K [707 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1673 0 obj +<< +/K [708 0 R] +/P 1499 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1674 0 obj +<< +/K [710 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1675 0 obj +<< +/K [711 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1676 0 obj +<< +/K [712 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1677 0 obj +<< +/K [713 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1678 0 obj +<< +/K [714 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1679 0 obj +<< +/K [715 0 R] +/P 1500 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1680 0 obj +<< +/K [717 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1681 0 obj +<< +/K [718 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1682 0 obj +<< +/K [719 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1683 0 obj +<< +/K [720 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1684 0 obj +<< +/K [721 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1685 0 obj +<< +/K [722 0 R] +/P 1501 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1686 0 obj +<< +/K [724 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1687 0 obj +<< +/K [725 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1688 0 obj +<< +/K [726 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1689 0 obj +<< +/K [727 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1690 0 obj +<< +/K [728 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1691 0 obj +<< +/K [729 0 R] +/P 1502 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1692 0 obj +<< +/K [731 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1693 0 obj +<< +/K [732 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1694 0 obj +<< +/K [733 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1695 0 obj +<< +/K [734 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1696 0 obj +<< +/K [735 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1697 0 obj +<< +/K [736 0 R] +/P 1503 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1698 0 obj +<< +/K [738 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1699 0 obj +<< +/K [739 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1700 0 obj +<< +/K [740 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1701 0 obj +<< +/K [741 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1702 0 obj +<< +/K [742 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1703 0 obj +<< +/K [743 0 R] +/P 1504 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1704 0 obj +<< +/K [745 0 R 746 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1705 0 obj +<< +/K [747 0 R 748 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1706 0 obj +<< +/K [749 0 R 750 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1707 0 obj +<< +/K [751 0 R 752 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1708 0 obj +<< +/K [753 0 R 754 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1709 0 obj +<< +/K [755 0 R 756 0 R] +/P 1505 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1710 0 obj +<< +/K [758 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1711 0 obj +<< +/K [759 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1712 0 obj +<< +/K [760 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1713 0 obj +<< +/K [761 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1714 0 obj +<< +/K [762 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1715 0 obj +<< +/K [763 0 R] +/P 1506 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1716 0 obj +<< +/K [765 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1717 0 obj +<< +/K [766 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1718 0 obj +<< +/K [767 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1719 0 obj +<< +/K [768 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1720 0 obj +<< +/K [769 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1721 0 obj +<< +/K [770 0 R] +/P 1507 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1722 0 obj +<< +/K [772 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1723 0 obj +<< +/K [773 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1724 0 obj +<< +/K [774 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1725 0 obj +<< +/K [775 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1726 0 obj +<< +/K [776 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1727 0 obj +<< +/K [777 0 R] +/P 1508 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1728 0 obj +<< +/K [779 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1729 0 obj +<< +/K [780 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1730 0 obj +<< +/K [781 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1731 0 obj +<< +/K [782 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1732 0 obj +<< +/K [783 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1733 0 obj +<< +/K [784 0 R] +/P 1509 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1734 0 obj +<< +/K [786 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1735 0 obj +<< +/K [787 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1736 0 obj +<< +/K [788 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1737 0 obj +<< +/K [789 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1738 0 obj +<< +/K [790 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1739 0 obj +<< +/K [791 0 R] +/P 1510 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1740 0 obj +<< +/K [793 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1741 0 obj +<< +/K [794 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1742 0 obj +<< +/K [795 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1743 0 obj +<< +/K [796 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1744 0 obj +<< +/K [797 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1745 0 obj +<< +/K [798 0 R] +/P 1511 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1746 0 obj +<< +/K [800 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1747 0 obj +<< +/K [801 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1748 0 obj +<< +/K [802 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1749 0 obj +<< +/K [803 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1750 0 obj +<< +/K [804 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1751 0 obj +<< +/K [805 0 R] +/P 1512 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1752 0 obj +<< +/K [807 0 R 808 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1753 0 obj +<< +/K [809 0 R 810 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1754 0 obj +<< +/K [811 0 R 812 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1755 0 obj +<< +/K [813 0 R 814 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1756 0 obj +<< +/K [815 0 R 816 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1757 0 obj +<< +/K [817 0 R 818 0 R] +/P 1513 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1758 0 obj +<< +/K [820 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1759 0 obj +<< +/K [821 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1760 0 obj +<< +/K [822 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1761 0 obj +<< +/K [823 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1762 0 obj +<< +/K [824 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1763 0 obj +<< +/K [825 0 R] +/P 1514 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1764 0 obj +<< +/K [827 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1765 0 obj +<< +/K [828 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1766 0 obj +<< +/K [829 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1767 0 obj +<< +/K [830 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1768 0 obj +<< +/K [831 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1769 0 obj +<< +/K [832 0 R] +/P 1515 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1770 0 obj +<< +/K [834 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1771 0 obj +<< +/K [835 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1772 0 obj +<< +/K [836 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1773 0 obj +<< +/K [837 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1774 0 obj +<< +/K [838 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1775 0 obj +<< +/K [839 0 R] +/P 1516 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1776 0 obj +<< +/K [841 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1777 0 obj +<< +/K [842 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1778 0 obj +<< +/K [843 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1779 0 obj +<< +/K [844 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1780 0 obj +<< +/K [845 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1781 0 obj +<< +/K [846 0 R] +/P 1517 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1782 0 obj +<< +/K [848 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1783 0 obj +<< +/K [849 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1784 0 obj +<< +/K [850 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1785 0 obj +<< +/K [851 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1786 0 obj +<< +/K [852 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1787 0 obj +<< +/K [853 0 R 854 0 R] +/P 1518 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1788 0 obj +<< +/K [856 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1789 0 obj +<< +/K [857 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1790 0 obj +<< +/K [858 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1791 0 obj +<< +/K [859 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1792 0 obj +<< +/K [860 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1793 0 obj +<< +/K [861 0 R] +/P 1519 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1794 0 obj +<< +/K [863 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1795 0 obj +<< +/K [864 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1796 0 obj +<< +/K [865 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1797 0 obj +<< +/K [866 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1798 0 obj +<< +/K [867 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1799 0 obj +<< +/K [868 0 R] +/P 1520 0 R +/Pg 15 0 R +/S /TD +/Type /StructElem +>> +endobj +1800 0 obj +<< +/K [870 0 R 871 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1801 0 obj +<< +/K [872 0 R 873 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1802 0 obj +<< +/K [874 0 R 875 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1803 0 obj +<< +/K [876 0 R 877 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1804 0 obj +<< +/K [878 0 R 879 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1805 0 obj +<< +/K [880 0 R 881 0 R] +/P 1521 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1806 0 obj +<< +/K [883 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1807 0 obj +<< +/K [884 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1808 0 obj +<< +/K [885 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1809 0 obj +<< +/K [886 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1810 0 obj +<< +/K [887 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1811 0 obj +<< +/K [888 0 R] +/P 1522 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1812 0 obj +<< +/K [890 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1813 0 obj +<< +/K [891 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1814 0 obj +<< +/K [892 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1815 0 obj +<< +/K [893 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1816 0 obj +<< +/K [894 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1817 0 obj +<< +/K [895 0 R] +/P 1523 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1818 0 obj +<< +/K [897 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1819 0 obj +<< +/K [898 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1820 0 obj +<< +/K [899 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1821 0 obj +<< +/K [900 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1822 0 obj +<< +/K [901 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1823 0 obj +<< +/K [902 0 R] +/P 1524 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1824 0 obj +<< +/K [904 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1825 0 obj +<< +/K [905 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1826 0 obj +<< +/K [906 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1827 0 obj +<< +/K [907 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1828 0 obj +<< +/K [908 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1829 0 obj +<< +/K [909 0 R] +/P 1525 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1830 0 obj +<< +/K [911 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1831 0 obj +<< +/K [912 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1832 0 obj +<< +/K [913 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1833 0 obj +<< +/K [914 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1834 0 obj +<< +/K [915 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1835 0 obj +<< +/K [916 0 R] +/P 1526 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1836 0 obj +<< +/K [918 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1837 0 obj +<< +/K [919 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1838 0 obj +<< +/K [920 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1839 0 obj +<< +/K [921 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1840 0 obj +<< +/K [922 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1841 0 obj +<< +/K [923 0 R] +/P 1527 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1842 0 obj +<< +/K [925 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1843 0 obj +<< +/K [926 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1844 0 obj +<< +/K [927 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1845 0 obj +<< +/K [928 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1846 0 obj +<< +/K [929 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1847 0 obj +<< +/K [930 0 R] +/P 1528 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1848 0 obj +<< +/K [932 0 R 933 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1849 0 obj +<< +/K [934 0 R 935 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1850 0 obj +<< +/K [936 0 R 937 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1851 0 obj +<< +/K [938 0 R 939 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1852 0 obj +<< +/K [940 0 R 941 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1853 0 obj +<< +/K [942 0 R 943 0 R] +/P 1529 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1854 0 obj +<< +/K [945 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1855 0 obj +<< +/K [946 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1856 0 obj +<< +/K [947 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1857 0 obj +<< +/K [948 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1858 0 obj +<< +/K [949 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1859 0 obj +<< +/K [950 0 R] +/P 1530 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1860 0 obj +<< +/K [952 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1861 0 obj +<< +/K [953 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1862 0 obj +<< +/K [954 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1863 0 obj +<< +/K [955 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1864 0 obj +<< +/K [956 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1865 0 obj +<< +/K [957 0 R] +/P 1531 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1866 0 obj +<< +/K [959 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1867 0 obj +<< +/K [960 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1868 0 obj +<< +/K [961 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1869 0 obj +<< +/K [962 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1870 0 obj +<< +/K [963 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1871 0 obj +<< +/K [964 0 R] +/P 1532 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1872 0 obj +<< +/K [966 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1873 0 obj +<< +/K [967 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1874 0 obj +<< +/K [968 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1875 0 obj +<< +/K [969 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1876 0 obj +<< +/K [970 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1877 0 obj +<< +/K [971 0 R] +/P 1533 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1878 0 obj +<< +/K [973 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1879 0 obj +<< +/K [974 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1880 0 obj +<< +/K [975 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1881 0 obj +<< +/K [976 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1882 0 obj +<< +/K [977 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1883 0 obj +<< +/K [978 0 R] +/P 1534 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1884 0 obj +<< +/K [980 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1885 0 obj +<< +/K [981 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1886 0 obj +<< +/K [982 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1887 0 obj +<< +/K [983 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1888 0 obj +<< +/K [984 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1889 0 obj +<< +/K [985 0 R] +/P 1535 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1890 0 obj +<< +/K [987 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1891 0 obj +<< +/K [988 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1892 0 obj +<< +/K [989 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1893 0 obj +<< +/K [990 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1894 0 obj +<< +/K [991 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1895 0 obj +<< +/K [992 0 R 993 0 R 994 0 R 995 0 R 996 0 R 997 0 R 998 0 R 999 0 R] +/P 1536 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1896 0 obj +<< +/K [1001 0 R] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1897 0 obj +<< +/K [1002 0 R] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1898 0 obj +<< +/K [1003 0 R] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1899 0 obj +<< +/K [1004 0 R] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1900 0 obj +<< +/K [1006 0 R] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1901 0 obj +<< +/K [1007 0 R] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1902 0 obj +<< +/K [1008 0 R] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1903 0 obj +<< +/K [1009 0 R] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1904 0 obj +<< +/K [1011 0 R] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1905 0 obj +<< +/K [1012 0 R] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1906 0 obj +<< +/K [1013 0 R] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1907 0 obj +<< +/K [1014 0 R] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1908 0 obj +<< +/K [1016 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1909 0 obj +<< +/K [1017 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1910 0 obj +<< +/K [1018 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1911 0 obj +<< +/K [1019 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1912 0 obj +<< +/K [1020 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1913 0 obj +<< +/K [1021 0 R] +/P 1540 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1914 0 obj +<< +/K [1023 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1915 0 obj +<< +/K [1024 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1916 0 obj +<< +/K [1025 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1917 0 obj +<< +/K [1026 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1918 0 obj +<< +/K [1027 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1919 0 obj +<< +/K [1028 0 R 1029 0 R 1030 0 R 1031 0 R] +/P 1541 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1920 0 obj +<< +/K [1033 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1921 0 obj +<< +/K [1034 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1922 0 obj +<< +/K [1035 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1923 0 obj +<< +/K [1036 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1924 0 obj +<< +/K [1037 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1925 0 obj +<< +/K [1038 0 R] +/P 1542 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1926 0 obj +<< +/BaseFont /ABCDEE+HY#C3#81#C3#9F#C2#B0#C3#AD#C2#B5#C3#B1 +/CIDSystemInfo 1969 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1970 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [1000] + 36 [416] +] +>> +endobj +1927 0 obj +<< +/Length 363 +/Filter /FlateDecode +>> +stream +x]j@>â3 !?dpq=gv@>i-۪UwG8AӶ\tODI9cw¿qMxv[5.N2Èۮ)=;HO̞ʣe]h&326h +tk7s;ѧz0?u%&L ]OSgrRϼyCj q^siy%Iy +J,Em)䁥ij"CP[Tr+EbhrPY$!'KZA9D$9qg.Q3)QilB9ʃH( |R ˛&fzfm8njRuYm~c߬ +endstream +endobj +1928 0 obj +<< +/BaseFont /ABCDEE+Wingdings +/CIDSystemInfo 1971 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1972 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [500] + 4 [1030] + 122 [747] +] +>> +endobj +1929 0 obj +<< +/Length 225 +/Filter /FlateDecode +>> +stream +x]Mj0 >3Y2M{VRC#Y0 +lijt: cѓc\aɓ:WM{Wn;$;k".78\]+;dO>^~gjp8ʠg_̌ v>m'a[DK4Є;5pv?^zh{\=]%OA #xboY +endstream +endobj +1930 0 obj +<< +/BaseFont /Arial +/CIDSystemInfo 1973 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1974 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [750] + 3 [278 278] + 19 [556 556] + 22 [556 556] + 25 [556] +28 [556] + 41 [611] + 80 [833] + 91 [500] + 301 [798] +374 [604] +] +>> +endobj +1931 0 obj +<< +/Length 302 +/Filter /FlateDecode +>> +stream +x]n y +.dbL=¸%H ݦK"fmZM$:ptPZZ@pQ0Nn%xsV) +B7@W+*}Ϻ]))K*a{ҏ@m[-[S|,(Gf2b0^HUɯwuWoQyuknEYW:1D4(;Do)G1}th"="Ooe*YVy,Hp% `qM Tn#7 ' +endstream +endobj +1932 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [-16 -142 1008 858] +/FontFile2 1975 0 R +/FontName /ABCDEE+HY#C3#81#C3#9F#C2#B0#C3#AD#C2#B5#C3#B1 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 1023 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1933 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [0 -142 1000 858] +/FontFile2 1976 0 R +/FontName /ABCDEE+#C2#B9#C3#99#C3#85#C3#81 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 1000 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1934 0 obj +<< +/Ascent 917 +/AvgWidth 473 +/CapHeight 700 +/Descent -190 +/Flags 32 +/FontBBox [-249 -190 1146 700] +/FontFile2 1977 0 R +/FontName /ABCDEE+Franklin#20Gothic#20Heavy +/FontWeight 400 +/ItalicAngle 0 +/MaxWidth 1395 +/StemV 47 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1935 0 obj +<< +/Ascent 905 +/AvgWidth 441 +/CapHeight 728 +/Descent -210 +/Flags 32 +/FontBBox [-665 -210 2000 728] +/FontName /Arial +/FontWeight 400 +/ItalicAngle 0 +/Leading 33 +/MaxWidth 2665 +/StemV 44 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1936 0 obj +<< +/BaseFont /ABCDEE+#C2#B1#C2#BC#C2#B8#C2#B2 +/CIDSystemInfo 1978 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1979 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [1000] + 72 [333] +] +>> +endobj +1937 0 obj +<< +/Length 1662 +/Filter /FlateDecode +>> +stream +xj$7s\,n%0VI_@6yL{cxo ӄaW|TIuP[y(r==y,_7nm<^ovua>~Y~|Xǯϝ?;Z^e7S_Ϗ/>=WӲ`Eg_Ώǯww,J-}yx꺆Uuɀ4GSVW!l`^=:53MYJ楢5Fš,IHUlny +OT/ NḶW9t]^z]_E2l] +ei$ z[MWoLiŽ NƔVV4]Pk2:YnX"ȚH(tNu:E,hWIH*iI}PЎ_'qD +AuF#5Aha +3051=pMkV] .xkLpm4i:֌nn Hs$xA\B|嚙kFʠFPf& + (keHBB YkQ)DX]♗0s^<,Q^(oPxB^7Hx&TiB5ļ}z>`8M",)HH1uEG&?͜8ILbjFh, +2RٌwFuzzzC +ɖ"J? ff25y+[ߊ x` +L-%x| +Osj䩱d+JNl;`'ze`͡Y&M2yeyf:&AA{շFX0.͔(j\wxhj1b{:3=so&N$8cInzm!g_^Ɔ[s6D9&jtA~"q <>; 8| 0! +WE),S"QgxCMSYe@_<} ̡gC> +endobj +1939 0 obj +<< +/Ascent 905 +/AvgWidth 441 +/CapHeight 728 +/Descent -208 +/Flags 32 +/FontBBox [-517 -208 1359 728] +/FontName /Arial#2CItalic +/FontWeight 400 +/ItalicAngle -12 +/Leading 33 +/MaxWidth 1876 +/StemV 44 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1940 0 obj +<< +/BaseFont /Arial#2CItalic +/CIDSystemInfo 1980 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1981 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [750] + 3 [278 278] + 404 [604] +] +>> +endobj +1941 0 obj +<< +/Length 234 +/Filter /FlateDecode +>> +stream +x]J0yY^UB)HE>@Lk&a.NH0Ό޻0%\FayQ)Τ*Yta_.h\pza!"9?X[?ODۂʼnYso~fGc5jQ\-4\@o'󭩸o٭TZV;؋+O^#ӕX9x=Z 1Sq +endstream +endobj +1942 0 obj +<< +/Length 2613 +/BitsPerComponent 8 +/ColorSpace /DeviceGray +/Filter /FlateDecode +/Height 78 +/Interpolate false +/Matte [0 0 0] +/Subtype /Image +/Type /XObject +/Width 370 +>> +stream +x{T/l0<yyRRcȪG<c Qs&jHALIR9V% әݝ;3;3<߽߽?ٹw.B&&&&&&&&&&&&&&&#t<'uP*jzYK^aIǒAl}rg? AGFKţxA[nwLoAKflz, ȆB@#q)-N2R+CX+'a##ǷۏG]WvF/yfRoB)*a0H\FY1?6+UDky}VMߑ5ZMLƃ)95lcћ6hyJxG2>oԤk1Fg:a=LFXdoa<3m}Fq˧%^h.%a|lOmim?K񿰡UCƟvx_Z"l Wo<*qd =*;oq( \["+/4^%(zwHKBh5iƻ 4h5k8$ף 0kU_UgW}U $Lƙ0Ci@9Y +nq +̹ſ +|m 6Sj ph2, %U03n1ָdYGHd|5GI>aN鳋3dף`ʐD-߭]D7E4(l*7%~en_P"5^SPNڬn5A7>y|=Bn"y8˸{IW} +QjYYƻπ6w:z5K?dΓK0 'G6lۻ}{qsiGh^ҥVhb%KI9m8GhA 51G?!͹|xg6fgݑi|1".!?c47an58:.id5`|?HwYx%WB83P2~Vq1EܼhS_*e'! Ej-sWh<_Rh|ʁ bOI#u;ˆƥ-3H<2dr௢scHƇn-@2~_G(JB_j2W xPzd(%h㒧nj3 #0Ńe!8Fmc 9=w4Mqt@5.BZ@7 +L㚌ÈO1>H/x5~_ f 7)/ NG@xaDFø(ߜOfK.l~VָKS__8;]qFPJz]| L +J6Nbg n%G<X@r0F`󫚱>ު_:Fg7G.}wql;$tnx\(|SZXGv!}gx{+Ge=ˏHw򰟝,V'b +!MhI i!`s\v,}Dzvv_|o*GI/V?ʧNB RܹfT+_?+.hg9RXm33ȉNKγMbclqSgYbsDE޾Iy[ <--y#Vj|ؕmlj2G&WnG 3p៏OHU~ U`O!g!?HfơWz hvr~󬳌58D9noU'.ClblYy6_QN)괴#f:x[yξ3֒@N7ePl pG|I -gww^C=g(GCϮ327|ʅM0ŸunCCMmO^l>bxb@i ;1%zabbbbbrp +endstream +endobj +1943 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [-981 -142 1044 858] +/FontFile2 1982 0 R +/FontName /ABCDEE+#C2#BB#C3#B5#C2#B1#C2#BC#C2#B8#C2#B2 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 2025 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1944 0 obj +<< +/BaseFont /ABCDEE+#C2#BB#C3#B5#C2#B1#C2#BC#C2#B8#C2#B2 +/CIDSystemInfo 1983 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1984 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [1000] + 72 [333] +] +>> +endobj +1945 0 obj +<< +/Length 332 +/Filter /FlateDecode +>> +stream +x]n0E +/EDB"H,Pi?CTeȂa ;wɪL7 3\:CbFu[%78A_v iJW/]3ܓipлwY{~Bf[eTC=6F׻ixOlr5hm5$Ѵ+#`?/s>GҘqs?쑊B"=Nꉿ;q}߰Id^t7]eR|;p,QcЊX@eO %O_($RE#p0xs~äqƝ`.| +endstream +endobj +1946 0 obj +<< +/Length 2613 +/BitsPerComponent 8 +/ColorSpace /DeviceGray +/Filter /FlateDecode +/Height 78 +/Interpolate false +/Matte [0 0 0] +/Subtype /Image +/Type /XObject +/Width 370 +>> +stream +x{T/l0<yyRRcȪG<c Qs&jHALIR9V% әݝ;3;3<߽߽?ٹw.B&&&&&&&&&&&&&&&#t<'uP*jzYK^aIǒAl}rg? AGFKţxA[nwLoAKflz, ȆB@#q)-N2R+CX+'a##ǷۏG]WvF/yfRoB)*a0H\FY1?6+UDky}VMߑ5ZMLƃ)95lcћ6hyJxG2>oԤk1Fg:a=LFXdoa<3m}Fq˧%^h.%a|lOmim?K񿰡UCƟvx_Z"l Wo<*qd =*;oq( \["+/4^%(zwHKBh5iƻ 4h5k8$ף 0kU_UgW}U $Lƙ0Ci@9Y +nq +̹ſ +|m 6Sj ph2, %U03n1ָdYGHd|5GI>aN鳋3dף`ʐD-߭]D7E4(l*7%~en_P"5^SPNڬn5A7>y|=Bn"y8˸{IW} +QjYYƻπ6w:z5K?dΓK0 'G6lۻ}{qsiGh^ҥVhb%KI9m8GhA 51G?!͹|xg6fgݑi|1".!?c47an58:.id5`|?HwYx%WB83P2~Vq1EܼhS_*e'! Ej-sWh<_Rh|ʁ bOI#u;ˆƥ-3H<2dr௢scHƇn-@2~_G(JB_j2W xPzd(%h㒧nj3 #0Ńe!8Fmc 9=w4Mqt@5.BZ@7 +L㚌ÈO1>H/x5~_ f 7)/ NG@xaDFø(ߜOfK.l~VָKS__8;]qFPJz]| L +J6Nbg n%G<X@r0F`󫚱>ު_:Fg7G.}wql;$tnx\(|SZXGv!}gx{+Ge=ˏHw򰟝,V'b +!MhI i!`s\v,}Dzvv_|o*GI/V?ʧNB RܹfT+_?+.hg9RXm33ȉNKγMbclqSgYbsDE޾Iy[ <--y#Vj|ؕmlj2G&WnG 3p៏OHU~ U`O!g!?HfơWz hvr~󬳌58D9noU'.ClblYy6_QN)괴#f:x[yξ3֒@N7ePl pG|I -gww^C=g(GCϮ327|ʅM0ŸunCCMmO^l>bxb@i ;1%zabbbbbrp +endstream +endobj +1947 0 obj +<< +/BaseFont /ABCDEE+#C2#B1#C2#BC#C2#B8#C2#B2 +/Encoding /WinAnsiEncoding +/FirstChar 32 +/FontDescriptor 1985 0 R +/LastChar 116 +/Name /F12 +/Subtype /TrueType +/Type /Font +/Widths [333 0 0 0 0 0 0 0 0 0 +0 0 0 0 0 0 574 574 574 574 +574 574 574 574 0 0 0 0 0 0 +0 0 0 0 677 0 722 0 596 0 +0 0 0 0 0 0 0 0 0 0 +0 633 0 0 0 0 0 0 0 0 +0 0 0 0 0 563 0 0 0 567 +0 0 0 241 0 0 0 861 0 605 +605 0 333 0 313] +>> +endobj +1948 0 obj +<< +/Ascent 744 +/AvgWidth 529 +/CapHeight 744 +/Descent -256 +/Flags 32 +/FontBBox [-553 -256 1110 744] +/FontFile2 1986 0 R +/FontName /ABCDEE+Corbel +/FontWeight 400 +/ItalicAngle 0 +/MaxWidth 1663 +/StemV 52 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1949 0 obj +<< +/BaseFont /ABCDEE+Lucida#20Sans#20Unicode +/CIDSystemInfo 1987 0 R +/CIDToGIDMap /Identity +/DW 1000 +/FontDescriptor 1988 0 R +/Subtype /CIDFontType2 +/Type /Font +/W [0 [750] + 4 [316] + 718 [705] +] +>> +endobj +1950 0 obj +<< +/Length 226 +/Filter /FlateDecode +>> +stream +x]Mj0 > +!BiJjhd8ܾP {xnkG>~`{L0zrKX" 8yR +imgߖsGcPu ]% )϶_c)A23#;'OQ?Υ?E64+'F!N 2,s(P{n,yJCT>?moV +endstream +endobj +1951 0 obj +<< +/Length 1515 +/Filter /FlateDecode +/Subtype /Type1C +>> +stream +xMTiPSW#o+;By"HAH .\:ĥZ>Jꨣn%j-*qQ[jK:պGj罻}^FiǏ8'%7N!9lw Ԓ@6_î&A@$p'B )TӉaX?(4\obY9rZ[6iٜ!Z4lȖ,[L)Ô.KlN?t.[~x!($48aV12q2kLSɬc3U̧f#IN iv2IgL_?1~j\s6ܱ`lv, +ݠ3t7a2ȱBF\F+A;(4b,k9eѨG.u`@SRSɠ/ΎM~@Reͽ?^ht,vRNRB $؝BK\8XwA) ŭ[GO'!Y%։'2-D\-<[tgᗆ&I cNh"S,4;^_wnir@斋ؓW_& eWv4m8,AK ̧Hl].ƊEC}`|6 (!Pz)z[0 et]BYk1̉e?ɜlJ|C$ZR +1> Ы"V 4peU #l.ݳqe{!(3jYoضuO~%߭5>AikQ?_v\AyKL@ {`)K ;X^]#p@? ,R@PϽZ0sq ns(vzlUQx|=W) NQ{|,ަŸujNb5NdF_Z~Vpa!P.ܥDҩdTt@R/LME& +bVx:%Ђ4bMčOn,[W;mƒ[P ؎A]'O}c70̣ ^(qu`h<})^ab` _9%99t}'GmAķc +ptɽҼIO%x}y+96qa$vOg5'-&XiåCJ<> yhM<(ql|(=k.eЊݨcR *.u1<դ VXV7݇[&RYUNZ%xW}{N6_X.gk!Z\ɗZZT5,UzY>5.+P /'fwI9Wz J$mP$eOA׫O?oqr/Z +endstream +endobj +1952 0 obj +<< +/K [] +/P 1467 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1953 0 obj +<< +/K [] +/P 1469 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1954 0 obj +<< +/K [] +/P 1470 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1955 0 obj +<< +/K [] +/P 1472 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1956 0 obj +<< +/K [] +/P 1473 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1957 0 obj +<< +/K [] +/P 1474 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1958 0 obj +<< +/K [] +/P 1475 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1959 0 obj +<< +/K [] +/P 1477 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1960 0 obj +<< +/K [] +/P 1479 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1961 0 obj +<< +/K [] +/P 1481 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1962 0 obj +<< +/K [] +/P 1482 0 R +/Pg 13 0 R +/S /TD +/Type /StructElem +>> +endobj +1963 0 obj +<< +/K [] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1964 0 obj +<< +/K [] +/P 1537 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1965 0 obj +<< +/K [] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1966 0 obj +<< +/K [] +/P 1538 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1967 0 obj +<< +/K [] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1968 0 obj +<< +/K [] +/P 1539 0 R +/Pg 16 0 R +/S /TD +/Type /StructElem +>> +endobj +1969 0 obj +<< +/Ordering (Identity) +/Registry (Adobe) +/Supplement 0 +>> +endobj +1970 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [-16 -142 1008 858] +/FontFile2 1989 0 R +/FontName /ABCDEE+HY#C3#81#C3#9F#C2#B0#C3#AD#C2#B5#C3#B1 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 1023 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1971 0 obj +<< +/Ordering (Identity) +/Registry (Adobe) +/Supplement 0 +>> +endobj +1972 0 obj +<< +/Ascent 899 +/AvgWidth 890 +/CapHeight 771 +/Descent 205 +/Flags 32 +/FontBBox [0 205 1359 771] +/FontFile2 1990 0 R +/FontName /ABCDEE+Wingdings +/FontWeight 400 +/ItalicAngle 0 +/MaxWidth 1359 +/StemV 89 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1973 0 obj +<< +/Ordering (Identity) +/Registry (Adobe) +/Supplement 0 +>> +endobj +1974 0 obj +<< +/Ascent 905 +/AvgWidth 441 +/CapHeight 728 +/Descent -210 +/Flags 32 +/FontBBox [-665 -210 2000 728] +/FontFile2 1991 0 R +/FontName /Arial +/FontWeight 400 +/ItalicAngle 0 +/Leading 33 +/MaxWidth 2665 +/StemV 44 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1975 0 obj +<< +/Length 14571 +/Filter /FlateDecode +/Length1 146988 +>> +stream +x \TWϩ*VQDbSAT@q STSIn;er)A@.zQK +zBAQA՝L{tuL{WNALz|?O{sra?=GbF +ݽ^uuwWI ;O.BHUz7!|o{=j>D[^p$#tC{-^K{y.Т/E&+ "[u$czo?Yh1Bvy?GcQ} o$#۳oź QI{^Qc3%{h?x}{o Ϲ߃Ѝ $|ntG['1lE$J +m$!a58d.}^}O'O*#}<I%xfegq7zEjg}l;~wHLB>HjII$!q4JBi(BEhڌGh/ҢLD(=A>R#RCcE }x{yzI"d%Sei3)gL?L^*+tΖ,Y8WZ =,bGjz]OS7@!}ω( avc,))(%%3#./*/O\DC)Y G g{鿐G1B{b$%3/;7&//ϰfoH$␙>=0 \rrL&x7MX#ވ{BW&qp IsscMzIbRRbIX??zW}o7Cx iP`G9 9bb7e=4x}k}XQG+?ϋ~:}#~ yy!h')G"LYps'~9g+ +ّ/@!EaK&5d|YD{K0f]N/,߯)(,,/XyoKHĚרW_7_j{[Oo!^[E^עYܲs]%k +$2 n !b2o@~%K,!"/2pg}΋ʍ"YO{d?Sxއ+s-\=O&!1[៺bMz,:7D+{95%!qSҐp@rN. :׳$'xqԌN؄'9dߕ?,?#$4o+X%V$Ư/OI* )K%oٔw7g/ IL)'{wU)q7z^Z$z( x$7boBּ{8~p+/pmV>dmz;צԹrtm[{w5\/"ku ixQ! ++)8FB)fߵ7RªH|nSQYyywfgg缸*1ӵqO+b~JIJJ޲%#==9gNN $8@c}g"ы)/&hV`QQ虓퇫=Wz0=-T &+!a󆔔Tٺgvffࣵs;T%1镌/oqډvc9+;&y-" s'aNl'?**D%~//w2%rr®&͋=FW5ey r] ?FoJٛӖ.^ʚqppFBbbȨœu(;3BBq,/(}ז<Ҟ6ܰa7ވ\* <$܂ظU^9sI2%iZ\TB%mڼJ&ST[o-TkV-^:OOre - yސ95{н4_c/rfOH[i|ndcO E;ڟܘ ^c>Y|e^Ţ:> ?w>vb)X@.cDDVɜlٳ6|vDIHr"wyf/Z#Ů1#sxd=8s\'>Yផ +bH._>bYX@j0YDJ`PzrEVfBNveفbc(x-IIi,]&[#I<|DG?"TYxXj$vwQF$:TpD)׶_sDpժiK)ɔ2K9 9۷hn _+zig;Յfnߋdcعsw]w_Ԗ-ɞ$V^aQS\|;YUL3{҇3pfDdH9N_T^ʕEYY?%B÷?lپtiϮI(zus`2 (-. +^pv?U%u75EwKK]tLroZOP@)-Bf'm,ʕQ+l#B?]J1R]M\E{_rޛ;fkmsع{04Sqʃ;wEt37yPy8+$XN,la|lTY +7lFE+5MSd"VDBJJhhNVxhhh.(̹f Ï;7f\&{fma`r|li>+T Qli4?88k{Q"+u??XU +XS._& Yt;DHt n"܌? uk~>7%%"_psq*zX ĺZ0@|򝚗~#.G*>\J9Ɨ[g 'x$lh:r3m_|A^?oVuPF:q~_:EED_<#꟧?I7$_vtFOoq"ZAc@ @ @ @ @ @ @ @ @ @ @ @ @ @ @ @ @ @ @ zBNq$FXE%aee׳-žg1JCr=Kㆂq4׳īf1&IHB8m$QEL(1E ɋ'oh3iy؈$E>LiLH򼏔1#E%y{wfJ"͓?@g6ブ!KCDPj=ϬStx80YT{%Z#ټGБZg8D¶';<\zxm# ΟO#yGQ5Wd|]%ϓ4B_Eb7>L7Ec,b,vXݰ;r)Z% +^>Ri+%Y8>,,cĥK%qq~ -Kr\e^ޑ))z- +[$L`i@RJ}.s.J#=BGDKFEFGyńƸR\=@Gt]>x/KL\"E3QfMcBoo(pADRR7EvG.G$.JIH+nbLD_-7&55IEd#w|_LmN('299=#7h&ZMgxW?!33a~0): l&6ccLmт#/.=="7(Й(D.ߴG,F6נ+lXűiiI,H\2E~ez,gŕe<\o.\_Y,s]2W>?>5~jL3ϩϹrE\WΔ|Pn* +uBWT|PaT*JuRWT|PiT*5uAPT骘*LU*[ZYT몙jMՖj[FYjjL5[s=jZ]-SBRku0JFh0,3cb,q)u:]SuBRgsz^=aaaa0{?,6v+z]=SBRow4( ]6 B`kpQQaG#BQӨkdFQh45Zm&eIӤkb&Ih25YlMfeYӬkffYh65[m͎ʣ꣚Q(ThQhZt-L ·-K*Y5au,ò, +6ѪlUjZuL+ʷ +VKqLyL}LsLw9 5uǙqptrv)95tñ p6ѦlSitmLƷ m6KqByB}BsBw9O''5'u'IptrvѮlWkuL;η vKѡPwh:tLwKqJyJ}JsJw9ŞO NMd;Niu:].Mb.eu9nMfnmu;N+OOkNN3iGѣ0=l#z,=GWݫ2l/+z-^ {?#1qUU՜՝eβg9999{?'3sJ^kx,o-w)}>]}BgsAck yyyy<{?/7w+~]?Bow (3€i2`p\P^P_\]`. EEEE"{(\4]\]t *ՃA 3 i2ht )C!3Ci2dr\R^R_\]b.Keeee2{,\6]\]vJA-h `,Mp +Úa03°i2lv\Q^Q_\]aW+ˆbD3aF~D1XFl#Ҩ6j:#cdQ0UG5QfGQӨe6긪\eWkkkk5MfffS4c1fDŽ1Әe6W5qfDžqӸe6\gׅ CaaaPN'4 f' ӄe6ḩdo7[[[[[-Kfoۦۖ۶ێIzR3d&I~R4MZ&m)zJ3b)~J2MYlS;;;;;GewҤ6iL:cbMI0LVN5Ӻif槅iӴe60+jƬ33f̛A*ǘKZ+sy8*BmEkr}9Wn(7˭ +EV +Pa0WX+않JU%U+\XiV*RA*m] U*s^VUSjZ_UjkFQj5t1k5!!!}H;d Uk\Xkb(FЌcď)TuTqu:cZg+*=i^ 䶢kӇÆzEzPo7[ Uՠm \`n6؏(PGG##Fm#ݨo FsޤhR5QM&I5M&kYѬjtk64fQQQ(}T;j բm[-\bnYb)VҬXkdͬ*ZUTnշrVcj?8:Fq 䶢kǹvN8r4893gm6Uզmm\fnO(NNP'' ړII]Ѯjڵtk7v{CAuh;}a0v;SSS))w@n+SIw;NCiw)T]Twq].ceVtnm7ݭ ns~ZqZu:=M֟NmEh{}c1{=^Eҽ^k5Z{ggTg33,uV{>?˝5ۊ:=GӟϙYy)^Ӽxoͼ)T}Tq}>cg7( *eh 䶢k~E~o7[5܀a8`/(..P ڋEE}P1~4 ̓AbH5D i!7d2CKKK%%w@n+2}YlllllJ@ z Q0 V>V SazX? a +uE{]1ۊю#n0b1XGFQeZ#m9h4V}T1F~5GͣQUUU*}Uj uM{]3\3^3_^)TcԘvӏqc1y:fWƩq8= qu~]q]uN__mEޠoop7 7777 5'܄a8aNo*nnR77MMMMM---}Ke u[{666߶޶O*&UԤvOrIy:iRL)= S)u~GqGuCmEޥrw wwww&IeLZmқ8d4MV}Z1~6LiYaV)Lfl tC:/%}]JI_;;ۥ[} e_k\6ooO_0۱ɱǶe]+<OX{@q@V}U?le+e-uٯ~Qe2pSV[V]VUVQV^t2EYQleيåLimiuiUiEiy駥JE҂ҕ+JsKsJ3K3JSKe_ѿ)K3t-۵x(5B!3"K%YP#K̘\%E() +J+nm7Ңͨ|}^뙙:sg'S!KmmIKIoK iK)͔fHӥ[RT*KER4Y*uAj/HVR )2vJJoJOʍκdyW#!tI:%vI䒤Y"H%bH—$K8H”0$T Eb+XK$\bVB'9bH3T1ELb+)6FbCX_'ZEED͢&Q^tFT' SQEQD8($l6 3:aF2T!EH8!V  BPO#jՅB༠I  j5U}@G- TL3:~-_ůWq|,?__Ǐ~$?k|*"'KMIJ$G&G$%&k$'&#ao7{U*y2^)W+by1(ɋBK# +n[-r =(.ɍqC nw9חÙsq898/8#agS)s8N>gOj5 +z@OahP1TBP>ʃr(ʄ2`( +C yA; +_GO! {e^l;ەFAS0k5`=dgcfzY=I>A)d"@ƑrXRtTG%ՐNNHD#aI xz:R,)EIz$6INR%!H0@ 눵I QbUjQNT%"0"@ I QBPA(# EuXB !!D"aPB0!J@` i"i<]ҘtmRT&)2)"),)4)8)() iy, OcWS0_/×EB|>G#aP|0>_.Z+ +q|\.ƅBq \n9yb =oO}ڷ2yu.ZkK}u Ov}nR|3Ԩnwh]i|n.$T^'z"7\x]osIFxHS³ݲg1~qCÖ@LaiE2Y] i}펜ɏ+^[l w9툅?RF8R!,@Ԕ)ՁZGM]說Pa[BQȄf|-eJ8lPC! +#ʯH7V ؖbk16?I j~^j);uZhxbѭVT'm`4k&aFE$lDؾsȮ%j Kj@ԙ D_R<~\T9mMzѲՅ{zRkǫ[T&/޲꺚xL&|5I(0C~y`VoGCOgY$r$CaaDMCH:ሚ}l48a:D/"YD5P ɪA"ԧGH+ \ijs O%><TY$+ޙ?bn|R,@*Y]͍{ ;=kc_XsnJoKJxC5%{#PV*,4z' >FwmU^o +N6zcrW(PǍwkXDӼL}_T?tM&wK6̒ޜ;&p#f kוjͭHw?i(+e%J!d{AٷRD~HmŬEU2ji̪?.~9[Z=Ye_[xy/YWC`E]~6*tÌp#bx8dO_^fpWq 2תe3,CGcfDں XI\@i{RwtE+ R[_R{v/ȡ(N`Lit|0.~wG K<פez",|JEһ:X]S\on2uoъ>Movi|:S󃋦è,ۓ]exT]$ MK&6x[1a PS9((Wi|J? aHLśΘ~ױWu\l@_s4G_T M"TAY@̏{}S xc/Eט4Ԝ/ yľ;!lxCmy~w8pڕ'vL6"ս_ͻD*3\ 2~[_[,.~Uj^&b &sYYZY6 DϹǠW6xf~GE*<|N3weIԹYC%I6y }$^P9M)ɡ!jo;D$;gVik-Dvp/"n yh媺 +0{4O\Yʲ4 :)U(}?3#?쿆Q<*ZLs,oй {W" Wm_>tr,峍]]sysfHхTim1=Q7eŞ_maGAU* /0;fuʨon!D݉m{yPa Z}1.i *v+Y7GmkՖ6X23DNd]$F o7/ɪթ[:7ޯVIiQ(9GqGDeBᯘBHHl.m-(T["dŊd^S %s/ȻhO)]"HT N INJsQZR)Htm DQy-rQWj:LןuFx0/VuP5ݝC>s6JڤM|zA:>ڥϵYhf8y>-L#Xޯ~h$ -li;N8qݾ^gyJg~RC-6i hY<-*)i+Ȑn?)mv^:ZMsiw,L >CX>\x6 %GL*bpEȥ.xU-LjT\I?I_CeaX-"mCЫ, I;}b6* )BJA3`U@+4*/\PVVdϠ'oCBxGi8hx8f~p؀.ԬUٗY򣗔~y}rk-.cM -¨1cTԇ #;=x-O+Ɣ hv +7|2TojsM[#{c;+uqj aYE3ZD`6\|ѓ9a=[Z#=&!!?헬5b_llTBh^yF(%JWѓ{/z[iI%/xVSp\,rxЯRQC.)-W(ǂF_*֯vˈ*JWQ"p[t{*_|/غF} +f-J?tx|e'NŪ709g>Qڛަc55:%sx`oe&a +E4tZ]-Kq?SjĭU%bP> +stream +x tTgw2KML +JdQ")SrZغF[*֞jZk=Yj0g{WJ~y`aR'Q1_a'$.]ukO,l_~Z~]}|"{GdqkE˺ϫiJNsA.֋N0[~ ;9}YdžY&f+7({˻:_{aA;^oĬ.'sټmr,=67d?C>{tf;e?Hѵ=׊,&762ўZfȯaDW7OMv|YV/5Aw;?fęd2*+Z#r+]b/:~J&'r6Ȱ9ξ]j[7*$ ۘDd)]٬/Yu№Ǐ~$S/5dWjBN1գuZ)7r*;S vUnseeln325|l4?֗Bsyd^0˝yt8f6,Usk^vͬ2t١ϙ/+f>AzIE7D5o#YӢ?6;LMy$W9Ü/en07 RaN5 btsNv&7kxc-3rLuQz.պ@WV~Q/%ڡO12^fIzS4 zvb=EOzV{^SZ-&Xկ#HAFNU:Jӫ]yVyR>Oސ.[ #ʋZCd6JV#ߓ;&y[/o+m|C.r@վ,OײQ6z-+[\+W3&ɃS~3Y"NyOe& taNJV-r6s͇_rhT23V'Na9Աh: 08+ץKKu^[>Yb^5#ʒI؞#VqRk#l]:FMW[ g`|K{h/-/4Ԙ[^9t{~{cJ˚&l0m}}&55Tibx|H ɤ}NZ4# kso3S%t߇W#};}'4e:y-kߗ|J3>_-G>O;$Q ;}#5ѨD۾񉎹5ѐFUo|[ݡ/[;- 1FDcu>Pnzlܫ[aF367L5zm]ܙ151{Ř+1w n.puO k̞S]J8젫 p nyuu.^| s~f%Wqnn }O6{-kx%󛷶9u_S.\׸/^4d9 &E # +#*T}( wD$\w{ɽν޽!u{KԡRsptrnqK:/WZyg!y Y3g ٙ +endstream +endobj +1977 0 obj +<< +/Length 12817 +/Filter /FlateDecode +/Length1 42624 +>> +stream +x}y@Ue\.x\"(M\R1P)PP*ТoaLӷqֵ2qirl/r[Km5e+|٪|sy>{ #:n8 Zp٬fG[pLI\@:0-@ P3TUEͪĨRuUe={^òr0}f6FXUӸjYm`W/<u_leK +k=КUuA9̿㥥e5_7L`]mCR оh|]}eQlO8k?[p9+pkʃ-GG߲];o&WuAe^^M%E4RAdX 6xk 9rj-b_󰀳iT^s8lYiS$hא:u!yB1 u3rØ.+=… gL׽.s"aX +{5tߋA]7x7bx-nQXfa[2“x#ޏ?[X2 Lp1LIމ +L` B%,#477 ZEƽV`@4 F0"h=,V6*߁l#:N[sbD" J.D7D#ƀ[b!q"z`Ɓ1$D/a^A01 ;`D AL I)0 1! R!1Ҕs0HḠ 3Ȃ#ab6d",S #s q R%01r0F.KB.ba\c q<=Gp(dxL@`*LBe ΀3a,p9lxTÝ,b(Dwa.\8KXydxA,e0OyC b9\XW)J@B*(GƐS$0a2ň`Wg5Ty C- +C\ WA&īX +5q-R`5b3\+F9Z:XM1͈^R7:VXx#\f؀x lT^[z6hE/Qy nU|nN q3)툿;#wC;V؄xܩ`3v Ey_#7>[y ~[w=mʋ؎x?Ao!ðCy{ĝ ?(p?c.xPyA !vÈ{ <{a'>xq?<'1?.'8ħ`ӰW9` <,98<AI)Ix F|!B<gxUx5xN9GĿx^F_xEy`&A| WxU'5ē:)8ķ;p\ "o o*{ C8T:c8 F< o+Sx3]qCGQvW!ykXy Oʣ=|CϕG@/"nVYQD<ӥ(} +X.T@,T\t:#:Kz { +i5R;PM2,Dz=H/ՔK-h?{I/^bЯ"`0 {" /jut*\CtL@ Y&y_"F7/h`4M=h?{GCw\L&"]vu?K-F$Z0񳗄yf"]vݼ}:0R?^j; T\X,K5%}a4MG^?{I/^¼ /!KzҗG}D4L`PMՏa EfC*W;n^җG}03Ayמ"Aw9;,a5jR.Co)707 3~xBϿGK^^щT7Aaľ&##!XS;K"7zGɓnB6"~ ]RRRt)3L\(S;K0cwh9s&R1CFH醏D(@6R8wttgԏa Eȼy󐊱si }HȨKrr` +#{GgBw,~#vXrRQQTp8ػѣGM;ϱ0 GCw 6<,…{wLrQٺ4{P#U^@ꕪ(L:zS ފyE m |B~,,!R~Ԋ4Y)w `m^Ƹ /0S]7ߚU-֍R`E=c(F^, _4M +m?+hgI|)|\%Pp;I]h[I7Vd]_ͯ.{Z[iecf)b;8J1)W3a޲qȎ! T.`FP9ZGiqsM,^+ROzBT ނTZZ֡4Joɓ[K%:0WFw‥,)Q뎳wNij:(n| 4_^`Jig!iԋss΀fЅ%ž_5*@"~&2n8qnj:Nܜ@u.zV#ހs%'6ɗ)[/[0dd S9}PWK䰺  +]\H|zgNgӓzlS.#x8j9*M=?Zz趣GזrrԦ4^*m+ + VVJ[o-ڼ5S[5 HŘ`m[s[ 'NFDƜ8N=Yz;qҵvwDww8z<=}xSrg̩NӮ}Q߷޷ߵgÝgnޖ7Gx7< 6 d5 ljn\nlܬys{O]๮YIinjfᑝ1h'!ch'b˛3ht s˨u斛ov_Њ}3cT-|( >_Қ+f%?[O5\50 54.Zk =Cp| 1|Cpm\KvGf 2~c?mFd=|ILMjl!`; +ߓb'>ROfk$pvS^|2v"qHEFK&h,r+zqWL.#1}GL cϑث[ȵj >$PZar;!]yP~t$F. [[ fë^7ty0)_ 2G1_F4p{}-Pí KX92oT MydKZj5Κ@0w"|OLb_7\y8B9-bJUD,g3RS|ۙRJx/O2.s1y@^+(vRuwf8E=M}ZP=B=͑E,`>߲%٨trs2Rr/ H"Jw X/g %R`\{, L^+"2$刊rEF|RƠh+7C5]%""Rczm7EFETm=Owsgm)_H\TrsQLm\sN4bxfF:>XG w:"K?C.oj۶;~x >/wmkrh_A,m{mڟ[bw4xُ |+1B$V)gs:sp|LZHP!#ʿ3NWI}r̩8E`:,S2{kP~!G>$ M./d&,u'N"gh9A jpzvJ:lJM4{^+9wo'r +bB.ێ\>ε)gi6p,rd%( "dN$5v*Aë#,`6g#_hEs֥9[(~%,Crd1[ +E>=sT~-"_8c5e1cAJ'o^nچuC@hm*MVzQ,DBGJjf:#b<ⓛFgNxNX"7\6B[{}z5Dkq%w$Ȳ+,YS`EDB!N 'N$,7ɧxI(*_/ɫHB^$q lDl6Ґg4yơ 96rѽ>8>I7iϽ<-baO# 7Q>BO(Fڹюh::Vj؉rg19,DHΚn| +==C9(bWLvaZ=C9C5:2Ʃ֊zo~>lM11' WH38pdX΢a|,d.CU5e -5R-G.w'8#HK ['^)"I }pIW/3Hn,oVR=Ħ' ;o(4>|_.\cjw1~mvl]e@dswdugtt=yc!CGGgqۚ5΋,:ڜ7>Qew]e 3];s.GrW˯m!M5jܥ,zZmn0(YVG1Xu.]lj UZ}YqܰsWGC{j4%>]Fu-#=,L[g֮;˦MWDj wfگNGK3ҵ v4s6 +⏞EoXtv5 +6;T?>f>OV-f3l 1d?mYv3K6q- )AJN:ne. cx-i??#+$/0y石'Bj\^#Cz+΄ҲpCkVNNe&Eg͌ '~;G҂MۋˁEkR\|/҅nM5 %Hj5OKy7mn[^r'w 'DZ&#QtOH{?eH9B/|W2d|X'{wWxֵ^ FH;Moaj C .-k9kqב˲8}=A[WZ[=`A.Z,)Vb@BUV==˵PuS+RaYZ4h- xKH B4"DB +!] ?Wt #ZĄtKaNHC~jH7oC fu=ڨ3#DuHqa !GwULweHdW0}ӯ͚zBKgIeK/^*m.2~T@UU)M\XYviieR^m}]m= Vb*l4R\X݀Y*+$, W7TT zIuV5JSkj4,:Y.]Db)Sj6%Ұ~PYbʅ˗Ϯo'v &ƒTz2XKa5͇?ij;~&2 @ +~ ?~/ Φ0 Z4bUW c9PwxW ex6X_ +u1YSUbRLшykY uYlXZ1XGW͕5qm@pEVf$KH &a,*-hݨU낊+->iZŅŢZ.uZTߝK}c f=9?N\#"A!AO"k*|?_ ?0=mžk{NgX5rfƟ@vEw&Wd 'yU v.c7PYwHQy<|ǺB!=O'+a]mPVcOK֙@FGn[)%+al;|28( zdɼ;Z_[[ZIfbRǹ`ƞä;IcRN^>&^xڛƨ/t:qzl6 s,}8ΪR0ϥ%pCPi -`<79F 0Ms7:*;1ӷ1vɰeho/aS/G`?lh *Cvez> #DOL&Ԫ7 +JV( X> A"![ nxxTt VW`[7Mc`zla[ o pq1xnK vO>4on0[N<ǙI{Ҕzfoƶ>|Q%swuo3 ᡑ![3TO ڻ)6șz:eFdXv15ʴJ"fFԡ+;ӯ`+*O/l^#n.|@lQQ? e|mSqCT-v= sDWs+G[pn}p*WYGH0< +Wd" (^bS1aT +p`΃T`nУb8+ T$I!H$PKT0 X$ +@@DE#ۿ>S-pj}޽ǝQ]<{b~ZȣW/fx=kqf6e~ͺ#kr:,[`}!Y|o}t{Mz՛#Bve5Xv0-)5[NwzDV?aʷأZpmwwNdw.Y8x[>GrUzһ>w2s: f[#Xe7<nV{qc߫UP6SU[F.["dr_^uFtc7'ϭrww"C!?6s=L|>q?MmSPN@zFͮ)gk'rg*8Hc$J^=f׆Kfֆ-Cw;ݤV4%y6/&y[V|@xKfmi A/-1r7)I"fAg>ЦWQˌp 14~po;CLYV~oqw{__Exǚ?㷤ycH&|SOg2#"D5٘kmK}KfU۲0$q>$GfvXd /Mﹿo$KcG]G#.c8gu_$bjȒ~1|iE ,XE*33,>)\>5_XGFX!πJŐȨ0(pyceGhȡ^JG!1Ш؛qb pPSDsLs-L_gv{>hS2u+/dfedo}PێM \=` PQjYk3k<0Z $p^+ZQAZsd1C# q+MA8[xBѥmUljJP3 r)Zn -}#%&A VplLO9 D=˃b ZP(hH#LZф1pL4W9iHpD {BuڣN{H$QR9wPR +KU"P.CBإʤRP\BZ.$@T QI E2*URP-JԲJ&!͔(*iE^CJH-I8 ]"WGHU* x$ԨSJ` i$MϐI6K2 + +L\$ӈ -ݭ\`wD)JN/J(YÓw!!642(3* |8Ljn$ RU`T(!rZ4VRBba0EC*K BKLfZd4`!>Ů@L*׀樨D 3tE T0wXBXlEtJ달TZ&2g TWgnYy\8 &+ëB !-""=Qj]E;=evɪ=j?;+W14c1si׶& +ͷlu4|U+ym{X{ׯ6_>iЁ{ؙ`;NBҨk*[ܿk<+@D 8{ yU񳺺<^\o Bwx_.}P&>gOXlC£_sEtOu}y0}tv\[WyI"cwF뛼BG>K :DUTrٟ;kvnvܾ>w +e>+zQ=\*j ~ SAcڿfy{ƞ8sg[@:@QWKc&M|d{oĻB[ ^iӧ-~<`p^+ > +endobj +1979 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [0 -142 1000 858] +/FontFile2 1992 0 R +/FontName /ABCDEE+#C2#B1#C2#BC#C2#B8#C2#B2 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 1000 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1980 0 obj +<< +/Ordering (Identity) +/Registry (Adobe) +/Supplement 0 +>> +endobj +1981 0 obj +<< +/Ascent 905 +/AvgWidth 441 +/CapHeight 728 +/Descent -208 +/Flags 32 +/FontBBox [-517 -208 1359 728] +/FontFile2 1993 0 R +/FontName /Arial#2CItalic +/FontWeight 400 +/ItalicAngle -12 +/Leading 33 +/MaxWidth 1876 +/StemV 44 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1982 0 obj +<< +/Length 9541 +/Filter /FlateDecode +/Length1 312304 +>> +stream +x \TUs=0젌,*rAq_p/A!5\3M+5r-MԲ4E3ԲR,\42{yϝ {;s3wf.3b(QZHKe5BWM"oD{'t BdS)̜(BCiIjSgͩ"e-.=b\B|2 Eo"L;f.?S.AC +et㷿aj:ߞ[콡>iN! z1_+!"ZPrD~V֟l"2l Fx̼}6=ՖH~AEUI"To/(\pcHQ X>jB/~FED2#?1МPzɵ;ҦݲU 4|Uv'HUd>%li[=^S14"c]$O +_9!a6a>y)%DI+D\n1zv}vD9)<%B꼄z:~%d=o/!Oۿz^#%?k:_ǰ<F!FBIRKDDH'M +vRZ; 57TnhHpP{`Z~>^6wf2:FfT١{r(wcMP\n oޖ%92zdb$M|E+-WDuV$usPq0\"܀Fֵ+qz׊4^aҨz?Fuk՛6ō$V|%zޚUfwTͦRW7WTxsހ: ;++Iʉo9)'īxrb+'ZN,\Nʉˉˉhˉ儕ZNDJBbFj0srS_TfxUMR D/6 ^b 1QL[ b&81J*~#5b`TRLtb&'夛L(ץ* /%a,$:ix43Q 1O#""Zձ>1rO\\S=9*D$h4 +*,f/b2[ކUT舍I +F>UQ0I49_"g88(I읥9uUs88$o(&;4i6i\+;C;Lz JAo4IF=65B_ t&ߐgO ~O[OO> }:}zzT/t:Qo%]j`PWor3v ,53 +1Md=*<<*gTXXO:[Q^^}:ݹOpN+htHjbāѻ'چI%4$Id4F/A[?cx*+Qhr=n x)uk:HoWR7{pVhe&k$*~?~E!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B$/@sY$n{ײDa21rõ,׸^C\5η/ w翽)eZc̯wz ͗,F$2\2b~];IFCz~{e0sݣ!$Z%;&qf31Qdߞ::ηd{̷ڒͯ-K|Iv&_ί'CkO%S5B>|ǽ|>׆Aw~uu.rN{Ebkl{gwQ}^ Ƚ|H>y̧Nv^W󔐅{n7q:a_u\0:8Ɵk-}ϻR}xS Z#?@ݧVwǼKNTr:f޳]ըjs:f1@x7?uޭnosb=]__ydSʼO#~3yrۣF$5>{;m&>5jHZA=POާwϛ] ?P3"3B*EIвzhXZPk$j5V7QS;~C-@Q$jszA| zv%'NJN\.uZE z6'+4]MI*1JAVXs[cx#I/ z-Ð?mFA4|FM7*ֺۜcYݦ5 M%F8ohm--F`ԉTw cD{@Gz\۴lD\5RM^Jsp96 d.q#5Iu[E[ͨB}%&`EJCJ !oML"~4fA2kP*5XڏM\b$xWݴ3S>m2Y՝}(y}Yɢƨh.X0ۃ +{co8V'%;vb,̿T#otuZvS_g$U`1$uF(P|qF_/FdzX.J6mUUt1C}%^5f3g(>m|)y>Y,nl e#mk#xgά)￿mⶉAHQ(Qd닩#‰MI9y( &\51*vy1/hNy<^cw&4oh&N(=Tnn\[sj&h}nkF_ !z 9#u]#[?i(ۖ!.6]p#l?)$oiL{3GIs@Zow$c٣l{=JX)+c$6MaS4Ξd3Lf3Y6esl>[ E-fKR-g/ +Vr*[^c5l-{ֱl{6wػlc[VV}}v]l7>d{>}>f'S;sv}dGWkvg'7[vb;αyv.K2®kG~f7/&*mV%YEYdYZY'elMl-Uvm){޲+r\K-v$!r\W'חp9B (YXn"7cfr/7rK9QN[rZn#Svr^ w;ɝ.rW]!=^roW' 9S$g`"&StHJZ:&NHHJ'SitV:'}/.ȣhڔ49tFt&}΢,Cy9:. "]D%t)]Fӗt]I_h9}AߤzEߦ;]n-t+v>A?;.ҽtO?)a9=B_ң+5=F-=IO <@%z^W5#^?Ozޢ6b Ld1i阞33 2wfc̓y1o|gaA,PVcY g,5` YSX4aXcք5ec9K`-XKȒX+RXkֆeKcY֑ubY֕ucYz^7~?{=,eA,elrX.Ɔ,d= +Y+fh6uK9VyN뢔uuSM$h%e~ f D)FYEd(>BP+ +tIwY㚀eKF D'd0)?_RwoZS9_ܴזylVD'Tٌ/?\4ᯖ+;(N@,zW=r**w\<&px0ܪDFf5T7zܼřy#{ .5=?8ѹcZ䴎i}RRtMo:^?+,.~>ަXItۤ4VؘFMkst&?O䳆9"4, xC~sm=:Q7vnmQ~7^z},E}ijrga0V6dS#s:σMjڟ<^ ˆ)S~ECt >[<:D߼$$>̞n-cVSAE?a좗RSX۾M:ĜG;g^ZͶ-66,.uǽ?:u\ڹCOޯТѺ56陯nl˩7^qOoTeꞟTZ2**e%(E;>AvywGe7>/_5q;/%\𻏬>0Ȟ?>h=؞S\\P5f̘CQnsì‚LuJtt=%Td}G A} f¯׋e@*gN=J)?/X۞<9ŦzL;?g!^ }GNv|k>yzüMIGMywO.&v|!$+Ye^ZٞgUJ._DgٿxòGw\ҜΔ%nĦ;nJO7~'ڕJvQi7?u} G]4LU[._I ^KeO҈ۊfvm8CXyRqgEF;JK7*$Yi˓`z]O +N +~Rk3G):Բ7QZvBQgCƔv”mi%\_aQ +߳ԭRU fJ%QY.Y>_bx~桓0cN[MoWb|r|fŜnl){(<3ɦ`гkX:|sNy/n7X[TŖkVo\x!|noOV?g3FLHf Ǔ~{(PœoqCsW=:#;f)48{}K~afqn:JjTqN~an8ןhEucFѮݛt޶3ͯv:}e-{~٬$gz/aJœ`Ak%3 Ϝck! ]p >rqC~ol^q\H|ry=3WMX`Ls g7_5 ZxwOGi1?#Vl?4G֞m=Q5BίWWyϾyuH:kzUvŧz_z1[^/{{+|(͗˃u=clޤ(/+W@K*ϕX([(covzj¿+7qI}5T̼O6 +!GX=xaoKY{Թzt7﷪iz퐼=ʏ _GlR0lm.>w~Уk}wGĆ62xPs~Gh*˼o:{Wz-{nZa~_RrBZ7]Z/w}vcSO2%m6~+M;䛃+m~`~ٌ'sEQώZ%6x`aA +rzHW8-(;+j‚;g~urT_ƭf]Zt/qkASfwfv㇇ 2Fl9_жғH)v$TeB}~gi@|˲_:#`Fq7s@Ln|`k:NI8ɏYҢd޵ueG;/빥ެFWO/\a7_r\z~źsў=ZӭUEz^ʭC?|1*s9úfz_gL8Kq̓椡b&1MIԳ_#~k¿JҿHۏQ ދ{ŧޏ- 'irOfK:$Q. Dž]IzvmKwW/ć6+n]/laJkkfl4J(wćayf)<|a9uUNҮJg>fΏQeB?" Y};HT9eG}P͍S)ۃiRe睥/'Η:@RX|J+]Vሆyq|*^UP=*(J}NioͻqJl\iKyy]_7ĮϿ1|0nrQ+ryqL眝وVr\CˤMgoo m8|Do&Ώ'!07xZFC!ŗ'< m{yt x-Ph eadecB7 gc(Y &ʂĂJ&!K#C{'q p? ߝ/?tiL֥ ;xZ-|g#փR]:sTbމmkm|#iVs+=kxo۽ޮk͍v6%O61T&s\]gղ?z +מ q[uv&o(4ͺM_m=Wy~趟u,\Ӫ:>*zEh7֘-,ߤyf:kӷ1_bm&&&&D61YLx2Ɗ# $+7bV28 +#>g~pPIY/Xݗ_T_Zgu'2]:+ +2_> +endobj +1984 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [-981 -142 1044 858] +/FontFile2 1994 0 R +/FontName /ABCDEE+#C2#BB#C3#B5#C2#B1#C2#BC#C2#B8#C2#B2 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 2025 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1985 0 obj +<< +/Ascent 858 +/AvgWidth 500 +/CapHeight 858 +/Descent -142 +/Flags 32 +/FontBBox [0 -142 1000 858] +/FontFile2 1995 0 R +/FontName /ABCDEE+#C2#B1#C2#BC#C2#B8#C2#B2 +/FontWeight 400 +/ItalicAngle 0 +/Leading 148 +/MaxWidth 1000 +/StemV 50 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1986 0 obj +<< +/Length 34499 +/Filter /FlateDecode +/Length1 76880 +>> +stream +x{ @[ǝC' !nB!@ NOH6I0 6vR'A8="'mlnsHۤu{}m&NݯWbgev{3{BB( +.,ʫjn ka_Ek%.674u +-]ՆT2s]5߫DRޥ+Um!D]tm!|Ǻe jJJ1.uUbBXe܅e|c`Hz!Ґbiל0cBh@;mhijy-qEݣq1&U Y-}0Pˇ4:Zڍ:=QhVzq8n~M5Ih;smgc2sձ .?nc;<^8q ȱ6.9Y-d~ያy +m+ p,cp6y ~އYT@D(џFqPY϶+ =4<*SR/^'t]8 3;b8PI49Ŀh  Anz\cT*s5H3Jf}`0b'CFcPNn.Ns"];zwl7vuvli߼XUPjԩʸyxXT" ,CS(^y^Vnjm BRÕc\?]9#iGFRrUdsjJ@m0ADy/&Rg +fpqCu {i.F!P 7C:OeTRBgԗ8 2zͻT_R ^^aWDxqN3;-h?+ԦYzM^oD7S]<80V{sMhjn7W_|JOjAM\st > +r#wķ94$2^<Qt㞙@~ +;r䣁s^F?`w}I]Wmkⷵ|['vCɫS~8gLOFzQ?˫zqKu ү|!V፩hLA?9șU^gVf%ܛc",сrF̙Dƌ. +CHG3Q(0 G|hjp6%*: 4^q/9t弯jhP&Wo R +~nIc_ 1gS l G1-ImWՐC-&l5okcD۟%[h%k}lJ ĔI{tUws[[0g!`BmBX ms K 3K%W}Pn-LDNTA,*R[krxjΫŎFjkx2q[MO]_c>}g8Jc*&S'4d|3FfH/Km@!Bh^y<@4#"7l86CKfP >RW"/|A:xn0LkԦIR:mJ;Vn=P[l&h7][s L2,P)(dC N/KEza7G:Y씌=+…ԭ!*Q#OPz~kWVV1lR^M;{wSI3ڭX } TMuҟln@%A5欬q +T.^=㞉,1Sw8t0g0m3öi6j,.[ e +:8`9/(Cv? >ŏ3P/ Nyp>Q8י?uM?O|ߔ*bOϰ +6-A=DS;ׅ @IE)eL/',f|( J)PuL\b\ΖWQqbRT>]+"%?PESSWDEhQ(ZmQa%]l0jթBQ/H]jczVQʌBPVE}L  *YVݗ&j|+T->Ty379}ΞJ5LU(ttOڥa$Xe d'"c2dJ+"Ň% +i䤌c$IJ"řCCB>B@ǐ̏"E22~Hz[/4g ϿuTz>\/Ew `D$iT+R(SI&YW`q # ~1AǨg}>rrS՚l+=}ԤzL=*r-5;vlۂ#BCP2Q1-F$/͑ģ 1k'*:5G_oߖZjK's;JIO4+(6޴e?9*r6Z[;oqggRmT'EX),:JC9-R)yvA>2'f JboA|B2TXH鰌WON[3O`3 $nmw[ɩ2[& Q5>~^XCTSM>-3tm9-"., +y + NC//U]KC6FW4gr k.K_v'E㷵OFt J4 F(%:("苺@HdPҗ^|I\+ƊBh!羢#O(~6è'${EA٥ fUJxHd`Wb)=I7I$ V`LCbry^^"w|81H)V$p+`VXISy{ڎ={ mm OaM`?$EZcBavI.,Kt$D4BUD軓>F WSn=vNOا0Y((KDxd+dl(p+Zz# z̧f_wR%.pb˹ls +=.<>0SKeͫ36~|zLH/}|U%dz{b4y"}kWEFW=QOkӋbȊǺ +V-T?8]tKeVգ6E_\TyG.gI\qOU(ذٽҔyebN>Ci!KOM(9Ii[z}S9^ n <ɯ1Ð^A`25//Zz(Ry׎97S98 +9 iGX5+Uo O$;bIckR*➀wx0DV#"LT)4]hsdcV# +U{{F5Ml5Eu降 WPYm{v>qJ<"O(⑴zmHCØTe` ;lcUdtdd(D#:<aȢt3ޝ?Hd %^`s/5d8uZN \> {!S #CU Ȑѕ&u''Ku"@CG{hah:d6tOnv7llPlܽ<)RR.ßo,.>8 3C AszOyǃceʍwʦ9gxK\ɨQphZrxxJ4܇ QǀGt銾ӻ6mK[*wZ<*M(1O?zlv {R}V.h<9 9M!}!!AĶ?Du"U#qVD +9f+9;4tv$p_i:~q|==sk>þ~IEP*(_B j;C_K8FEb.M9 +q(h`QJ+PEάҋc1o +Z{lnWi)Sݹ%?zإR'~HgՎD*#c^SsnK^ϳ%Vp +Zu9R ߟ ThIh +O^h]F^"C-wUb0,`LKlϾђpI] gBCMt']jX~RKR?0;{fFǴ83d(v{7fV6;OVٰ +jCuwk59ƘAAҢ*jjQ("{DdieЬB9pfv͝ؽ:򘻬rOwuwa{F]7^; ; :-.aCC1+G:?/*.+b׸4x1KE=?VJ}-Rx8b | xQ t/cm+D?1Q^$rWH?]~?3.|,紳shYރwn2˛憚dlRT^ ˨fb\Ze2k.nj" +0Cw]!cׇ [ig`oyhelJLS=ȫ'`4h,VN2|o0T uPzvQCtHb4h1TO%3Y75)*~htaD/=2δb c BtL2Av8ۤQN3 1?z{S+{VtOU((@cYB<Qkŵ 0l_0gǤ*V}^Ro>س{ *seITƮbD+$3o 錉EEbhXDJXP17rUtU]t_D +!=o:s ;)'zpWX׷A,q6k",;Qm?NT(B[Re3lH8ÕuqPMk̫Y\dJbpcXÈX&$F!. +[cN'(EzB]*q'}yѱ瞛x:ɟe[jC$bz[ ~&.G+uL4釣wyw׀@OLӥ;fgmh[zn߭-m)9U9KY\ߔӦf%%" ɹ*R/<,몯ߜ;9+wh- +se(\2|dBsIdkZZzh1]Ĩv6d7U7ed85#Ui:׮jfEzWU-0xǮOn'Zbf&ַ4 +KΤE B bv:(~JPɘ#k|:9$% 0f?s>L 5A(Ǒ;},Ivf /P +RO P`V>K' bjy鄂9&c͚  Ui0HX*~Igtm~6N?b4gf|!!b\vUҙ<;-8"&sMӦmևO:t# @rL`&:?nJ_ųCR~owKw톶2u]rJ OvV9 i7o:-w.cU+mXM}dlD+" -[%Ha?)fBB%S .OHBtzB=~s6RX>}zgY[o-7џ#Ϩ7F`&]f³1:NGJ /`|C}ݞUcsXe^湸]:hz7ć΄Eg,Z+p m:Ef㏂Ձ5O7Fu324F QT|mwA1TD@f.*7Om=WPƱ4Xeu={{[R5&:6N~sb6*&ܹwHOdהr寮yus奫 VjfKZ9rFO(gSY UfR +:{"1b$R~.͕ AP&"?0fr)*XY,FAJ~P)dݲPdsr,ߖ^~&_2}O.e \E(vپ}2ԷQk՗x ˡSQහ6rePb738k\4Ac 0.BG/b8u J.o﯇ml`n(*`_behX4SuߞX_gP_E萸_#wįRVJ=K[0Mje0+~뼟:gׅAt|3_ץ13_y?u|C(J 6!'"7Cp"j'FAUa(|v"qҲ#y&45 ЮW&__F5 })x<X ;=,X2*֍:Yw<5%6ꆖwABZD(Pu~ Ƃ,BkzFN2:A`V2{ӶOldcp^KtM+̛`_qk{]&+=N$|c\SM\vʎ m]d y ƕ᷾ \K|z7s:Az\כK݃d q${7[=Nltk ĨsA /m6bg#C:mWTw;C.Aϋ +ä2 ZVp>ݶ|hvyխoeR `Kx[. fx4%rsyy%&=6>6jܮ1媇Nc3uv[. wڼ2a~Eq`y:NϐY8vq[l{7{+9G9`u:=0c9˨M ƈĨ綀VvY}8ss vZ\.m9=nu# .(l>稃Nsf=  ۳ 74iaG,qA<ܜ x￙kՂBPĀ0QgWZk4,CHaB.DptTv.c].wϷێ)-hRZ K%>}sXϏ56~sI|5IH~>cvcYqoo̷̜Ӱ&Ҩ?fay͌UDu6?noUj gUW'm;~ખ4AכZ3/:5WΝ3̽zތWWmkfߚR5gF{BM[W͘_'RWkG\=o5bR I'/<%KK|<3y*sP/__/*1Iܳ +VZڇځW6o_I ˒g&7\4%K%w~bOʧo_ȡ}_/HB,֫Z+UψT bk7?>`+Xws7!r8cUqܘчVw?{x ;=U?w]_?ȾP1T=v}TQz>L:抚k4?w1 &L0s> &<;5A} '6&|:GMĥ3oxu;?x6}Rimdzka{mJ۔S=S2;miGOKxkjmM޶_=Sְ˃ŏNJJǔGk]:ni݊{Ls?_G]i}T5Ƴ:G?KOL{}#k.Bڎmm[֩oV&>?:)mm׾7uڡw˅l%-\EꢪE5Xwֺ.S'-o)m]笘ٴB_ۛn}yfDR tqdTx('FcxFBc8 8a,c'Ip2T8jM·2fUp54ِkh{#́& ]AmBb׀k2,VFDk4@+۠:`!-`DkT(9*'ɼtu|mu'zRd) +QFj.uAd|- +|=,g+YǪHXߝz=w/V]|o|qStU֤ᑖ8FN꣨[dCP!hb}a?8l ߅y0D>ӟ>APH$ynwK|%j(G>Ei(D=0n +xK7۲?,b?,b?,6 !I?g+QA9 + + +¸(犓/ id×z:y=ѓ8 8 8 8 8 8 *7]߂Kp\Ww@0}ZxwYx~-k_ Z«,«,«,«,«,«,«,7ep J&~^?Yx~< Qp110Z@'Ex |lW|v1 CU@80*\ E\E\E\E\E\E\E\E\E\E\f@# FWr3 7r!7r!7gM|gMfy;˨LUMzZe%xZxZxZxZxZxZxUq +9~*0 +0 0    p pwՌ}Ш8Go߲W`j?6!^,E0Pٟ2j[e(E(@G: +Q(@G: +QHFJT0EcmPh[h[H[H[H[H[B*w?x^5iO}ZjVڧ>166$g{E nvX ͝<wzjrq/~~x xcc?<yx1E_~exzU=o?f#OOOOOOM88N`2LST8 N3`* iЙfE")HiDJ#R҈F4")H1+2+2+)HD&R6MMMMMMMMXh6=n6=n6=n6=n6=n6=n3T2:שdt*JFѩdt*JFѩdt*Jf4hrB'' ' '1d 8N qȾ +HjDR#ԈF$5"IHjDR#ԈMdl"cD&26vtjGѩvtjGѩvtjGwdm*IJZ&T!5;l]x[} [CjH;Yq3q3!p?m|2Fŕϸ3.VM0Hru]^c@0GG?q|11111əə%dQ\2KFq)⬬K|f$䒑\2KFrH.%#d$Lc ƆX+v0a%` tZXEwnX=p <Yx~< Qp11l@'1]_8ij$u-֩Lxh&^k&^k&^k&^k&^k&U*TeLRI2IU&$U*TeL2ʦeӌiF4lQ6(fM3ʦeӌiF4^c5^c5^c5^c5UAgPsb`1w;X,*FѦbW |4@h/YxPmj+(W[? +oꩴ tU|pppE<K"p\W@|TFLSNYٍ,ሏad(^yG#>#>#>#>#ɨi2jxJ;Ҏ)xJ;!|d>d22̇LC&!P|a>0r9̇C!|a>0rH|ūxUJUi*W4^ƫxUW ˹$;x4j^53}\ū\ū\2Oy2@ȼW +ʚ]2\ 6{u^Wթ{u^Wթ{u^WQ Q Q Q ՘8s9(SxjOMi<54SxjOMy8yIKz^&gW*(yM;3NrFuvb} hِ[| aQԮ Iz0RҼڠ:`!/`D]׺u1_Ri`9B콞#\TFy3sq<Ι9=3sz8gpNsJ\a|' p|llYF qx|4====3{q<=gy3{q<Eܳ{q"Y===========%)qO{]RQ,EK1Eܳ{fp"YL1GQ  "q"NZI8i'-Ep)q"NZI8i'-Eq"NZ휦A;t8ɑ NϿepJ'>OqH#Z8#Z8#Z8#Z8b|8#q<8bGO\i3yOG,E#q"XS+ c:vqѨd.WfpL-cBX`15jhDVDn +6&5Yb @(>S$q?Jl%p8V2l+ JmyDh+J#E? ?:awa 6#UD#ĥ3ĴTf*;Da7I +ٜ^|D|D|5>j~Ꙕ + >I|"-'ÎkڜıE%zXR'C> žIEvrt$ <_>$+ |:JXanVt ],?siL3QP?gsP/A\ه}Pa=*\:`T(Kے'׋½iݞZQ)[x~zA3VF91CPW!(W T T !KQ1xT GQ1xT GQ1xT GxdGxdGxdGxdGxdGxdGxdGxdGxd#s<2#s<2#s<2#s<2#s<2'j ATTTTxU/^ՋWU + =F%QcT5h!-iqHD<9~h$S/FA(U! +iU:uY>9\(8p<BѶp O].S yq'&aDQp,x'@5qp"5(њDkI&њDkI&њDkI&X$Ǘɱe;la[wغu;l`FrZ~G(%{s_C_P;FfJV:ҡthC+ZJV:ڗ=xG 1O~t@/_WZIνb\r lG29̣e-hG:,otI{3mZe'P~gZ%Zw3}~7Jw!nnVъ:ZQG+nYl|b]]]a7w!g}րaq[DԈS |FD'YzGW"P;R;M,{I zT!Q\TS:kkrj e_7+>yG,dj#{X"eD戃r* NMWd|669co+yYp\ }@+ή*[cXS)rc\sɝk#yϟӓ%G.%p7(T'қd_y7ԋl߂kNG/bl5K"nlD~>r^pK}?sY&NU}^nTH 4*QMoٕRϙ;Seĝe"sc F~^Ϙ5j/n^J,&F񑉶RX>@W2Е te+]@W2w>$ӓH?m:NDDd:NDDd:NDFIDT@8,? k,+Z;.C{_eq=̛p ;V1,G9!!$1,"UUaT@Z VD`=XP# X}7Y @@j}_3s<yg8 $h#h z +P uq@\' uq@\+z":N:N:JkG!k0Q]ykIC3SG 3ONA}@}AVJR`+%X)J VJRƣ/.hxchx^ A ,䶡ailth FxhM>q<; 481Z] `" +F X V)7:LKȔ/o T~ֲNS@X8oN V3a5j2T49Q 2gl , l , l ,v <@_}=@_}=@_}@_' u}Dص<0ZQ# <Rs|%xo)h>n_FlߺA23F xvsI#Ewwts6j#莋A4Hy np ;\p8 `pm!|t5] IՀŝ}5pYGާUn_֪E5wvvoWצҦvmҞͯ۞׷17B7q/kB0Jcd賑P&h.γ@@ Մv^x*zb y(kzZYwUZ"ɚpY3k-@-A@Am@mAW@@3 Jf@ +h1BNs q/P%A,gA<9\>V=܄y,| HgΆXo'53"[ڃ1K` 6mx$lH2ƃnMMMMMM e[<3l}RɅ[wɵq 6g=`SQ )XޗX-۬qe5ǚrhDZsP jQpٸY=j +9?=C$ ++Oܓ?@Y ®}|6Qu.ō +aG~ؑZ=@|=@|=@|is^ux_@&.h3<RzY\-i\tn1Zm; Ee>kՍv⼈U7V`'Iv`'҅R`#>؈6⃍=blqF'lқcOJLe>]]``%_W4@{Ao.n2e={v*ʮ#OvG< iw轠@܏yz$>}+YXHB/۠F5jA_{)yC2fm Z\oY\e(WmX>WGD  >^8%/㖷wr%Q- 93>P X@lHޔ_cЉ򶦟q| +e3tX{R:=)2}g~k<ZJ.Z;׃0NʛOsq}e +h*poh:(GLPh(rK;ⅠwZZ*_*. <"Wp+GHq8 .?j?Դ6 H )ED.Z_ZKݪ_9ANeQURڏKj@ }/UTBvHMA)_ +B⥐wCn`e)$귾;-PSKٜsUiszꪹbFiH= ]qs\pnp1vZ;2 0O;1Z;12@k[ +r X9۹@u'u8{ Y~~p/:{ONi_9y$6&:@p<6hq&OO3dG5sf䄶ߩuvIs\庵VA.7Np l i*pisZsZsA&2矁v׏_dtQ +nq&8'\\ɄL8S +3nL&8&3L 8{i2q.(圏ZVk5'8UNXWB,l za#ދ:?R}!?F>;EC-(sKF}V?,F6jC6k_$YP2hxWtnJ`m%u\%07nh6W2W- n3Wk?¢&|9BQ.(H̓ԼH͋ԼgJfX-WTW`쓃ܿ 0Z> 4טGE+~8E y?\+׼<,>^S MEKP>RN eub5${?ٵGz^mW=ԫl[0Nl,zr{,ȝ ý ~B6\}S?}##'?}< +=q(=8@-xO>`3ا@`HEeRq(l!ƽ5@8 wqv0MSU]@^ Aרƈ MA~sP"z-p + $q{:.AUގjB>WB +>b(#67?/Z5 s|^p;z&d +,=}à/@<Ы~pRkÆ3~XҚs{!_yJ 뗤XJsv.̅ڸ,6w78<Ի{}Գ..Q?t 08[2p \.52p.1ݏhBk'=͒qoL8N;G;N;Eipvcw9ޙc=>qߪ9P}ŞOU{V4Hkn +U +)RͱKr\YH~ai0kj}3L ϱ"(Q(P +(J% +-(J%@B :c?|% +@bD!P(J%@ 'PKDBD!8(a׻% +6hWk^Ϡ]Q@`P(Q=F5WPq " ub7jq*Oy~AK"؋zw/r9N)ⱽ}dRy!L T_Yvg-EYc& 1Ӌۉxh77oCBw־E w.t^H' {< 'xi/qk1}m m%>OZW5ZǪ"=zqߚ"[{iƆV1*"Ho/ۋE"ݽܐՈVw>/ g|޷ico?_/i1ZXc!^m[nZbK5g#\{[kK,9T"-]s]XsuU^?R_uuFRQΨY*K@h֬R=T!msCJH)myr|x G"b|[ +iiO7sX*>@{3X$`1>3?Q|Elo(=C_}sSN CژıƳ +y#Wo +Qn-gνӹ[%› +qCӛRw/&_3z csqc75{G OLdEar| )4YP2A[(:%Pǧs +RMΫ/v4(ϭ +9]tqC54?ksG#;,rk9 BR\юDAQ-lr\;_YT:6\B &ZJ|ƅJ%JZ o./ o׷oT>KrV+V*`j9( +t()8X݀~Z@X1 +AL@МH`H:s#79K3NeX/p@`{$HP# U]W R8#o"p2)TOAJ'q߯h"пDdJPdNcM{z伦}U6,Y p_5gg)os>S9<CCpȨar،ɛm$s{)^pp8ô1,R?'{C'q(sy\×9~La9L%IΏ?8QQp +380f`38G]DL F˜ +xP{)GۭqWPr]%RgġZZU +kV!R9 #iwzU8ohW%wN_8@H= +-t>y6mY<-<5C%8.~$ITSKx(ݨ' 4&tSh8ي:dsX53V<ƺ~?`F5+vXfaǭe3oqtgN>n'|c"Vk5Wc[Zn⧬i+W[h=e.m,jOV]U7gD;ǚ^?nG@@Ӛ~ *>T煤EUzU:."JE$"Q>QޡwD֩XmPʭ Q“\Zݧ~~zPQE_taV,G'^=%5@ TeSUt5CejL5Wݢ["u]-Vw(N uC)_C};@׫E8L C_={ +"\ }{ +xjIMP$'*MMTQf}}mʆ܇=U"j4r"[P ݆S+ͽ~hmC"둣Dه#Q%]ڦ3 }_߭&m.5uk1 O7}L%xhEwŗIQT hkP,դZ(zTPC@M5Dj֩6Ԗ$jҝ3udꊖ;]IWojzE~ԟE644mP?FHJE7Ѝ4tڿ4&Ji4 tA4fl\ʢyM9K7S';-[h!J6%rʧ:FзO:>~O !gYr6g~̑f@"["y].wH\"7\YAjeT5U}cUMUKũڪWuu/[5@/jT5SUjZVjڢߝکwgE>tڡv]j/Ƙm =EO%ttNl+mb-Vd% +[s?d+LO$z㍛ Dc1٘bL5Ҍit#ݘad3Ylci5G6r\f#Ϙo؍-BVcqz; Xj,3k=~hFk⽳4?T^>}_Hz(kYk;g;|bx[ ᑍD鬑G%o2azv/Դs뙡~DW2Hhg bALBuxt5ڼn†waM0/c}V:S7uKe~=cF(h27yyYu]R\x\#$WPS"yZdtHt_-X?:95cؕ ͖&@|!e;OnQϭ[t>&ժ#/>yQ'yq$e-񪸧w{~^a򒒁2v.Rhķ(oάĤv]{:q؜93rr;wm`>\;9ysrHnG]`7Ǿ(YW'w횜|u2&4ܵ[D˩e8[DTKz\N$6ɗ^M:% +LԃǞ;ȆľS7~nsXt[JǼ{+] ׭soj"5mf];o츭m_t(5k:nJ걱lH~'+j6iO-_\i틇kȄZ]:4CuGdkz~ߛvg^g٘'ogTOj!ݗ6։=68gFWsl츣5ƻ߽ǥ*ٽd&jL~&gTPǖmL^D fS2M^V4n⛹G=*Qaw[~WZyDQë~Z>1)ۺGcv]Ҟ8~l}ѓv5ao9z^]?X|kauq/3WN9G|9?mnm:Q\geZ}?&_-J;1ݢ~^feŦ~7x7dbMlxؾo~nw$cYT'xcV;/z}?Ӎ ?ex3߫ofGxUfӍ_=,!vsV7ߠ 7s߫/X[ +i˕D[j?28鑝0 + `tk7^EH$2^8k|rm}R->zܴdϲ#bT|Ԩrg +}JnaqF#\{ATxn'?3+Of1fcZƾ$wط !$2,eյ +2(n1Q\$$JBM,nu-~<={{|ѿ"lذ*ӊ>9m44b +ڮbU&UuגحI웧 upD_Ie<,W 5QnrtkR&zf޾ '8PHQщei]jVRHkeEt'fU$\/e u9䖕)o^eɝ} ۧ\԰t;|fK: xFM+âc_o1Cw#L 7t!vf +{g +6A#: +>=voǞh\ECC[u#dM:9S|= #9YkA̷"ʜ*Tl}J{~ؠ=c٦)kx4s-gw5k ,N:;]_4JJUy|Tg8gFA5ȅ!+ЩSOV%0;zOr3k%kK=r³qJZHVUp.Sܒ6H )`Nw2[r٪++ha^L1`ߒ6000{m6i{o#cL:> &Z^2X\?e&ތ5c + pFٞ1inm$t,-SoLDWf^#|),2ec&:%kk5Gތd2 +m^wCW,:Yzcĵ_#-dOUڔR3g{(yOmhM_FvhxQɴs0YUYdd{^I4dh6!ӛ8|\>QPAl ++c$X(8 Zmc ՐrFj/͏q a]PضQWʛsćQpg0&ϾZ )X}էR_~4|3i|:zAܘl8W5 S47:.u;RRܴhgy?2INwr\=ml/'zIL;Cyjǘ?NSPkS\mp,=ffcv&@ ,b)Pk\\O$?%WV⮺ n7iF9wƎZ id#Ha~ouHh65{:n!bj&K4 Z[!|=24mGP9niRK6L7b~ }we| wI[b1iza*59l~;  1,w46-.(=q^%$cW +[+']`W࿼L_/Kx'HC#Ē G1e{yt)$qK'ŜǑD`{uu(RcWjIDvpa^F>!FO808My90Z/0=`UD42w爜}^QmɔrC5ȤnYb?~3~nEZ?e\(1:{{纂'C}:_H;gqwǖ0ܻXRF깡 7ՄEыYeԟ[B!4HRʾqSH-M`np# &X6/+ľ4 h9.s$ӛQѷSmMv-E&BaA~ ɼقAN;Ӿy$#vy%~`o!ӁCs519[Y<"Id&5͖N5)('nTCZ1 9m(7 t뻿P-:'(7]nf]WW1ȩFfbFϕcB䅗V$e]yII0It\ Are+"Jĩx٧滎J%=E%^$cra8H½[=U#IW)]2ـsލ\ûxR[_Myd@2G 2b+WVR-FR*JB_106[̇V+􉥿)O~ì١b\_H+8grYsDw[Ū>YL-r~]vt3i"pSMTZA렄Z Fg_=_~z<򷫍%a |~x/5PT +'c%V,0ꉮCǥt,JNɝ _%KH;V3ۤΘ ٫m}`(MnN(!܋I27@qɛ\#^9$H0=' +endstream +endobj +1987 0 obj +<< +/Ordering (Identity) +/Registry (Adobe) +/Supplement 0 +>> +endobj +1988 0 obj +<< +/Ascent 1097 +/AvgWidth 490 +/CapHeight 783 +/Descent -205 +/Flags 32 +/FontBBox [-615 -205 1384 783] +/FontFile2 1996 0 R +/FontName /ABCDEE+Lucida#20Sans#20Unicode +/FontWeight 400 +/ItalicAngle 0 +/MaxWidth 1999 +/StemV 49 +/Type /FontDescriptor +/XHeight 250 +>> +endobj +1989 0 obj +<< +/Length 15339 +/Filter /FlateDecode +/Length1 203476 +>> +stream +x \WϩnVT@T6q0k2Mۉ&&LbdI:scHт,R(hтK۳fgҟf̼O{sixThT9ϩmŬ?ֳuF4z o?!ۤTʷ;2-@h o"Iv(wkE@Q?^z'ȵ]vG5vm{W}>rw儌(bo Ox|GU9!Q/%g]ތTPR,!I;wmْD뮷;^y 6vTEQ~Wvmߒx~M}uxww_4h;r0WߒD" $;rPӟ{<靎;#3(_H~k9n丳ZLf$FFHV#EF&9Ha/,hG38Fԑ"w~"AI GJBzrtSrlS)hy?6X~B桔a8HYJvv6-Sۖgnqɛ~ǃSCϒK׷/!Y~$@4n:1 햡{H$Τaq15x*H<1THۙPȇ%CMxV@BPv#X{. |0<!11ÏG-֡9 /b/^{=G{4C"Fs7;'z#x I8YY[Y*|B'ʧuhuX3s{f#o APwR @Ib),1ٳٱ1S#"DI*.~M#/I y7H0Ĵhvlb\|Tb؀ʕ~3|W-H䙟R"G8}z{1qG< +sܬY9s!}Á}#SHPv×D8J))"Oa_g1qc(--ߊ;?S.R84$ 15n t@qTӢ&{HOLH DM>-~Ab4|^IᅎTH.He&I.ǎXI?n7W'={[M%Tկt-X75&~{{%ǖ;@?ٌ7W. zņVtK0O}Ŗ_so)^}q~²O>x>8DaѼCn1ic_~yb%]8!&t)'E&ض'/S(<%EL;gh՛I܊>pG D?oꊉ7ӧ ٳe͚5oؽ{Œ%+lckؾE/lM[n/8FG +>LqpM$7y)Wꤤ<;?&fEGQ'`ڔKL>e)\k/_$%ٖ-[DG/C\1'&fΊsΛ:w^h5Fd =Cl5Ɵx ġB{؛>ab'xxURҪSV-[r,Srvtbys&N3ob=ޢN8BɸܥokޟEA?>9_P?O?|[6>į~D"ӯvl9GƷHL}MSzfgH:$Qؚq/ZjђW~i%F8Ma;60cMHA7u_e* NJ7E^o_Gwt@REKCGyy=GaMjEjJIQuǒ7,ێ1ёVtRpB2-vZi#4XIyb(9R_>YG"Y$ ɛ"Cav$ D2PQ$V޴ +%|lR_\E^xMMWbɻQ.Ht| lIſhi#+fXFv71g(dؑZEo{VO,dIrELILݵpk)q ^*@,ŴcEp^cQؓ/LC#|E9P3 $"8бFsoH~Z QTo_r-ȅ3VȖ8w@$$ +0*bk@}eW:20 ^Mt-z%E9^J$=R"br'ݕo=-;$.p@ @P*&y=@ !%@ @ @ @ @ @ P9GFXEדuQz}N}Ny/s eh<rK/>@x| u~snwn(s! I%4Eh'څGhz M=-6r-'j +AUEœ'>ܛ ZK,M,NE_&$uQc7yY*&/;=*vro'zՙ{1.N7~69cPܝyGG|RënZ}p=hg?EItN1(_(*JrݻNŸHN#WtK?>$}4q)xmu,p?!}hcΐI ?)}mv -v/C+[+zvF\}cm00n5G#P¿w61 Fx@[="D{p9T;ȼ~xc{q u|\P<8q?ǽeux097.~K`x3n×{=>w H:_BCp{n|?8p% oFS|_S y[8yr#~ory" +@a(teLlĠCQ.CP>*@D,*FP P):P9F䷓I~7%?L$qrJ6dӺf.zuK=uhTqM F_S*1n]#hv>e Z?}²ℾ[*?~}jԲGGGccL3gg,K?W~KR5L*ʧ +Ts5՞&KS4iLƧ i4s5͞.KW5L:Χ ts5ݾWWWW + U&`3 !Øaΰf3eLU&d3L!ӘiδfڳdY,U&b,!˘eβf)i1}>![d3l6-dl;#c0 2<#0FX{,G09l#s9NST:ѱ:^'Wg~~~~{,W2l.+s͹\{,O1yl'y<{? KU|&|c9ߚo/( +T- cZ`/* +UB- BcZh/)TE"-⋄҃A P8hh=hgeUaYgȚY+k/+UŚb-担bcZl?$;8:9biDS”%|Pb,1XK윌Sp*N193g쥲RETSʔ|Pj,5ZKeU5aP&-Siʘ2/ʌe2k\V(Wkʙr/ʍrkBVPUh* +* +ks=Jj2G٣QRVTUj*J*JkJVRUi*U*ks=ժjM5SVB\mj5M S5B\cjZM-SB\kWWg {?!002^x ,oͼu:MSuB\gez^=IIII${?)44zM=SB\o7 M6 B`mRRҜbNSBQըidFQh46&YIդib&Ih26MfYYլiffYh66ӲӊӪӚi4Z8#=:9Üag3333vA&(xAY +YEբiaZEh1[-Y,VhZ5L+ʷ +VsnAc` 7lm6EMƴm|fl3YdT4s9.mWkڙvoڍvkC֡Puh::kS֩Tuj:N:Nkېmʶd)#g2:c11Ɩ#͑(s9]1r,96T')ujVq:=Yu\i=;44RV*Y5eu,Ykb-XZ,/VźbX_l(6[m䇔ԇtCzZ)K%] W/1J,%6N9%洜8=gLJKRuTWʕK RK0wXOV+eL[+e2SV.-+r]9W/7- +iBYV* +}TaQQj<>=;55UJ+JuRWU+ JKJZ%RVU*J_e2UYlǤǔǴtǸczZ)j]5W6T-նiFYj}TcJkZuVWk ZK8w\OV+ 儍r^ɫy-9^xomu:yN]qu:CRgKrRk:=דJyR}R{Rw;?i8i:i9izmMz[AޠlP7ht \`j4NIOO)OOiONqdR6FQhh45ZmM&yIݤm5qM&Cdk6˛fmk7M͖fiiiiiiiV3333 RP ZA'p^0&"Z-eEۢkZ-SvVzV~VyV}V{Vw;'UݪmյrVCj3H rҠ6h :g bIm6uMƵ m6KwNOV+e]ۮkvS!w(;]ס0t:,NiS٩v:N}i鴝WWמםjRwit]\etٺne[ۭ݆nS#({=]ף1z,= =Y^mzM^Eo=c19=EB#3cƜ1GsP(BhS":-6mE!zTlJ頍3m>|u}AvQ{f{A{E{}{OPd[GPGdCԑQQQ11yvۢۙ nWHUd'SԙYYY99EuevtUtwt uMvuuGvӻEݙ=Cݓ=n=A==QOfOAO[MENN]:;tuBuBtէ44/nmlod$ͦ7-o47767 <6&%&$8'8&8HH^K^J&$$1ɨdD2, J$QI$K!IJR$ɒ$dM*q8K%ulq8C.NI}U"v;b;1J V1ѨhD4, D>Q(C.JEI} !p@/ +Ta0Y$'LB!_qB{%B+\h&4 wwV{[[[ěǛś# >AK)h Z͂FA@$ +-0*HB`.0 +Hr͏3ʧI|"|c>o7u|-&_ky^=W˫]UFЇsntW ݙHw(:H{FFi#amv6@ѲiY Z:-BK%h"Bs9h4; RS$>jUDRTՑ@QQTjMZPͩfTSer2@QPz)]NJ;LI$PD!Oem#wȽ.r'Fn!7"'lrAT2lM"[fdS1I6"Ⱥwb{cb;ccb[bCcbMccFzڱZ.R'Fj!5I zRTCbH D($H“p$ M2&!IF$CIMJ #R"H$8"&F#FDCQM"j5jDUQ11!>.6&rLuLULeŘ 1b >ADD$j 5˄jBpppPNp M$Dvv aB0AAP#y#42___ŗ'l+xp3i{ +W;+ǕJqŸ"\.  Åq8~=%1 `g)kKv[-c|l6=faX?/n`1y\L(&c0oqŸ`1kKz=ECAt:>Fg3鲲™y3H  C eUO>_ &KdٹDlnǹP1F@W黃H}h:pR|@8|t6pL}x!pGc>=q_ N'}@Nw7pʗ +9>oϝC?ts},{;K0j>i./ ++`x  +V1,\,4^^" N_`n~jqTXe\c^C8ܰx}Sگ|RvD'PK@#E04|>:Lc! "bP:l_&X!cV@O&ē0 (DF#04&> +J&C(;[n}ÑnQk_XGq hhh5@t&ȉR=.=+8JEJT.ixԲ޷J;&?*v3~ۤyufOatB\+U{f[ovAX ޢfYfsMK>aYg*6=_q.qfoWߙVt<*TBNWduܦ V,\ctJ܉"d<_%ߞl-im0F#X$AFBHQN66,k%S.]2LQ"e(rLXnz,~e8O+2X@xI(`˕8*O/8F)e nq-êzkN9pّ+nv+'s Qr꽾i /Ji m] +N^6(d-1Oyص|S}op?& 7y_v6kX%Xf픘um%Ջ.eW7Q~)⃈?oҖSH\2Ҭ:PtvNZ6jAZZ|2d +A2-G量d,t'(+QAO!"!<9e .dƀpdbvM(lն vsgez㵯&n7saYٞپ.}+D/Lڀf?/gi~ô ؊^FaG4\;[duM*lY'X;{K69vOU󯦯u:Sr!邟-U՜Mv3ל6z/o%"U±)ܻvnŗM; NbBbeW=r"n5;sPZpbe `HSUwwl>`yPNW`1k^,ֹz <//ϵ;1]8pt6/Uڠ>޽@EK{zHI"{tCYՁ{k6_Lc2fMSۂ + ։k'Ty$,! |Ç .cjЬ6Noɖ( 5"2V:ϧ+PA.ktݧF&kƶC6kX^] +f>r!)GXGfpQJ۶NeFt=z!{sٞ'"pMS?=-)\wyNTF2;H%)176=ߟ߽ĭL6nHy_NT06X]p.k;~Nhĕ/e^׆B#QFf.2kZJ|MfO LWRR1Sȓr_+Tih\$UiQ<}Ce #F%JN hScbo~m5Dp-j&lKyrЂ[!\yts ?M>͛ogХ,[NHi^YEA8綘lHµ}^q&@ pߤ]sZ\_:^YU~&_GQ2mmWفl~tf[vIkbB-3|~%v;3pDhڎk}аܕ83SJJzӁ;d%t[hPqLQ55JL;.(VTZiMԪVypɃgkSI-&ܱdغ]̲ RArQ `3z.35o]Y!$$5ޥ出_q^H8 [gcE܁ǛG 8'zt +endstream +endobj +1990 0 obj +<< +/Length 11855 +/Filter /FlateDecode +/Length1 26920 +>> +stream +x| |SsNnt_, -mZPЖmP&Mh$m)pTvʢMAD@QAE  "*̜6~}s2Ϝ96I !H/1lF[:x8˰_˸ⴌw.`6ĉKkޮ ۀ3]z}!:#mfp9Jk*U*SC呥Mc86Р1?4x,WH[p$}wCcFL&S-ɢz ά'M{ gNY-vk Oy}4fI [*GmksCR6n_J#C6H@lPw/͸l:䀜޳i^Nh(2])}GK-%\ Obu<t6+7r^9F)_-[?-@a7ŧ\QIR0tV%?nCc?(Cbt@ `!a!"c(v(N 1ߠ3tDB,b7tA]U ;p_!!;bOHp݄^!Q =S 1z~ QɈF̀ M"4~Ātϐ?A}s'@DYC!01r>RC.8C!!|0q ű88#bJqB'RkPc'8)0q*!Ů N 0QK&" Ɉ0 TTD܏h4jį5E4CtVлGA%ku`D3L0jgq6XBqX]__AF!;

͈(7\FX,,G|V naGJqFO"@<SNxq'E-a7<!/a,죸E| C<_ͮ3p [6ð8;_D^q}?È(x>? O(~ +oNy8xgp x\$ex.\S_SG>pW45;{u8: ?Ycğ( o' |x# +og7wxb+\r|q.1V>(Wy? +Rk9GܼO 7 QwUwUGq8GܼK +@O7#>1S;wUv&Gܼ"K`Ԯ>]|!\\|ͻO\|(Fcy_epDq.#dq.|'5-T#nWѧ#zjq. >/+zBy$Ԑ#nWY 2GܼKNDO#nWR< >]i|ͻ*BUPU>] +}NJl0w`KDG꿯HM-& @h/thInfVf隴urI=n]t1&:*2"<,4$CP`R!I%,rΙX&O!*-h=ʝ ^2{e.ce2m%@N+PqΓ*n3y|)85MDz7qqWcL9WZgh*(Gz;Tyv`7{Ρ*Nf@vءw B#U|"SP;Ǎ/-ȏ+KQ;< !d(<ϩl8#Qq;Շ @JZhd0UsK1)}̳%N} Ɲ#ty4.y)VTcmS2s6`YMQơԪQjD45yU)9TCTYP`dTRsUi;#r3)!wv;AmrCݦfD"NNǡ$*'Eς&].RƠEhd#d !*#]ъ#@]\}grW/).wE2EEElR4W*yETEdEsT]]1(e2L T+JRdg-,:렰sR씩0ΰB(,Jv i6Ǹv2cǒDX-+K]Ḇ +޻ݎ*h16f2LGc] P ܃p{a#Qw\N% )˛*ԧ<6lHTu Un@\̜ؗ-0L NLHB:w83g@\K&q*Cє3şS:)e粓T Ǻrvzcubo$\Ït6:f#ïhGHT|~ہ8Ö43ixif_e_HBݲc8N͇p9kf2SHy9lL^/k8eSY`2M˸&Ke5:Ek4cb,yi^b%l_ja-}UR&(;&g*L7t+PԐPȹl*\1,3)a Jexl +;Nri+Yl^O)H[NAA +xYHKYxF[΃f /y Ƌd3*aB{,0O2PnpP{Av6{^baO2MR!K6JHޕ+.m>#D9ZST+R@'FEѠ(W\AI,`"f;#ƌ_rv x2uYavcIvI[$9LOX1"!? ţ3"j~_/Un4#=ͬL.$/Z\A!kzƅ&ą5Jv# ;[V|5uNR@@`CnT  +`;FdGdȸ )dȘd:cJNf1突xH512- gpI)!~hGҮ>g8ZvײCeUhIFG\tv&a±F'$`ΈT0؉mtB}U +f$3R6joOIjV%0CC}qT IN`߃]DFE;]=߿Vھ?HY; +аs:АlC2çt Pe'Ѓ|ecd}] ~ g~'>;"#@ۙ)7n0S[7ܸѺtҺt) ;#뢙*y; ug-}ľbežc eľB2FyWB|mCnB?2[~3Z FJJ޳ʞ KW@rgCDؗ@FK= &"S!>bW0WBRP,@Zk"oӾ?3f9c6~xMc?,f/GxϾI]<ƻgAʨ@f.CǍ6lYlVM0Z̩`+2Vv:^ZbIFsq񜞷̼h +mF;7b֬ Z CUR\zva'= '=Zl*Yk25?0sjuFjnfǖ(vhmvat΁' UjkHE$v$fOE%)#5g-*86k( y{jJanM6KQsZMPc1-vXvY*9# XmhgiQJ>CyBZQV څ:xgo;1^0]]eZ F.DJM*Piqaj¢R@ mZ]un6cj V\k VFPsC&֫ѹmg[i4ҳjXk4 Xa@Ѭ{3tDz-ڡBKIF9^o$XYgEWaA@h*BݺSJ4Yku*(lb,o@N\@JF:n!U| +6jN05O5*M^{-Gٚ0\pj*P&Ba,UteYgLyf4MKסxaCEV) BLipP 8oks&ԉwFDV$4x uo6^焑tU6-JRE)j|_#J< +jіFjiF`бZF\/޻vFy9pOՠFC͛fy̤G$2 Vt?mބ9D**f͸5Qkxoi 'v=%-~X):jD/Jw{AwJ'A+EhyAvv'еvҾJҀAwӫx//h]E#ӊZ1 /6wMzZ80~di@߲9ŽJAC]hQ풞 +:qx5G-!G5枵zEA{d5V^=^VҘqMH=PԮ}'> jq5k;:\cDmz*ѩlbVKzSS +,bO/OZ^d]!r:R'f)m"ew4Y=} myGۦxSx[ڶN3Ɯ{*ĝW +O ݱgJ'2R}x.5avmME"!7PL9h#o*ӝ0Jh=}O kO D-ƝctpLnz- $y&׊z]kz61I R$qk뎖{{eR-mV43;[;YJWHR3ǹ-NMsYMC}kF},a[sS١b֭ikW}ZJCT^]7wi=kOje9}rg:f^yŝWiSZqr68 +*/-Oh"a4?ڠ?V1mݧFn닔RvwFlsXu~Ȗ<͎6o-m{W>'ij +qv秆4Q:N^RYiuGi3JpShS+z=ɲi&<eTBA"E8k z;^]CᶇpgS{F8c[fz1;}[FNyV[~SCX]> I*.کGhvoo[6 ^nk$'"MSM='d>o9L'|Nmt e{4ڿm=Lpu -ͳz [ "'=Bg`.)_"a͇?̋͒zd#72/'% ˒;HN2hrFdiKfF1y]ΐC vyjyFL◫V3[^l|Yg-"/hIbMi ˆ ;qŅ%ECnA&*#C.BJ&H鑚pr ē\ʧGhȰ"< V5M&>=Ġw]4d MG~_*~=Nuqum[?\xayk:Mk|/[+7F/~'w,KA?]}wCˎ9J={FGD #M$j5#1◲ݚZGF/et gTc2LƺIk4Zd<L^O *ySq. @[ +RӁ ^(!އJ37tD[XR<-+%=o;B2w.MmXмmGGt6/({eWEAYKo-t|EAqc?,ZVAVcfxQ;^\yH~xާ|=ϹnW&0(66A#1]y1N~Lυ12-3G"pdyi&E`ː5.if>_Y{]=9;4+$alm1jum8홮.ܻ?]?,SgW&k*hsq\ SRsQC߹FMzE[?۲oO⡕[Oʽhvx^?tm'Gƭ5Rr<_n]+髥Qr.[ +?^]if歨ˉ۟~u.1gG].;pB4V!y\3͐ l IO꽅`TkziZ[?gStZ~Q4V3./axLMyw\yqxɓoý+4pĞiOr;g2+뱬*|}uqS4.1yڇ*w^=BQ߾ZyPJ5W +[xdY+՛7]1Ň1Mn7Q_ޣf\te<s"Ke.E n>rM(hWKq51ǜXˍ +9]S~P|'}€K?+Z'slSs|]>^[[/ycfFu$.5Cgh{Z5~NĮя~/|f_^Ӫ1ԇ4~]=@*>g=b~fkLe[)H-cf;N>hlĔ. +$" ' IXHCzتLhSRPj;5ʃ! OXjpK"q"V. +maOsQwtH;$(Ї` +[AY9V1"o?-c eť3{zx[5au2%k_r_S-]eIq}AhB| +S^[Q1.!x`=cXƳ&٨1а ?u3crygcE;@߃!oá?0} 0B 0D }V0vl`YJZʑ-!|0]  \eć; u@˦}I17L'U_.vwܾ+VE]gs7ɒ#}K1ձ;y_fjk-F7M/x Ⱦ(vg78_1]B'?mꙙvQ=d!Iڢ]6`fhi2#rRYa?.3Ev߱9|y~܋$WA*[Ks8jx +%Sƅ.n:#|=QrIf\ )'3MPH9r;1Zi3ڗR&gi~_)P.k>CfaOSh!ԍ/ƌ@C.2ͽ#ImR̬k`Q&{G;-L.Z2B\T9z=?Vus_\'szqRvd3}7rHz?)ʦ ZFHk8c!W D F pò8vбM wĚ+zG[pnP'8#} FsEr#)7BwkR;S=}IIS-PWm7#( =Oo_+25Wm +endstream +endobj +1991 0 obj +<< +/Length 74761 +/Filter /FlateDecode +/Length1 270556 +>> +stream +x `E_U\9' LH8LNЈ JDEЀgXx.+ꪓـx~-UL2L &$o]_Mw HBILlą)N*)-żdo&MZqg8bfm_ҝX2ꔊʲY vNSqcXçV ϱy5c~ʹS+\2_1JTM[ki2@̭.sڞU@nuR_Byug/_'7!Ʌ! ,ܞuṫϾkWi0aآKW=& ȚAj|kh5.M`:}ލछϩԛ2U#?|ڥu NO@|<,_VB͂X>79n΅qKй_Saw,6#?#Y$GwF[ѷ{qccF27A:1:u+C7Κ,[`A 茶nBH Żgцo~\K]T#7SQT;U I)K2|3`چ5ע0C p@H(jhԟ bP ġƣ 5QP@8)THAqi`S;W::T'w - ' HG Y\a AC,ᐍ:Dr`j. G#hc`$XE̓Q8a40$:P `opxBZŨ_A Z +Qˠ@= : +QO"SC1P:Cpש0 uLF'_ p +j%a&LAt8 +_@5LCz΀gB%Y\L_PPktyPfgsXuR?%Ps Ka.?.|Cs]Oa%,F^ sPWRԋ.DpUjbu4¥7eF=p\z3\֠ނ~ B]WRw\ WkQamvhT?;Íz܌֢ ރ F֡~'Uߪu#\PQw>=T7܅ڄ>4uܫ}?`3׿Q[O[~ԭ\Owo ߹nP_PQGPGwp> MhQ߂aK˰+hE}lE}@} ooQ߆mvux?)i~Ϣ~ϡ~ ϫ.Eu'쁗P˨WP?«5U:? u DAx KxG}~@7>~ /71w/ ؍#AاmUOPEmWM1wҦ~TY'mOMަ_צM}G{yקMMӦ9MݼM Ԧ%tѦ6_6tѦ6=pBh[\F'A[. 7aRYBkN3\džL]zz$?HxB Aַ}7.s=QzS1\k9Yu|(dO,"q~v=_"I$L| o.~==dm{_^ [_}غǾάf MI:~Ȕ`A on\zh_dUu,]t ]D2|ký"]*J)b_A +~Dwfu Ѝ/ɰ+/"@uI&BMupq{ +kp\Wi`[CފU`}X,|k#ՂOr:6$`!*.@ [/ TTG x0x ,M.bDSub_x{, 3co}&qWP!-Po]Pbb֮.k􉾀!ƞ1eF`WCEk)gnjePJ__~={E}zC2]@ з.ăԡB 4o +c~*{^QZ1D_@">N_@DhoR _ؿ/@^(tݭ`MؐϘ@'!g@ oP! 7o"yށ/Sk Żu=PtlHODpȊ+E }L +yS2|Mc=t/@B0,v@ @ߺ$ +8Hռ)uUdxOv)ܿ*dp53&ZL X${+c 1cɯyC黎7󵾅vi&V`?L DpƜ"@%8ABxyS +|M<ޓ{s@-N`Q&]ǺCُW 5 +E_k)9o!o1~tg&Ri`A 1Z$]a1Eb_E{K#('ڤS"q=}@K59x34B{8`bJn:6jrK{H5C>~2kAЍF6#k]@ з."62R =91ak{;Fh)wJ!:MH$08<}o|}''x?IK1|l7hH5^lo\džXW뱛2v@ @ߺ$ +YaMaï:= ?FKXԣٵI$'E{O:֟'BbbÆ|"Z绎72t@pq`l\(MTؐqzh#6#a@ @zABa + aZ x\+Goecݎ!mz\<Ci-rs^Q˵c:&bOɾ =׵GFz8"@ @zAB\'̅Q̭Jg~ps|ML1GW7_r˃_;b J! ~AB"{~綕G0n9ʙLc2GSHzgͬ,tL<)qycG9bCPim)I bcQah%J`HFqgָLCYY j}Ը\ToVjoB˳ҥY-UɇCRQTZUY#1p +JiҢMjRwمKkJpsMa⺰C),s':7ĉGhb& +H,;YRNviӫJKRCܤxsEln|7ncw,fnPlo +j#8ΩrKl1ٸw:k}sSҤ +K66^^`Z]uiFYMcF< +^]]&W.Nػ_-Y-"%5xjR0c9%ŵE )Jce.HuVזؚA-.%?g&kv`=HH]{qs+~d +dne%r{cRRWZxF-5l9[mȰ:ƯkKj=K֯EY=ijYYb'C.lNr3<|0 mmxvohu}vRX9~)-?=sWIJ9ݘ%"rNF^X+55s8qV0[:V=>?=/WF ,gٍa~yXմa*R솙UݞBuۅ`y~x5j!e569ƚVaS:ЧSKkUzCjާcl;.g dDHb'Jh~0֨Li8>לfp\NJs+·c>ْkW c65kݕYPa9$lP6dRW1$ucyՍcy1+峖BI<lĠ qrh*,ÏӸx]dL|9jf['Kkm$:LMSX#,ƘcZIo#6,z3]˚nzg{lm͙ MƚGA&yh|C8iբ3ط(fmʤ6.yh-qg[nŖg%n3h_f&ϱ:-zL32g'Jlzf{,{f5>Em|4c["zSii)40MM~Xe0fY6S3][gQf*2e=k)iyE)wo7VgqǖCye;/դp.wQDոMˢʪVEW2Gl sMl>ꛪ!)‚؉1JHG;Hw/r?ؿڝ"jro.-Bb-Dl4vkWΌ_sfwf]:.bv2avM%M&QznSڼ6&^6/%40DnbIqI&:L{Lo7I"66&r&r7d+uE٤eB9˭qaqp$vť4ͯ5/b:w=Y4M {˞,i9UMs\u%\J%-뷯5jZMc5il,{Xl_\iUMf(F[hx,J.+G[e#,rGb`YC ,L(֕J|#u+ɲgdXYJhS=Vd\R~@;]M&.ao=޻,<)m0\8-vC,-Xk~J +9L-(<.fG=$ 'S,:.wI;x߆[fAwJ?VdxD;UH=yt.n,aw},!Ē<2AKɵvF>CIE+ n0~VLmߪ950,o.|g[`']H8—Bd&_Ƚd#3ل{y%WG߸HS"] +9xy+=݉{)Q^h)_anc9E)xs   26F~tߑ#Aumۚ6{ !~󕏥<= +o+}i1rI$4'!'c GXO#bPIrwiXJJ8:Y /!\ +~T 6g2ƓbƑM@?G҉dW3;{va,N4E>lA'2WjCYnl.rdίVeiӽہBi +.Išs*֋B܁۰-؊ +:m3`z?ܮ.u0ۃkKqX mrt+2P=ZA_<$ G0184B7oc-0/\0Ym&LZwLWP$ Txd2@)ϱ3R]b<k(hz|J{ dM7Z80%3 $Tz+am&HiO92r#"meA_,pYu5S0G]|ceoOGCO Rl<7s)_, yr>䡝OBy9,,|ZKtfA~~PP0zSn1[Gbrc$itnFݷ+YHi?}vgI-"^pXlk1Ъ~9xT1 7zc1K,ILhKZ=1|J\1Hr$"ff=}f>?xPGQHLq,AX\#xp皲rT1`䣦gK࣪9w{箳$Y$ \dߣB P +Fj +hE mMQQ>[W@רI”p8{ )==e\YR/.%%]$"KT$%\1f==2cD/.[*XZ؀ֱkōJۥeϊPM;C4OHiH0FOG+lT<2R& (+F[{fۻ٨ɢ"xIDG +ȐqI!@3Y +RY7k]OɕfLhav'9]_D!7>t|(F>MMMUWݺKEl4RTPjM-F8v3O=3{ݰ6o~7OJ3#a`9dKZ>_r7 ?-!CY2BHuF"ނD4AvO +2fw*%98ʖ8bn>"log S )K&e10b|QX\0S'|PԈ^%ArU5qm33vgl5iƟ[vci}^Л iF_ )v%(Ů`,ad C*X+$Hƈ^/\X PK*AXng{yyoILsO:z1H:v=~|fÆ[Ig:z +y C[+^^^Z -}+P-D׽GC]pAx!hS.K`VޒԾ$!MkA&DaªDlrԸzTU YR#H +μGnySuw˒ῼEw}:@omB^[|y?p 1VP0?+CeV(&Yl Z`2fۀeOg3F~1s7j0MR#$h'Eє]9:#2@8 8)]hܼJ!BʇX3`!qF$Zm Wq +"%JJTB"/w0q0yZD |U%cm+-oSEf + ]C'E֑"U0]Q շ 'NtUp3K1"=ҷHT]6&Oy8h``PА$9`@jУ,䞴=)7`IIbP.1-fEol9˿ָaoQ(l *l= jnX!vؖL#!rp +kQe- +EAjY  ۗGWۇ`C0#A,Ǭr0aHy-ڣ3`nXB,7zܤ(Ӆ;JsʪdۙL f؀ay[O;BP8e_!+"1*:)3M!&:/[ ;dQ3Fom4ދ?꫙U?yzƟ-eSF~MͯcG8 վW/}YS{^|1|Xr`01ϋDveA5/l^Pnx5;Y~UjCJAAEΓ˔,;AD$UcgB:#1e,t$+,TXȚJ$Ȉj_,Ic4 B+뺪XvEZ=+Zſ8[~}_}!U1{-nfѠtyd9T4䶓T7(KVd5/# f'f0CZb9U>h!y.sAbj` iiqMS)YM;D,ichJ +Hɦ;*3"k,D^Wwo^pm հ-/Aă=6|fmm/^x-[a6.>c̿/ǂ8㓡ʃ HS + 7 +'v"S< zBă+ZwEr gU!ӱEPC WZDv~iЮ;-I=`#7Ϥ.qV)unQ>+3~s_Msc"& +I9B׋ssnYe[/oP (.b +iSM +dg6=?収.G,k*pa,̸CBq63f %Kte d(E|*?X_ozߵl~םEgWMZ.wΛiDTӦ_$b:K$TdR G'7jz0TZė!9 5G>\i!-i"/c/R;o[^0ދvt䙩fN}u歳'ݾkeoxlnA5JXa"׷l5e;˯5Jj+QM93s٨qɰ遢J3OM囔zXCtX/v 50~mT+W5AwpNKL>K-}$-MrQ$Y׉.BkER~sbnᗊKz#A(9wp;G.~~{}(_Їԝ;MMR')A{T&2T B/!r +Im6H~`BPdI*i!!CHY9l6'6 +ǣ!;)f(d>.vjޑ.(_vD.C`; A}GTBt*}SdO.s!9$mcfr@zH(J'vww7YpXCD_}|0pN4f@d`ǍkIv{3f1 F=7<p( +{G(+6;*C2Ϛq)G(,,b9hC53(\- /.]B0YS0 nzHZ4Ɏ-0" K R#1UΪYhpŨYh9?x޻{|_xw>Ӽ#Q)PTq7_۪y(FӉ=H4cMk,pzFpH&*KSM 4XuzfNODzʍn953_&7{ow?q?~R;o!:[Ķሠ ԈQGSVLkYtnɢY?&3ÝEhm R-gJMڟ,ZϢ,ڑ%bgZ-'̌6-3Re}>g|y*<|(jBF9+K(^T*V.hנF[hIӚ"CLC\-fĕij &;w `[@M 4RS&5Rk");`'uHF f*ft)Hp6F iSz0P$5rI + Rk]$5NkyK`lA2_~A\`, ZgԬő}OZ +;:kEcM}ENGӮ.~ vuCI\8H,, +j[Ӗn?:sGxsv;jG/+B?Z6FׯoxlgnR |f 9J(]Iѫi֦6&94(9Dր`C>r@\ZK [f!Z+jK8NOmЬQ3]5RnkR0o4}|幠*cjE}HdFlEwUdV'Og-Famzny5ot½+'>[4~w  qp.u琉 =5a(4 ((z,(:T0` Dh%(]cq8{{S);6W`x|@c,kg˻axu_OoP~+A=/ekWR38PŁXJ!AfaAl +*@U` p†Ԃ$xBRA6dU +uOnGek 0p`ح,vцnG '{qߗ{{jowp_iI28}NY)){s$s'A\ 'Tk܅Z8ahns%W$YxUCU|?.R hc|5oM{pR$2}?dPLH)ySÂ0&͓̂@9`.|; +8Q"08< k<,0,:nt3^yX݊ՃoΟ2Ώ1hoN(nH~:jvNeSU9&]{]s[[tuوs6̙ӲZE DSd;Oc)BfV>KշShّ>{sW?3QUV=L|B5?^^GבOnPVYQaeg\~M2?L(jN~<^ 1H +ۄXUL=RVVBL&WUb?l>XSƩ@aPlg+mSz>쁱9i5UZw9h ?,XqR_/5/[qbn]]3oްVJ pFAo"i$THWӈagQЂhc"ٍ=e;G1``g{ AfR fy5W7Cbڔ6AVYۋim6?|͏.ݰvk-fuǝsqGoX;~sJP[]ding!Ku8GKp6p&C bZ= 綆8.*K ƒUd+Q4R*G"Gf^&X߱~xu7lCw>1'?]~`򥑧_{;ovh\KaᤍH`б,+tQ_v$14,noq[7;=8jP/nOJa6S&{kjxo4ujK7[uجÙ:{%x.`3XJCBUFb+z+ +Kaii CZ\5Wu+1#;AA-C"X B2I G"9 R (EdE#5F,j,_0y% 0Em1T'&>\޸C"Uט,FPn4!z,6|%j` +_Vs.3|؃;?3+=9Zwһqu] (x5 \}u+ fF(|>4 :DQ A@C( tS2dǐ'UU\ʰf鼌UOi'}&2I%wZgNa>i S]Ք-p[M:^)JMj{b_. sQyc7^6et^Yve Y1ef9)_0\\lk"j:El j +`+rk{>ϯ,m a +6 :D |5*b=ɤ~}LYK55ԀQ prǢ Gn9r5ի^1N82$'e<𷶗2zq  aVTyQ᠋4qdjOL׌djB9}8 6iyR/-km6b-o[5AXi_=oQѣ?p;'M O{~ˍL}lf ,0aŅ= +@fcX8A:_UB +R!B /J0Op#cfE`̋qK*^i_KQ5OkR^e^{dbm:kZڏs0bG0QTrG6!p/Q'N6 /}}8G2CZ]T ]:]}WqX(_8sll=/PL3(]Zv߬//1arg5~1,":*4&4Ka1JH1%k5[XSu%\"bqCcc"hL8q)&C<=GYĄqw<3k`~Od|L_7co }C9} 7V^P786ꖋbu#i[?w s|?`ǪfN?bZ1R7RLRDgjRBBգqfpm24 1.,aL` ۿio<|?4-7L7C',:(ȃI5Jy2Ϸ>0=Pp߹!ΨЅ?0u,eʆjs}lT,ǙuR軟zT;>L3;did@DJӕ4M=>lhRi.?RI(]CUJQY-P,+'Uݏv?g8S^Ӆ 6ğN8i,KS-t;t-*n~R̦+#S:֗%}՗?^MK}/ԗR_K}/t-Q_MOtqiLh/ޗO"4bX(,SkiN H{뾧=XCJ|3RW,=ޗ?R?1bqUTsOS2@*Q0,jJUG:(e##Vcb/2l6' }X +3ļ$e꤬cI1_HYT"blD$)uL,!Y)QR65^A +Qla$PXM$Lr(Q?𡐅,|(d}PC!  +9ʕ𡐅,| m?A#9c:1ؠ! y}34HN!y4IK.tEGm%6~Zcͪ@^VϪ)g6<Ն|'HCI:s!iyKjnGSM3RJkguɧޫׯ'ףZ_7t2eQ Rk,9p7zF] zm(cdݹs cM&'" +f%/)q8$;S\2vKQ_6Ny%k(BbCjf'o +h|xI9>D*W[uU?zyPgcRdVْJv{ +,{Bh XW˵Mh+Xqbc;Ͽxd#r5S ߈5Z]2nR<0⣂|GY tNjZzZlW9{ Siv=(B*$Fv 5=1Lq0vXvvk[onOԑ_9Jz~fJ( WY:^Ni6ꦕӧ8nn?+=[z!ƫT.j¨zHL{iFA^2qBF?d>ȵ"g$j4b hOBy߉> +q"Z2FVC_m[H:2[,s!3\CЗV<-GZžIY. +,MGg)xϼZH PmِraK!Ӥ|YLjA*|Eh7ysPZL;E>ZZ"^6#5^>B KaPo=}ʻk u6y.h̦b+^*DzT N"$WGБDcU_>O~^O'p\EW9ڄOҊv-]W۵nOc:UiZ˥::5ZYa/O,{^W۫y[֫KeZhdΦj6WC˲U˖!wQeՖpMg +f9K]2KQ ZSfnM!#%U+*YJqxƍW99N[۳tw٪]N{ λ}o9Fev&1y| j(/8ƎvK=68vlGtZq"YnF,ܦ.^ef>3iV^X=khV0 (6/;/Z2r3ӠyZ|hEY99*} +dkY9 dY9BYsdP|RH$Y}vqv~7cv~^q!nSaeaq좌T-0;$0swE>uvyjLj +WэŚxi8h?DTB)Wx }=ˋCG9=(6=zZתI7Z='{%!oFԶᑏceу#C/yیFt!Y?Ҝ>ިOfl;Vkχ-9ǣ_#SjmfH3E]>tfu3䟩?ܠ6@7u 䟫A\: E뢙N˄s䜏#r⑧qa4bʱ +b´/d3Zau׻a>}'7A~ +Q{ +>>|;ȟJycW+8\I~0ྒOu汕2b+zCYXbX"gbg)946+?9ʟ@M^KEARMERe1ly I,p ?GaqW̆ު)ST10ycfRN,l /f~UevOAtNbz?]tHxl>]ߠN\.Yl2C׻z]znvk3][i ) `a|c,7+]\JUd>/ϥk +ED ,_Y^ #`l$Kah638?Md l2¦4vnBךbVK>ŨX8eW*3,@Y(ZYܫQU6)[]t(*o++T&YjP-QW]>> Þ6P7UgՕtҫ0}~>Y/֗++oo?Um{/d2$4Ca<,Ca0TV513\02eL0jxTLc;Zau/G 4ʤ|2u֞Y§ș&x"Nn +~k4nJҷ>!]-{6 ^%|r̈UΌXJ)(M4l0nH0wk#~ o8^ontl|xきo<>ŏ+xg76MMtxo:'f=Q)=Ons+6?"vm~cis?&eqݖ^o-Z]wۦnKؖmֶmְyknz{ ۳l_}=_~fyjc;JvȎxk'jcBƹ%+7j|;#v윱slپ/F7YJ_$ +{n'yOwނ{W}Xس7}wۯߟK{<q`twӁ`c|͕ͫ7?|SqOMz)S?#Oԧ--ZnoYѲe_ˉifZx{C8tW>l=݇;k?¾H]vO(xYa3{&|{6ٙ'gGGyXz׎{T\h{W?TH.lFɷK~@򣒟?Qk&9|vE]RwDoސc!o1|y$2=ft!Lwa TքA#r"h8HeMLBΛx2]0̛YT͖qwE6EFEDw~+]ۣߎhQcŘ1'b~[f}̉${wŷ&ĢDBEž?91`@cG'#oƜ\<8sbȇC. nzXu ?|3#&#/҇ILA]P_3<%zwG|ͯa)ɋ7%7&Io9du)ZH'Ss_yK? ]|-R| +ҡhz#1$hh/hh?2]⻃PY :;q:t8=pƠNyZu8:`uXV: X:4M u_'u_'u_'u_'u['u['u[Z(Q1!S2+LP()>|!b^5[Zk5?hF+eg@mgAGA~y^ : z:>}[-K}g-T Zr@nP5.T`6Z@+AA? <(m=jûV]+﶐w,˅ܫܣ;x:\{[t=9.C4 Q'N  j"h4[M д9i@$-@$-@$-@$-@-@q(ZPs@q 0+>3|cgeE/_:(Zm@f2DYͬU"M+GZ"րHk@5 .DZ"րHk@5 i |"i JK(䥈8 -@AU 7tkG#m툶vD[;֎hkG#U+jge*Ì7@~w^quSѾS,^Fxޭw+Jxޭ+Jx^ +T3FK J[C^uϧ];uD צzD J%%Dɥ] QRBvX~Y_~N[f߮t])P +C :+uV@.u$[dLZaOJ<-$CNbYzD聟 +Tx=F4֍hykQGY(ZTe|5_FoD}Շ}eaJ8(Ń4P(45&OA/骉wiMc; 8g;'P]F'4%34~K&;;3q^u 4Y =kL3D<80֪f5rEM>zLK:ʗ mhsZhtZZM0ElA` +ez+1',7ޓA/e4ZsGGw!>R4P2hh#z=p5cϬ<+ +I'̮-U[X| +簡8 NX *A׃&ҊvIt"B:ᑡ*ګwCcl:Vz3e3ٓsQ}zx} +:Z#^Gi8V8ZGu-q<Ǚ.%mE;h9TL_eEb1o엾:Ԍ4x>r3׶A\ZZϒ;Pr)3n|3h :Ъ:,<y,EOSfX7KDsw=2|&tc(4vX2@Rg(;{%BP1hhYhY_puߛK*. SX\ЭA^.NZEomr8UZv6֭Ug0R3Xlȷ3tI9L]Urԩ\.DfwySp +y&t(Nx L{ ^^*A|4S]T<OuS]^x b *Ɓ"]@KaA#]*ZvrhHS_0r=; T:4 ڠWж%WrA~s\ Rho Ҽh.: Zf,p'!Z:wJ!A *ׂ#uއ\&j!f0ߺkG$F5J:0n7p"bbEn܂Xl ln$,kō8\ҁsIom CP%9  b%&kZzx:kBH"L#ƨt\|:u+hqu#hҊ~k 4axJk D] | >n3=mLh:F&t-fwZ#|\: _F|-"IՂVV10|;G9]( QY +F(#VG,I6:yxAu>)=)eлWa|.$C=t5A5U{ ;:8Nz[ecC@ٍNtM8`.7ǣR.4QLA=~ϻySRZOQcƓy\qf֠fjND͉l7/gBGX#EK1],$`~l{1wI)t[Nsa߅Өy?kRN;M vPzkޘtI +T:@lbS|gQҎv+C}gaȿE^3, dUpu/ߛV %jߛ};|k̻^󭳄L5?}1_+~/QO^\Z\kpU +V*Z\Lau׉k׉дVCjhZ Mub5uᒯ'c? F˿Oe}-^;k'{^wk ŏ2 =[ѳ;D,"ZKVIIđqEDDD#n/Ş( 掜7|'{ }74I!W}Gv:^겷;Z~ z/>Lxw#3^kHN6ſI )ECzA1iǘcL1&8I4rp=)/Da+C8˝LN,woM+X|VMڦMblBӄ&MlB C۶Mq1F0ȸ2b7UūʰZTƏE> +06!!!Ɛ[%Iv{;w߽{ι+ֈߡU~yVO +cX[M^¥ / +'&5h\1 \J)&qŒ +uXp}8HJ ?$}Xzq=Cq%%C& 䗸x'\F甜pjNM>==ERgg ܳܳ${{|{{s>E>ȽHqYKS\;N^pgH&w;O>ͽǽGQnčs$]'/sp'Ogr?%ϸWs?'yܯ_rs~K^~jGa+f^ͫI44" )ȗɗT>i|y $_$o?MI]n6_@3_גu>_x#!Go!(q#U٪l%ʣʣeRP ?Pv*;Ie%.bP&bTڔNbRW^$vgĩҧjU]U* bTJr^)rAU %D)+k|Dyr[՗ Q ՛d^-_ ԘLW\yM? :,Jbi #Z-h $3h"!]%dy(_w!l9:-D @)Aw37y6O g%|wUyeBh'Q۷<܊16456RA[ [e O"ti&GFMd% VVb +N}p>l#l3̱;yy,"PJBĘG] <Iq@H}7Ňb\~):P +e(`x+昲ᝠat: +nAzi֍M`l(6)6Ipv`>Ё92r A& +9= U 46u<|cmSIz%'LleVG11z ?H[GlgXXLj'of߹rb/PUߎr4p}-sx}¾ɾb_Ήẻg_y}'yӤoˬg_f_g߄zD=A6ofƿsRrbUIH|g?(6(^AQ+op(+({Os>[z DAoSqY'ѐrk+ń +W!(EU /rhpT'$`s,&$}p'ZPK}9`p5wJbfi}/ #W7rmD5g ? ]wA>;b%{#o׹H I_>ـVl˄~Z 귪$L:(ԟQg :G%_'*U/*ɪjyz/ERBUWI,k#j$^"k/V=>OmnUҪ{.HK!|90ld֡Y w$:/#2$0SK% K؛_RO %M *JP%)ym$Τ KK/"-¾PT=rHNr\'3d'K\%qi\&*:8+YQRޗ́|x_oė.7MS|4\/7B>/'{"|.p0 p}>7;?343iuup|5W}{v+? nҷ_w bp#pW}YG|j|:_)Zߖ_* Ez9cșD4rnt(K?ߟ?ۿY?迌6N6j|a{}QfEUepeE>_hُ]hv(}qoɾ43gϪQ'̒1xyn=j sf!!x B>D @Ȓ+ 6E(_BN,S@(vWb/PPt(-/m. +mr[{xuo5zm^x{~qywyKǧ(۟П+e`ay|*ל{:Z B!`cI(^%1KLQF9Hr{{!wѿ'.VWN^ YQWIz> NOɫ꟩ok-gdyL|&٫Lyj~l?Xk `30%S` m1h_JOI@݄?Z(96DZ<hpDBT3SX1X~Y&cXU@2wvI;V <%%o`eў|Ayi/`1XdNUzeM.C&iz5 O}Y=K?d]'S[M)kXn@Z&3Ym>Mo_PZ$ִ&YW?,D[:" E! 5Y6CD/Y+.C,ʴLCѐ͐r-[DaR3d[k5n3XV8`(Cߋ6qPw/vS.qưjkpA~YKL3Y*O,?>.v^, f3 +Iׇ炙S!tw{3l1;rv'S J{6CuHY!bga;ϙU; uV?`½:27; 7w8 Q2b.qD,ho?/Z/NXq?i]t̬лú9-[\C9YhH2D9 wzZ˙%9K%~9vtG]ݥ6m$ubn8ڭs/Y;Z]FE!gUkaw3PGBEG.Yh\}Yg\kp6S!y1|'͘}ҩ16iNCLCZc~n>-ϢG (on[u@Y($ꎗP޸{l v`LwtrV*;(o,UB.RNkqwMIc5F!mٸչaa ]qgk)e#]MtbI؃󨱝5$BAz[\`ܩQQ9mzrZﳭu5^1&-Ul1NBX[[qΖ 8assxx>l84l\mt6;xۚi@$iJަ8m[EbF + 9۶:Pa7M9 `OV&zFSs-4nkuime-frQFZk":eZg6gkH]gۇ83`WGy6s9w9Ϻx"S=w=BL3`ZEc>[a./.vlo%7[C#^[[o0?w;zim1%"W abIe[frFrm&u<ѱ V]؝6;z+LKZXQ~ 8fh@R(/F[4~ڴZX:&MɆfqi툘l*C%Nq޴ͦM6+u:Bo"l2v + %R^yY9%/bLbsř*m54R֚j̃bi/ƢxvPfH~TJ5\k*k+-ü9e[KL:CtQv3v VG2tVM-MmΛ%W,yo)ڈEh#Zlǻ*Vh#Uz:\;9]1 a4иO滎CA}G{B'- +I K~u0oZ8ۖnkDl:N[2;kqV,B܆k1viL`guڏf+f?\Ƴ\lww9h0|1-= +Zh4=1}yqߓjm*d0ݴld<98@ +"ƲpÙbѱӱl腫TbMt˴5Z4t/]{L]=XUN#,aOA ۓ= e\u.Ɉ{3+l=Վ<{.t&le VR[BlgmѻKoH0# f!e A]f0 ۋ\稿 zw{bZ۷4>S4+\WMz3&kP`tMHt9=85iHe7͙)^=Ӓi޵`(41jCІ~5u6S%r" :^]l1<ýieF}C7"*Cm-yzU0{io95uI0.UiD$0YkM6ڍiwZcN7),}z}Q">˼hSjN戎]Z+%PskV3ݍ^]'bS!g]1 ;Z>;5I)ۑ!WO1Lӱ(ﭧ|YzGmX+ָ2ByS.tx|Y2oug+yG)_٘ ݅ٽiد|<~#5.݈'9<^cQL5z.)gd5%_G/T{WI" I6 rC$f\oq2rxE2A!?'M~A! 8 os\ qqppȯê¾I~f ?V] "n{*la*/V~Y^PSmWWCQ+ ;1rFՑߋl㕑y:HgeS#?\xёё|c*Zk㢟u?ͷt1QgqG eO)?PK-A;U쌗H!ĒD!Lb!IH<@(Ra;aP% +uB %"^#|DQDY8~>%70~3(/_"$/#%_<|맡TF1%ϠOd;/J*֏OOߪWPPA% B&\aI(J@ BP){zဠZ6CMp!^|€0$ ~aJf;xg\ vKN#gWEa!JljųpI ) k(h(l(n6v ٤GYa.%V>ALp0e_ 8y#$?I + !eyy+}%n1vg2hs=(1жA #+{LI ^4ک`e {N#uDim`}A"Cꌾ:5 hS֍v:>j3qQ\^xIu+ IdzFATnxTʅ>h+ߗ%MaS)*TGB el?V9j.hT)6dݷBrV@JM MIsig]F~]fVجo<خةҨmm> 38$V'B1q(tNc(d?}bKhyX`eğb#Je[G+翐XB}+\r^]Ak+ +*}w.ou:6KwJ6 su\\7ݤaNX?ym,O7'EAr֧-э=v-իݦ|W(9iuӏh{4&Vnti+u ~?8vmK;odzx;d{|9gmla18 +\OAB4 & }e\B byZXk\c1q#.|R" E|!5;if6M};|;wsϽݗŢv|QL,z'/ +}}wlGlG+Q)R^K7wB c;$3l_a;$vH~IwHQtD@wH;$dCx(výtο@y^ǀc1q\vt:F 3p\s,8+˱j G==h0 +(agîݎmӰؐhr45X6T:xFm(RHxnr%b[.wh?A+7o4h(_AN\o-ϻD߽H|^pQ 5c!X6F9@h'ߥK"pWh_PKb|@' ܝAZɈ6!mF@[PгodgE( +=ڀh(|9ځX^`}u5)v\tu]׀5r2/])׌kx eGkŵ^SQ(w->(w]n~Rq# 0 |%%GH&je =~7Z):|_X)@Q X3N+:lov? {>WxρQ__c]FAK0_z0^ $Ľ +)њoݻ`~էmghn-XIӜTa/ߑP}}=P`|s_[.kE mP;akbjs9Lw;ŝvgMK\#n+Hu|w֤} J6tpr-_t lTZw]֚PO4|M) PBV5nNqCsкYq]\zyơ'SVPH5>.pߪ|8֣s-{w=<3f%L:>l2=dw Lwݧc]#OV:kX]Ђ77> +F`}۽h珻y.ך]`%y'QwAO"'ٓp!Glly,eƽP9I}SᱱYFlLۧ5?~8Nf|we,+5h +t>m^{֓=Ost6R_7~t},0#kfō%~47jqPqTo =^U5wyb~6uƟT_mOM𵷨F>(\T{F&js~j/H(W{1];K_I"ӷBCB7K.?6QBd@* ER`ԋM"xQ !AD  C"d `0!1ˢ̡7\zᛏȪa"}G1xk5YLZ3P i,ga /-?׿4%HjhƣiEw4P?Gۿφ~:3d'(UD{6=))rS$?oyaM3~@*D~;y mC)^DwKtQYoDu{AُHt~гwT&o{;IӢqHMCsS(6tWP\B/Nݸn%l>Mϡ]7 >[(}! ڃ^/P:īK_M7h-Pl}!"kQ.š5͈<͈7#V6  +W$W$vYط$`ߒ(/K%T??OO2DoJXqAo4:{q/"} Kxr|A_gYT +>ϡJ|G_CxU xA5"?:5CCT{ ''E#))r]u33̾v6E څ Y<MMעw|Y)ge}.wxiJdH9&q)!9/@PnP[2e^ j\&/r׃L@&I +~KR˘2`z 22gezW8 RTqКP)Lj}JH}Pl8* ZRRG0RoH}3~OONhX^@K}1~ԗXO/İ~{{z/*r_ 7"?FH&W}5h˯ +媃~Or-^&hۮmrAS29LkA &Wľ`rua7/]6vB8HVo^{{>>"d #{HI8RC_N.{H@q(_!YNǖG>(YgqZyLY&klK[4E:[؛lm-Eyc|}oysZ7;O|"7Z4%:-9|gK%4? +iJv^{][RWWxc?,(\F7!Wyծbsjy{^WΫI{:bh֗/q+~>>{%1=EGM3.3Ol9:o# =+pR9F\^~SsweG96M=^vѻ~MWMcPHj*iϐ~H#7P y}JRKG9l,7/m*`_p l|67e6ھC-[k˵h*Rh Huj 0!XBEwF2Dȣoz'Ü+ +La$Pt~8“ovɊF̨x3 0j\p.C+ˌr5&=M˟ɏxΖ˯s.4($fkF@u&s9?>sXzxRQcs"Y޽v,`5(r8S~^@)2n$̋bD` [mۈhL[d-Z6?/%В$t++9o$wVΪ pߠ0 1ZbI4* ƇuoT߫9ǭqCZv-b>J_>]=+@<#5϶=leL"kl54ٍUt[T]5Rr,~o8_8_:jVm.8y\}-;625~e+2pUQjhN_h۶ƺQcmVd*CL!;>mkؒslc} h)߶ 4CtA=ۈ=x6eY\5FkV]paOM<4hLYٍٍ66Us+urPu??,^gv^nںgg\N7.o-31-Բxc}6OzȬ-vcg,V+k Cq8O%?pOkӶwm&jj헠^C.)[Q:M}o+GR[jm-vq9Pyhy$]UW:kRxvr_/J >4&kCi@2^8E.C-4~ؕkK]tYyv!G\gA^]|@+;mK@t%'[ +Y@k$\%*kUHGwlVVr=e.0 PwbrH5t2DW2 wYlA!2wd>pjLKCƠ/9`z#6z =zD2/ݽϳޑw(X[Iv1P)~?.P*F :$)j7q9%lmd86PQrSqiu>>Qr8 +5ܫm>RݭVͪ"IVըjaCժNTWΪ,UT}.H +)&cI]FuU5KQa2u ]ußKJW-`P5 gC|`*F5CUPbѲjor^ի񪂹B5ϡT>^IjT(a(!9}e2i%̡IH뤾r+۔pǪU$_*FYCj7ƨJTVFf%)tN2Ay[HUFׁtQefGk?9Œr@⠌s;JI+(++n*;p-Q*!8׎fhW^{}1#QHFQIM8 )e&a*@eDgI[zS{ZPзД1\Țj@LJIm(z.+~K`=HiS +&%: ^Hn3G$ &c֦8-ɕD\YzTI)HO:!5LaRTs=d @"Aϟ^&9XD"Nv 0ȲB-Is*HOn("ۂϓ +<,;%1E8B$dLR!x$9p ;'aD{0xLw%HĒ8TN`Xvc]&=>[AB4sԦ1H˳k֣uzc])gP]pJߓ6{m6P:(Qܬ}'tyJx|7&YoR<,ߠO9cK ; N&XR-yb\!ğ=)/H3 ޸^&K|u,"`~qO3׋Ond+ yħEʒSpMV+rm(VǞnbꙷ[Y30o1Yf{"r~Rѧc1<2o  a#:ZcD <]Q~rZEDYpp pRd,ap#m}y/޳ +Ý* 6Zߤ#F_2wl6" ]Y V1^>wt e-2^y25Hjm5qW#oDCb;m{m+*ٚۨ'd% ڊY_XyokNu e+MlR|t6\:TF%@<^c?J1Mrllara3SA8'?&#HD'] Y)w3>) w7 +w6 νe#G}c%űa4π/e+A(BB~ zzB {T޳zTVg͠ c %?~?^m/Y_? w} ,=SAL ]\ArOCk`uQ&a< D$8D-q!/m1) Ed-|ǔT8b) #Eӣ‘¢KyB( 닯ԅON +L7$6*~)F⛅C¶{^x\<+/P8Q8P)zmxp慞⇅w +ۅ㲐+BB( +yׇOH{ cÅz~I=z/B 6:\E""_)>wŌv?*\e,S +ݵBEzkKhvDsXs9oN1'q8TsƎm633嵙v,uNXdNɃ@ȯ- 4$5ů-q6.wtbͥl  +M;~8oeo%ƞT#V;Ͻb\˽; HY;F>\*MS2l L!6K@ט 4ů#z9B-'X[83f\0r/ƌm]l%&5r֌1±5~- oߐ#R!5"?3HΒYe-ѵ<>l] 3`Ŕ`gJ2M)|Sb2L&TeGyQo4gVIStg:a>c:e>g:m`7_45_1yd$<`:ܰ1\i*773.j-(1ۙ^мԦ8cyWWpǐEϧuZE@Yh3Ua,δ3YḀ+X3~ X6lDn:`1މХ<%b8g\<y8ݏH|>6b4b$#P䕬-<(M)6J} )ڢ"lgFů8iƵ6̦YJ2wŸQ/hG=0N2Xyn]q7ik٫mp%!(eqr9ȜJ٫ y>;z3W[Anh_ +wFRnh@#Kɯ]mizH̭@ +npC ܲeb-`1_&#V3^ymo!*˼7lo|v~xLxv>2 +uRRdRkAJ$ ôti׶ G&qi0D> Z;+m3.£Y]O[{{#4 gd0 +qxYAu&즟4;xG,kj?XҌa]iNI;fO;v2/HX<I;v!JXdFHZatbl]H? +!"ќ֓v}OHI{jP=ޣ4NUHI}Y>=7_"[~3F' Oa#?b g)_<=V2Mv3&2g?ׅcv|x-,LdӓIpbUVPҷvwޣ2/*cz=4T2Nsv6p:ÿι9m!IcB + MhKH +YMbEz[Ԫ&++Y$Mޒ$^z{~wss*'JLFl4G$XZ");n_#/; +7D4"͑IjhφDNjam|mΚ-  _A_\Iq b#VdbCC)и#Ofr/qBuÛͰ:L v_eQT-KsPcsB}f.D;I{@> ] wƩ<]B`ؐ^ )# Q[`ؕ4ȭ\7zȇFy?m Зq942ό^?p֜5<yA_+>bjcjҒeMb<' , IhẐ7aa6B o1:MymWQ#_8qVK[FI8̽FS=az^4:Cd&%-@ލN'~adj\J*{+wq $q; u<65=pvNex&#'_bNȰX.MO=OKgi}Ր x JYPn߄|HſFfG&˻U;"?1# 幆9>d.dk8Sٛhj0<J>;e<7my߾Q +SSb_U#oR"ϔ4~8bƐ#VH!$ rI LoC\ {829jտ<Nwg5%=S׽ن/AK =ǠEyQE%Nfݥ?IhO\Fw,"CUhr,V fz WC'T'&hDs)į PpٰkCr ha?} e#'6o)ШD@ȝ!9ׇDauTN#?͹KNE}-4B_=z?Yi!'8_Ο>w/AK =ǠEyQEcZ2z֔ HhUBG"o tΐkCNR[hƥyBI;'As, F蕍ܑ8OR|-N/cBb\\,Cf$z&LkO1 9~쿆 uЁ-8zz B^p2d,dFє ߎ +ND3΄6W@rBEs9Xs# toCsl`m4͠-o|MG&0w%})SB@>Hh*yBAзByMa;bn7,1x| M"*F\yV?yLC.-!+(e +M+C{< N= +оY"rVF̣hX>Ĝ+hh"> QGr\D3Je{0\ܙжhE𮩓 SY=Ф+ɺvwNFV}\Yn{Fp5B^#՟3ANe6PwIp +4ː'zz6^'KޤZ BX +.. E-smEEȋ(ntX_[l"ZNڀhrm Z꫈PTRlC^-x# F?uVd+aV;'.vrK Swy7zz.04AWd__Zews%I7*תЛl[ y@Is=x锚КĜ4> x"4sI|<4k?#3#w"dJu[>o"h +^y1)kk_waոf䮄vBNGf]mjJl~_֫XO~Ҭl?xVk34N>{qA>`ef g^Rd=ˍy8 HksA# Jm#4 Dkۼ1AђZ(C y.ceդx3~GY}[ +a/}ȕe}r͵3$^ PL" N"-|isNQ_l{#ۄR!|NǷZG'~8bM$[O*z[_8GBпf?d Jo5cbɽ>sO2撺a/"c8"zoƊ"7 "1\M{^]GNK|Z0-Zޓv,b1YdZj5j_4c99 n"AϛÔ%K:nƁ\d9AQX ֎Y=rR\HsXkes<⼎RM +cPGlmEBu ]ck| D(?z܈.9 an4FN %rLIXOgl-?yQB5+ =)v>|,4-A?pV:Ճu"Nևә83)I[F买8}᭤^5QWHVaND;oޏj2afH\S%tjbPW'rF7R/\k 21K4`+ +⓶p<@̪)$ov8G:þ e8o`!v@HiNqԶG6QΊ#GGQ9+-r~]O 0ENQ(xru?mc1k߳m|-Gَ ܧ +f1~3~֥=e+#`KƮ)ix˜@юĩd=lCq rb?ؾjKw|uvW1O0rmhfrm+r OՏ<$"a +Mh.8&.kDb:WT_.M.e0W#܋k,{9p&s$=U!zٽ`BO /u$`egr@i4PL:}wg t r%rD'K]Gpop){<OT<1V59q^w]ZZ,\i 2\ õv!AnC_W/ 5u @ N0(V9;$;cGVYe R8N +b"WD eߋouk%w' %b.6odIIЍ0tu=qbX0 p0!FÈk8׻[ڧ +GbE(s4$g >D)SR]Cii# O}$ɯ}KddtNfH+}}+fD īҧL=ɬ',&BOi!n`C~8In4,&g=0z8h|4?GBWj^e,w?r|ȭCz#?U%e?aY" 98&iaA^ rb ;ieer5g:4-0|D_l<2[@n$EÛD?y B%oF/{2$F}~ʪ+3?J,DRwYf5 +V`rO\Ί`q%Uc)32QPG'} _mٯƆd.q)* KMZbe"GU7C)ALd /Vn%+쇿`$oq)lj6===Ԡ +;Cz6cBc|:T:SV6ojH|Okq|}k[gKIt/(B9;Rr󴽜q~4B΍rۘ-d.zBcoz''x ?'NyQx-5!TSbU^B a;X\=DhaRqn}ȏ: < g-|5iC ^!X1m*o WfsBIvGL&v7r|}Neh[ZO}_bqCrƴ ;1*9OA;L(z_V픺6K_RRT)TJo*7қJJSRT)=8.awsnxvHⰻa_ hjʉPF<(l#Nv5*k.9gN)f1̥>mfth-ί OI} 9Uސ=&wD݄\f}jg.\{aQ1mZ&-ǵɏ#3Q9ڥwO{̳+(!m̱3h5ӱ$P&Յ_6.mޡG([v$mԔuk[ c ϵmkaTԤ>tfOJҵ(rKU;/4ƾkw BVڶ\7#Յzh$0g| ]fF.a-a}X,̅Y vQ4f]R +&o>t2H(䬌Q~]6?:ԩ! T+?vSW+yfP#zY_%MfƹK=6!*P6TU{UETu3?4RY`rPU' 6E5Vqa*3 dVpUwm1Vդ:|>B~X83fOUY]o\*f}Uݯ*j&RpTP ւ `Sf̘[0(8N0l< po,(Kr7p;?1b(<Prfi*J +_UHseS݌qV3F53c^n3cRK3V6v33uٙ75#Cq/ k\W6nk+9*L=3]ejNzU*;*_*]3ekW$k_~j3nϕ+fW5@uJi5-s\sRN-prNNw qF9E\yYlt:;}asֹn[խf ݦn+Nv"wpcn{^+Utнt_=X Ao;^}PeWK2^:yݽM|Մ㵵J|_B9ɝ"mƅxt%c0W-8|Iej]VwpyUu7*oZޢuyM]vιwMdk+]Ʈuvխyn6۲nv={^dϾo=;9U~~Isoߛ~~ygУm=79=&s{Fczx E+ 5ǞkkosT chk{xP-RJZu3+*N;Q%ݬ"4:d.m7{fyoZm/QvGWG)uN]4JGGVD2țWG2F+WF*Ցw/VD6Vq\qedUq\y^lZebqEd Ǖs\quC{Udk+"9|qUdՑMG"ss̿BϜ=-BC\ R%z$a=bqx$XD]둨D=둨o= Ghz$Z?6KPguI#dhz$b=MVDXDV^m=f=F<n=z$f[L:h b?њjF)i4#K/_nR?ȩ + =R?H#7iziz#MBz$+ȭxifGCiz6<"H#B[L3bچiz&732Hepfk%#D5s2j?HW>oVlW(͎F7=4;:oo(͎}$l_\3O/όcjZ쳊J+R")H`EJ*R:|)I#NHt(])G_THEъ}Uc9:^9:S}S92}i"O4]hIfuYsnku5´Ejurn41FjCXObwFӻ"jF9H盔LhktrN9{Nre-%?Y鞷)KJ;[< 9W~k Gsғ/{~^~-5e箔HμΝo-49zd0ٔw{]f#h_f3 +Y5lrS넲4#oKjJbOm/OCzS\fs'vi3>Q/)$/׌kl?ٗ|V޴ _Av$SIypI#\U^dɝS烩nB,iR1zUr+\۹ܯ9U*N9H^T9UTJY^TGJ+c;O|,9OԦ5~' ״ ][7ЍtT7zz^WU/5~[[vޣ?՟CKc\ǟTN;~G{Q1?/i?ٟO ?˟B_/[_wM2O:AڠFP˔;#duzAa8hd͂E:h c$M~=ƂXR,5V5V=V#V;vC^AaqqR]gwv +" ID\WDDDDDDX\`QD (%%Iΰ(ET{izןo7]uSqs*8gNmSi$9q}Z/U}]пۮt}ߍu\psn)[έ~Nwgrwnwwrimb-|+``Ϻ$Vq.g=d=DV>>X.)`}d}DqV_/݁@R'l Kw\#)eY(5ɚD9ħRWW{j}ڐIϟOssp~p> . .4bIٓ49Gk^>aECٻ0';4=( 4vJ9SS>s9h @u8Uh$xS&2Ԥi+D]*Q`hHSX-hiE1}S9,f+ +5?.n-kG}վabKW9?]c M%ωu'v9"NIaQqIiYyESǩ4p9N}Re}SrulnMpKݲny;՝uKݕw3|;A]B-( +nCPv,T;ՎjYA5م:g:g:::C:: u u |7t9?t4 4404hnhܢŠCsš%@ KB >5, 5|jXjQa9cPPǡO@ +B V>5 5|jXj ԰*Ya"9a5PP5/B kkh/CjC^Ձ + z U:> (W(כPP\SSIЩ&ЩdTST3TsT TKT+TkTT[T;ЩT{TTG(T*TTһPP>]>C}B}A}C}z@}zB}>| 1ԧ>IOT=^DKlbSusgqƚX>|eS!|8ρG0l!~ kϜC Y+ZAfGĄ=#_7BܟYR>b3wݎ?B=9֏q|xx5yi9X%.\fu9֐9*|~pˁq6eYf+Zl)38x;xy*u^q3֕DE|\+W">\ը&p\jB.3|M/I( ON.]E5)o +C{Dji@I6Y26q>=+3 gF)UH΄?uE +g ۅ]lQ#j P$+8.8޼48&b>s^>:po7ݟ__\1B @r%U +XY9Q\U&S_ riެɉ5hdD^W$Fmu}n [OIWGc_h=X#(A:]z6o\ZToOՌ ~!Fh)Vd( r'fsr<1X&urw-5e|$PuV.}UuSUS}'I =UOr?ןzgzzqz'z^zVYޅu(jJ*1sM>XV"Umz99!rȁω.pȍpEy )/H>\qE- +TP#Qa\{pb1݋+ULl~OqZ1q1qE"SUzGuR搜tYRëKf a`w`떺nfnЭ(RtݑomNM)=u`޾ͦeW޻#i:G;A?ӯm8m_ˡ,pEvݕCw])yݢ(?GE?ZbNЉ;1^L1,nH,t7R_ubJ!bثv8$c8c<9V+ŰͬY\ߖ-ЈSjŠ\Q{:R7zzp(Oci_4k= Di =E{8T}$)[vs"[Oj/^[jm^e#bUՅeՇe"75yDJD>j" kWb "ڈV gl'F"Fq^L$&{ uSTz_Lө)fR71[̦bK=2'B@,fŖ>*#ֈ5WkX/b>. =4=B._(F(}*8)Nx11|C+@cN*I5QɪjD+pC+P^x޷E6j:DiZ֪jکUj: D'ԏq]A?+'u%U3~V't5_5􋺦~I/]G⺄~@R!]Z?GtY._z#/=ըJkWua}.AhWguS;t.}έvw. Og%()朳ɜw'KRQORUǪ@5H VC'j*]ThLQjijfjjZjZejZV5jRUS5YMԒ󟤮h֩/uY}6mjڨ]j:NS:.uM~Qj^mV[vCT{~OPZRߨ:Ψs[u^]T ~Vo1:VmUzsQL@_< +e)G9<5)5yjRjԤ"II%SPPQC=*4Ye(V,ՠ,ϣΪ.E< rԀʼ y *S *2ڢ(B8u8BqBq^CW:+hVgbYƱ j z  j J555@R`%j%j %j%j %j%z5l"mۨ!vPG* + +`z + + }(TW(T7(TwՇթG؃`G'R!Q8aƋ>|8_cYq7[8tK=Cϝإk؋W3gOg?˞lx! +5േ~m֌m<5yM[3uTq(m}*;ΡNPI{s8*r+g_9cֶDNoƱ 2_湞|yP^\K.kƨ='?s2YO+XeL<ی0WdTyWAӲR +ϵCYM܎JuxiY.7}Cz5+̆uRs .J}V\c-9 +q{}p=gV&Dʏ=s +VӶStn;SzʛzSoJ*݃QL+QKTf;;Гȭr{ +UFn"J$$`:F~D| pigl3+S8OTQTE}|#[G<78MZ>~ c0spnf6(=)K2Sh D N.#PrM4C @Ɓ|,R!C'Ur(+LIᬻ7%8\.G)GI0 $cJz3X?P7 4dlh(+ 4b6ZĘh$̘h4hhNSĩuRdgsȹ***ӸzT6{ 4ԝP)Xxly& L43@0= 0 0 3 3 O|a +ffaf8`{`FaI0#3Ì3h3X0c=8003L33D0=$0L0L3| 0_x)`a +ffif:`{`faf ffYf6`f{9`怙a悙 ffyf>`{`YaYfYfEf1`{%`YaY +fYfef9`{`VYaVY fY f-fu`y`փYa6ff#+0_l &f0=0[l0lN0;=.00^0{=>00s&L9 k0_s! o|a9 氇9#(`zc`9a9渇9$`NzS`N9aN9 洇9 3,`zs`΁9PPQq FCRB3ӢSnrEH^T3OJ_3sr}:[Օ3S.FWݕ$J-_' +|sߊp45=C|-bQ]I9^N\'apʿP.$[.Kg5cu#q5zdVGzg[Ҽao>FJ3t=זijΚ/aʼi(<5f ܋+'fǍ `#9&\,C.6r# rqc1bUSE/&^/4W[P bxKD!4$>L_ ʢ M\ $EZ#3uƋb'uDWOj/VtmBDʬdDx_sGLᙨ4j*-svS3A}5k]:/H̪[lf92GüDg!lf2ˡPH|8 i4G#fH3p8 i_b?/pVRKVmdh]ͪ#цUIb +eb Iq׌ydAY4JV4dMY{d3Fvew[V:'rfWpI~8.ʋ)o[>VlV.+U*fXJVUU˪k5V;+b2 FYc kZeXauG>%JEJ) 8888ITIg   888 8888 88888 pp3p p;pp'pp7pp/pp?0x5aQ1q I)iY9>#تQX:>(}Q&M̀@ +=GHefDiQAf\\ \GSYx xxxx?2 0-3{6f_ ǼK.guy {D3 N"x(X*෋kKTwaMe[O%7i8I@)!TH%BSTETJ1Q.((J,**R8:w~`Dne"UA b8!C?, pL| dE? !x!Up|T +/ nx9`hz_$L;ؿ+jF4l  p f4#? ԏL r( dB ƊBtkE"}bzDRADp!>GH ώx*px'T}3IVz9+anai|1EB}Hblm*85 ^UU rGB?#Rd@Jla(Nr@@?FB!/;6s=2\.TZ2(޲1EZp{[Yau}֮ՂDq[3 ^[` +|.nZ v'LIbʹ昵7;;(Ur[ +7D.ߪGY6r1>py{9l,4Q^+櫕. rw[M&dǚaL,PhYSZȍ$<`E/B8kq~@M<]e/੊xxU1x6T`'Dz>h"i(`@*d +A%"vg:6 ܀@?i3aC L.2g.3|%V_A+`}8uZWCRi)u׶+ⲕ ™^*/Q&ATG:Čg+MJǘ7dze0"bC 緝mw^]J?W|))n?{tU%DtOHRJ&Rҳͬ3rÑJ/xǥinX˫2Qm$)+qWIifܣ1&( +^1s̐ hESm&]47QݡVTR_ɕnfWG ࠓ !8^?% Vd /0~譬>Is(h~6C !6p9Pwm;[П䇐69g/,3 }U'ygٷb(m:)fbF So9z}iBk6$j'QJe&"40z;`%]yqJf׊ +FšbIb,-|dfasx{1UQS݂dQvgm$R K t BtRސ|%Y>.5{ũe.x*E>L⳪^ +.dbm[9Q>O /-⣖=OԤ蓛\U^d ܨT%4+q},ޝwe: jB4c +H;n +ϴ?F4;})CKMaH܋~eKix>/0(zѮ]pȠ]P0U"FپxM[ymY靷fɧ o8GG&vVzN{ړ|*vd@LSdDd<bN?٫9i;qG)ff5L;p- 0gg%smM{+-OP*x&nW>C 0ʔ RږWGѲ"4z2Biat9Ꜻ:!7)b~z끶зsrkVg'悴'v* jGb)0aP(?/|&25Wz~YX;`[X ~=4X[D~~ .c{{)lX;*' 1@ $q}BAl!10/H M:NOF#y(PAPy  i#hCk;`S3gܹ prXM)ϢN +itnDXԾ,dU6u|x hR>tuKbcwi듐K1>]ԧ+Nzt[DiZ.S3wxJj٢lnP"NӶJJ?t~6|*Ç\?8eSyb| VI$WzmQ:ܦmsOCA2[eY8ܡQ`&}/ZW,  t6m$7+PJ_vٰXU2iq-.YB0lL/m+Zə ܫbW)50%  rmM? >1M;k"J wyL|E|SLUzIsKQٳ.F e}K/)"fcvcW0{_oYj4̍F[D(KN4[T[ęF0}hKڤ2˰t$KxP`}`ָI#Bm O7CջT'l''ݍqQE[z2C#/ +endstream +endobj +1992 0 obj +<< +/Length 54670 +/Filter /FlateDecode +/Length1 498512 +>> +stream +x xŵg4,Fhki68Bl0j` dn .$Ec  Ebl$ !4{0Z-y_{NsTUwKB!,Iͳ8ikB^L9cΤfQYupr6~//h]݉P`ٚ嫃?Ks֮AyH@<޻|ե&tPطsym_Nu.Xz%X+V]kC*Vsɚ;'XjP>sVEE-k.X.4ڧk\7m{ WV@ًh{} +h{A{x>H@CTwVW[9[KOeQymzy +|rt^n;o19 n# +Q)A.&8{ AOz+V_R˦ gz.C(sy\c KI߁Kk2\zi~|`q,Xz%=2;Le̼Ep̧fzc_}=owuyZiK 8=?yy~mz~퓿#yφ uz`:',bw5oIKmZ{䝞Zgޚ~zo4ow/u`n;/C KKOHKS_H e 5?H_ut^I/}f43Sf(sFHs4c.Sӽ9azR}r\ ?R?Sfy)d #{XCfhgAjL2 2Nu|;RXgqb?kX{4 cOf3ז^>5r>|·C}PxV8ߙy;w/??e1s'k^x0Yz'=88P/õ}!CcdIxt<&t$梑ƒ6SzׯLL?P۠Ge#~i@ Cõ7/Lah7i`=CP?n(C?1 89{ÉGӆǢO`>#I|p%Kcq;`^,i"WYD }Wz e`l cg< saZz`;I7c}ơe{bE)oQ5l=`0 1|X>TKf$d;ۗ,s>}?z}uK~Cްa4=x{5#2ěA5~}Rq`a;E"p޿Cwzqt1q$?oayIr`0 cx9:\vGcҗMnr=_Lg{z1Fcپr‘t/XұC?~lx9Կ2߁ѦˣMޑffkc~f 0}Qly|sTx~p<8_bP_: O `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 `0 ``,vH~ ;h)n(imjZíkZ׵^zO[i}@_ߋ;n{ߺ_Ule[ܾ[/z_mmw~_>X^}P}0`%?xhC7<|÷>ß=RH#GzѶG}gmk]jՆfoa/V}?o<<'8uǜwܸxf{v|_;;wlyΛv޳s=;eٕx]]ͻ:m]v]kwp׍~Վ-|]jo_Ӿ={dz۟}Ż>qݷw{n} _g֞U{ƋU/{/>?>%^zm.ڻrj+|}c7w}{fiWag'?:D{7?{f^`yo;eazuo{^ zI~=˴wIoyZXGwqC|cjGTWU(/+-)Ess#PPSY +|^%:oY-|*+7S􃦻,V7Ѽ&#(ٔ,ٔ,M{:1;[LHLH&bZf&4n&l,f)!L8h3DQ6d 9nH'H4f 1ɄdYO%H$s$HLK^#Cb|P QF b-o&4'ٹI& d" + '\*[($?@.ě"qـO O7:EXҊ]5\΍Wr8 +q\ yqB4w>U;|ȝ@F+\+xn ; 7=O@W\9^rџ'h'w2#6}@ v*ې-#{a7cGRv30cΊAeeU/MVtJn%\qџȃrUbjK~ytM6Vg +~#vq)܂#Wu[U.?Uyc#<^' {2܉\ ȏ *+ ;9ct7 ym~^F5tݤ8^E?Pg9]JJq6 +>ߋ yQVYiKg; ݍmƙݶH\ G{%8bVk m^/ȓ3= ryǏ EWexL& ~]h "?Xp .94@bFy[fWδ4+2O^4p5tbEu DqKrJkW|;+KK+gϩ,)$ya<+ey/񭐚_xl)M6MҴpQ>cHaGB.o,s.gegC'\MMLQP?=g+0"bI8 kbf嗸yiCnF@ƒDG@eUj)jW*]iF&>mWF-D}lBXX SpLqY3)/b8O1.iǞf+z4dnhUG4-R]RШr']Dy+Jgk:nI52.',pYӧoPq,?˲?KcOuYӣ'Mj3v;9]YW[CȊG1nDM' +24ME'kd2Ο:XN!ӀKN OifŸ5p78ӝ6RӅik*/p}(юK‰WI(1C)KM8Rd[8?\&q +&^Uʚ&Fer90:a/9oMv9qD>'I?_(`oPɍ*Ds r#>'-!F'1"))QRPLk+a%K\:5LH5#t:エ;YD q5\ 1 נk[r`duj_ $%2J +b9 r^bw: ӽwחT.t;w: E9vڼh[B$P"Z99 -riTd)SO}23Thωnt-]A?:Y{~ϖU7;2UhXvn|f^݄KUR:Z݄'kuJqRN⾺dt*-GPTn, q=q†YfPf8fJw#cJ==zռe:e('+TsڷMp 15˺ -m5]'}R1ޞxzo,#ٶ°UC^7}..M}vlNTOd?MF_:dvqds$tU5^P_=f\vesQdHJH7p:g8KUQ7C~i E%˥'(hXN eO;]ZݕxjL]m +gUJo¦ vN0suEIu:dV8!8]ۻ[Z"THvDs#uՆۆÚ֨8YY*.)KZsˈY0:XsJXiҚw7>ZTZ?flѫx3!g"}L9$_:'u{KxAd;4l=BW: qd>`śW\h-]'b,vNs>1|6uNIht?}Ql!;Ʊ<~Q\vV0:<$A|_qEq_dӞBI6r|Y^|ޏ\@?mF,b1; ]:ibbtP_;fAu}ev{Yཾq>(3[[ܦ0d0aXUU=|tMI9m}}u٨ښykQ#ED` +T3ɰ]'}Y37c_zujZ{kz<^yWfCvpZ,Iއ!;sԱn| MtuɱJ 9~ڬ(-C~3rk.^arNw+SCe$wa9r!qq. +\]HlʱD1fKY]rlr9D5ʂP“FK>!΍BWpcXJdxfDtB.^D<d -f˘Kw$pC`ٌS'8. %ޮ6Ut8E #s{N7g ='&b7kf/R/jPsOsNdn{Qٹ@w +w+7;yQ1؅dn,h8/F'%e Ef .觖$vUpe+7eZ-`Ss0&B׆C~u89 _:BH jlddW6 x;Z88\ 8{3 HM% +:>P5; +Qq9s]vtIG*Qz!M 7] ?L]lr++*-dp!UMGD 1Ⱥĵj.8 ^ Ud;`ep((t->& 2n+;{%םEecvjp3W^ql<ԍ_]~$dt%@O%MOJo4TKN7vz+u E-IeALM7(4h*?.–B`!H|K[g,?{C +jůT5Жjby\`iӳJLlpl q+1 4:R)6}G9-T!ڹ6N M-J|Z ,"Z@"!ZS +.dʁ =a"sq3clwpgqPyZ벃c8DpХօ9\`yI|ݱwRI㐾 F-cq(L0"wbq ~5\f5/̀SY[^F1j@`+N9\%y.>HR[=3vλZ%?CblQJE gԞv>|P g ].g-z>Ptas4zQ2"ʕq}^tZHEo~o5l%ۊ5Y V]|Liˍv59P@+9>_M_8[ma_ +:E9P!LdtͻECφ98=v7UE)^6m 0qv9uJĕn.WPȴVEDg ήu7Uѩ*O$j͆T +J['n愪9">S.\7gv`)rbl&r`󮎿UL ޴ao dUj.!p. /_Vb)59$a2'CvdGkٰ?c |(FA)ip vXwST[0IhA0qXAbG P:1Ϙׯ}CkxGRۗQ +U^+W!2l<"8pzF {IUcakj)jNqaK$X?w5>,&c${tOKN葲Cߙ6laKp|h3 +#\yUɛQA 8 Uаf:1aLa8.]\$K@ wQE~Y9=h}Y>1Dx!Ûy ,%")H{dfX9c<͡SO: KhJT,3>Z2FݺTP +Q(O$Nw6F?yDPu +xU"RsN&d{!2 kFB䖎)/ ++0S`?Nr9+QeS*I)ȑJ\dReARTحBѩ\I}#0(9WbDƳ;Ö8`eeO=(F^P-ݐ;x;}ѤDyC]{U3?!U؂_zF~/${$ِTzhle&O' ̇Z?(WUU\Uq:Z-",CƜ^Ph_tC/u_* _h 7'aeLpT Ee9C G.h3-ݒ*X22hڥ0&re' 6;>Ÿ)jPHeoB`YJ{ayzuP׵@%% vtYRb_r>m08PU6g>/%I.ݑdh=9j*ՏD51DQ<.c*un+@SxD%$iAoʰbRL -*:śN;x5p@R>w)4]I ~T_SST-&w]A-.1 h-5ИEXa\͕JB!Bw)=T=HU&ac)<:.~۬)JtgN3i4}VGK nT'ݗK9fZh"5H&<9zI߶lnn=aWtR@Dt ()w}ŹlIݲ]v4w֕49+;ǔ" +]mƟyGJXrQaQ0`vM# Z5=xG(*} Z5*oD,Vqc~@|oD7sYowCMS026^N45OsyӴ]w;,_a6׮_R5~b>R) "&f?R=Nn(T[DY_S;zJ崃=팩KpY8Mt/oGӑt$۲t&۲%AǶIOqF2'@8 +0N W-]hoii{K/+}b>[!!; xk𶉭{&>\`F"| +؀fp`o HwxR㽃GYJ[6mgQj҈;oeH( mӺ}0 ނh3X_7vMuƣ۷Ʒ1thG.!%BPmv8bH+ZG5P:1ކ0JND@9GŹ{q{|2S{$oM Dz;kN3>f@]D[޶z9\ڱ@u-<)mmNCw4a PP1l? +=#x塌D.۶ TՖjKҬ^G˶wT謹(h[z3d m`H1aeVnQLJ8P+7 ovep1# +j.u$=a]:¢K6| Oܣdړ' 1ݚ Gdˈf;̞ +;+ŀQZpXOźc)Vds`{Mb #vLqO_wŖt<25w\g$t":E<YnD&tqȅ]mmÛb`lw̆ doh#::Qx֣j< XuN?W||?"d$&HU]]U$Z]+FG"y_?GAr+mͭDۺV[k$Z'3獋O_?׏>~{wwgs~J ZUHL%UUd&2?E\̟/|TO6sjGzv6EM;w5E"M0C!k?w~t>?$7?&io9qlE6d"ILnOF"IX#:Bx+vԸW5N؋j p^.ARd3lzhc&4t +8Ά/%[sSÃO=9nwٹsu~]ZZfSl Q:(R֬I϶Dȟa& l`8/6xr'yݺ_7^Y-V>@vNa~jT`ePߺՈ ƁWٓ7 ^fqW-uzJiOe:&_BiYT| E4z38<Q}y:rʲ.a=5jQWjd|uR~E)Ձ]+BrDG$DD ԿKS 1e5@xwT|..[ט +zĄӵea7mx:lxSc<fLF!0ڷ<ڷ;O\陑l-c̪dvd}=+ۃ*LXJdZZSR)^os +ψ!0f3V1 ԋ@e*Ƿ%m'd\7><3 /DFt8ʊH$ܿNQ Օ wXf~|X?.B`~\FӰg 6G C}}Sb6.][dVbVJ4ƬE__Tӽc2{mçմ2mJ +ʛ ʲe(YA+vl+W:jkdk=' +SUOdwʙw3kLK'xA-{ݷ%.mV\ՈagPn=5c9xq\5VmZYl5ZI1;ndL_o`WD߰c=y0`M,J%'*'W!('#4Q*-kȒAm,3B4c@~mC ,œUVб8 E&茻ȥ)őQ4+B]TEQVe Plۭ(eP: "WuRiR8p*hrn9pV90\c.@*~*vBIRr1nlJxYQ +ԆյX'ᢲ>l +0 +3pbV̩dJI3alLOLT*M 8e|sqUhF~qJ +UZntEɻC>bșR=%(dkjO9bP0'PRo:td[f 1b= _?xB<,?y9.j]gRGӨEz),]QIpāHsp5(i#-RKu);kk$G3}鰝*F1^s]E>=><ZKvjcF'LsTJM\]xƨP"T\AYoV~3wh=>A6A7FiVARk4 A:hhhƟnOPq'HILDP8AZgف 攺Yqfozn:FhPkwVx{``ÌNxb_-+ڦ<^[E{Vmd[?QGVE8Zd[?w " H;* mv7 c .S5fTXH#ՠ=jnb'/؛shg +ә# ]|o#ey0 +<,l p7'JH/BM{SV?k~ ^i +da [1 VHS+cyl-"A +`F ʍ"9`N˩9MvQކ`5ï~ՆNz/VJ:r!H(yOjJx8"#ߨ/N SF9oaӯqr`| [4K5R_Ys:r纊 A\VP(! ,"2/rϼt8~),jo>zA~'pcVΎ*U%b5Ŭ}q4Vr eR +,daNh}]tv$?8NCd@c %}=*Q^v> mV[hk#[XIECKD?{4xzT1JHR*]~X=$Eq'X\ mg)3=K.ɢ[M<_Q(`"3;ULsK-՛6 jΆW*5 )"h|-ZPfV7(29$XTUz eiO&T͜x%“{p$po9cZg60`ul9gA9@E"n[xEc?u> ˋTjJMi4wp5_};P33Zq4]݊"+xCJ xw%t `@bɌٶ\V$]Lʢ]AUnpD9}6x\SBɥ`0]6P͵/,/a_, E hLՖV;(%}oYʗ$i +hsؕ_E'j؋\r-jFq:jcyR@HFK +QGMTlwgnYՂbvJ#μ;hBᇱ,P-Qd(=fg:AKgC<2aZfRIe+ܔH@7)ؙ7[!{2$~w#'ψ^D B^E+8 ت@eAI՘M,ՁP=H-/ݠt &D!a>͝CrV:&FE;!hBz轣LҖc;AZT%nTc@GK߮P*(,p +~4*|"-#n>T(ZD +FKtʸ v7𒕺ufEAR'骵5Zehi8|:|\v`-o8GTʕ\ +:EQ@#BE "`dhC4pBo ʹh6x(߼ǐ }Na;O!U^D v:?pGZSK.nA7lm'dyyG`1XyA)1yl]y yll6'}/mMҭ5_([XA1[T_/[80ݛ7=>9|/( i>5UyR(uild-60$oYG aհ@5tAȭ%ȃ) A듞s,f|Ts:-!͂;Đa(G(J(<2BsF!񜔑$H˩o#4o7tҝm8 $T EmmxRl[eX{ucWp *xߟU [ig3C&zfCr$d=qѿRC`Ꮙ.H(CJ-N3BGT} >Y|J:T e.ķIb?Hdg' +F*wk+ku"~Hq1pXY8 wQz:lP f%#Erae)| .ۤ"SXTqp' j9 CƸњanMr W \3)*בވ3;oHuEyWsaC =0!Ԓ'3`/:¦~Tt@&f~w .]i(,_l럝ԖP?F{ y1A_߳B#AC)3B)1!Gybn5LjZ)YߟҌ¦h}⬂0! h^1qQ zYYHz I4$ ʗ +P P)6 -2"rp]$ܞI$;0 ſ8?e!g7sE6,⦯8xדHGɸҟ毕+inYkImq4otW@ON33;UD?3C+=H^>8g5us^*r>PFKš_z-bݪ[Q&egf~?gj6-fw#FBu@o><>E&DYl TsYpba=nB,Cw[Hղຝd8#ZI2=Y9gkͦ=Q%'3 rIB8hG<5+KvUW.Y &xItoW8 , KW[ QҒQs)\x~<7S{LSss9#M]PMHs+?tLv\N%g mR!}h͔C(Y.QCUk:.ܦWREQZִ%-U{ϸ?$QSTн=Sy;6bѺaǰpWVY o%SmJd']OpF@KO*B RK;x*1WQ;P=b\4LwbxaRY9{oWWEz,.4q/HHeɑ$[JHiLzy&/ +^ ߗ%r=I=+>_(kri$&[)seb+? b<풅/t/imlQGshv *) L3jDIuIYБɟ-}Ovϑmh2ki ]c tKW"&Bhjm\nP5BI`5uTH*iiQIEf݄;yYy{ 2a@  +KxDLv3@; +wgNX8S'0`TN64{[:ʈ=OrQZ'piE4! 6QI >2sl)Ǭsh }!cMH +&eMڊl". ٴLsH>}"ZmIz%<tNd-.'G? +BY14b)2P]vqo InNlCx7IyXOϒ +`ލ9שn lM6Q_z%9e>Է8 Ph3ji(jpP\?dBNGW51#\Q0=LoJ-Ԭ᪉5%5HRy!_˧.7i1,tdMukBgYTT&ƠY4w" GffT =g '7OH~ࡖܪ7ghBWt*vXz8#Pl 1V1fpvΑf>)V51m CdZJ%rNEYo{O4?鉓~u@}go5=RSsߕ)̰V%ޝS)M:#/*.E\J*^Y@ 2 uyH3t&#ȕ%4ւ# @Z uioF/똦.pyN3~  +Ɋ*:BJjN |Ef&̑s929'$@8C8B4I0%@PA<@`v^tUQ]CSWWg&Bg몯w'A^>u,c0;eؐ{d0$-wy0UńёE')ͷϷ։1z*Uu?ȃsZZE>!b~=/9v3J3ؓ䴢3!V$GE(#6  {UL K<ƘƚK:N+Pb-4b?5@^cqX/vXz\  %UYEM$NAxT4pI^hd1\RM!aתWĒpurcLLL^JHPqs64|p}:#nbaJX(ZLhU#\p'^IP^Վ2\25OP+]2 + SGM.#gMI|x#mSukFݳuu 9ьF=[H, *o..8t~ЪaGLR$r +}%\y'NedFj c'NB? *_\.5HXM2; fnқVL@FLsq? .?3:t?%[ϒP7B{zz3;mӍ [)d;Ew%;yh*JK!(H/,=2m× +>t;5gf`}BqC3&/.|=}>ZWȻe/9W"U)I1٥Lbpɱ|k0;k+GʴAH/"#"h:):jjXoU^ +4*2^SD磇\G]g:Z&<!7[hnx*rWKrZ9-_CkAnV;8C9<6*dA@=i)f .\.j` )U_YBLyP\+mV誄-UX؜6RD}'.r.5N>+mPY往4%,Si>ym,pU/-VdE-8%QK}uJr9TgRymZ"Y4Ѳ_KX}}.w=axg867KtPXgjzf~9U>$&4fP92Ee|?V~a`m ByHk2`4Mv{J5Hmnǥ{L/Izfoh(uOHj}gA%rr$B啍\n1. ˑצ]!#lr !ǨN\5'7"%pӻ2bzhڄ4TSɉVyaS(l>px9fńgzcy35=ҡ vMh+k*2z2'6TRbYG6gwbpfҷ!d\>tZO7un8;e͈X`0zaR/!cFdJͦV:{h~o&ˬ:v~]&%ykga5 5* bfr0v wU} OG⟊-Txf{g|&ECSSp % 'GpF`/|䰂[0yVO~f'ERs2Kge꽩>r,5: ℡FcL0#YdXćTgB|G&˛z.ӯ5[B~bq؃TZ!d^eJ}]eB KeN`"+L^Dr2HզM4Im4Q0.T +Y8hO׋hJ >^U02:LFy +zF5_kA-th"LBөAF9ョ-- Z'MG霡N_SfV3RSY}teY-{_ӷEYt\7רKӊn)튬[WOxw]n ~@ g|r!#KC8Z =pN xo +rEo&u{]3ƹoMDI8-W߽Rs=?y޵%A47³iɂJTt#Épzo'NoAN$M&ZN$u4B=F2RC4wNʷ`4ǖrO_D%6HsN=LTӬg|כ ג_Z "YAoJ+?B%<y>LW28p6b +DMtGHs.6ǎcnpytF0r?p.6_t2[)ɣ!r{7)G("9ke8J}U}}H[{JwK=x]]xЏd+Lrk7nÔsvv[ nwk6!O^_ .ك.O??ڶ]\R؍ D:ǒ˕L +\[}@l3e ynw_^ TRʕ 50C|]& cIչ<ӟ/ӏgxuE8b_ПBESi-t1XUJRW8 8I.6#T\R.مG5ܨ^/dWih2{kw|3Hg^5|a5bK t%NX[gev>( DI=0{O*|!CB PA +ؐ-T/LYV;c!dl=Ӌ=Sn|> 6T!I(厍M*w:Cl665SL[znݎu'"N2Ⱥ.{NY,{JOvtdF:ŚlST+oeHM +XX9UR?ѷ#7t^0-攞\few%t?LoNIT?6!')ΔbK/ζ"_%jk*k8*׉do"f_'u;qvt(3RԲ4[2 w +~_Ď׹4~dSt(>نMowdgމ},%%-;n6ۂcϺ?!7ߗޞ (/J%\I"Qޞ "+RҲr*1b~ccߤfk߰>}j9nn+qbuMeH)qΏ |U6C*J%/0IȆPly+rq0}ʉ`XΞ.YDwHfKZOsz4)I҃/a+N=th9F֪ZC|3KaUJ^S-U&VE/sa檴Ba4 )q3r,NQVlll;.Ŋ N,;ڌikEƓ3T*;V*j%j5Z{rEl<,Jxoak-W L8>:~F>\^#<ā^J8"nLW\jԴ jm۠JS]x/dU9]DPe.ҙuT*.KP='MmpGqٷ8gOwx6=pofbXi=tÊaTS7{Y,!z&:| eWA5Q{/Sdž:{|ӧ3%ޣnƚbFIG`'c{~d2\ ZS_ɆB꘨t UNvmGePfcT(w'rSukgB}:+&B* ` ׋(|ݞ`(ʏ8~phoyqu^g4ZËmzCآp;6?U,nKe%KEZ];qtj/(4tPz,yl5=ڀ&U >nlո1CC ʆ^zjdl;>)6cK͆$9J2 f9f0}B.3dZۙiJJ+de9)AF+刬YQXͶ7[=`GVrU£($EU}Ҹ&ܘa2%.oOOoh]^tIc3{ƙq<|,eij\a]W?]?lmϕtQKȺ^n] uCTNzwxa,Sfo ?)q,}rj=sz__·z%*O^yS<:]jQTh)^Y1a/(L7 aWQ8lxFMC+~͆cjA!8dPiiAa1<}9Lz8b"9WN&VD b,YGT>N/6NڸN&0i؉'TUO/.zJݩR_g *Ṯ +v̞:uӦ54iܸITU5pqDļ[/ o<3gyko99Riť[bm S6lJn@߰Մ?. wxV"rV_ӦN_qS Gd\S @ uu^?eJnjѣ_gg/_?+;Mkڱu*l6MAeE5 *PST687ԗ+LbР ͦSok"fܸWm Ҡpb8 N 8ymdn|\>^%mNo.Eb.J3Q(yXAV}RП蝫;RSbhú>RQvl M\IMKM?k[`#pVE%6ς{IQEeG70% C~khvkCէ|o(}+_uy\2GvM.WCfS7,bN$L\#6@6mݻ}5sMSUޡzp7-4vo(mP|t9(hr[xā]f{6(x; 8 vėҸ +ʛ)uWeHȪZ XjH2_^:H=/}{5`oF{7xGE@p@ ASՙlPjc}A"'ݯ=+ӒG&^rj_V}A7xh[cy(jH4Yg_ck|,s)xNFHMRrz }>#D}f q$B4iD + 1U\B|F.CȾ*/}J4*I/H\RA#i* PeKQf1b +zEa=,&wf% r/]xNԎ^%Fѵ>#fge{Y Ųψ i0VF"FFACU/R4LqY:3@@lr"(4X"Y7HQ320 6:R12ūXcؾ!]Vwոe_pw _#&jGA~1i]wIr_EM"KȽ:eglVb䖱2$4 h)4{1+>ޭHȭJ5UmA#$I([G 8W;kUm:h )V C4q*.Kh`%uE3ҚȣX;A-8, КZ!uy$_O=չ] GWV4{(h7Rþ`$v0OPfNJ.}/Ru@LKH~*1zV ?RSZu~KS7WȎj[EBkML^[G!f+}aLJt딞.MǞ$gW72YbS:Tv L._St *qcb- v*J!KFwA,DÐ^Kш~\ j@C:,F5^ +", (j wXg eςG(>3w"ABՁ:/zR#qPW\J]}1'9[V;^?+ٷC!Yx'Ep\j$&}!5GzSX b,ʠt1zn"![ +ߪRJbh2IWvd7 u,?Qjܟ)6~R3OҐ~1[p\рzf9yp8p8EW+r8E8p81F^sB<̝7 oXlyяiށ#v2eR1! 7af.2/s3fo҈Ež}̹ +d~}Y۳dɞFٞ}n!Bdrǝy"fMޗ`vEgG +.)JD'Ӌ/)~]w\o#KbM蕻dt{g0`k_ u +߆R~N[* TaÄᙔ4ixRJ;K +7IQSl(DêQ3F ~91c^bc_ְ6=㲣R S-UWx8?nu>߄%Nyo0&eL= +NHqU5wfjٵ~S0|̙'| ңeJ[ +6٩_NrZfr`'iMN\Bg%3*ws3VĆQu h4Sk0K(2fێєE)|DhhZ@sR&pkss]4Ko yMM'9Jni C[u[}Cg%cvw߶j?4oFt)<7?:߅`c^sU%IE.ڷhb ܶt;RnsSN KuPϞ& +#j$E$#~22*0A \'םTn'w-%/|t_g81dj%U'6,J61 9yO>O3Ώ|Jϯfgi󯎒O*w/4JiJr(w0uY},,kO~/3-}:J^]/ΏNOff,8A\5W w 3nI= J2 /iO ..gߏ3=5X/W3#8B '.>- +&"O'`)7]OT PáJO_ixOsZ8&c{A0 ]dpC*<ijU|CDͰ &# GѰ9Jn_|Xi!RFi]97J^y5v^ O);ȧ'o)e09MG^yQa_&t2`D yq e6',  j`K?icU{O q)‘hY"#OQꂘ#ܓ%u?Rn;%;WD|!E \ǝN: OVÜGM󵿩V2TVxU9.RE" " -B\WzF+[RwjHrjpeWZwB4>e.u/欄\p y.W]o~\Wm/ TmȀO, 0?+ Pa{,Ɵ0r+Tr]ko?79ؖORu^.}1ۮ%M&iQeۏj[}&|Oi3Fh7Ark?l_#9OE?6{_cc=P2qF_izCD%eQ0}Uuj{ɿMVQm-(dLh6Mj}+g8޾Ӊצ+HCoe:p,@|[oveූdN`~_W?u?Sa%8-K^3[Eڿ"h{C*[FwV-h n ?{gUH`TJET$|DCEE$_|KIѬHQ%s} J5#s[umknk嶶[RՖk3ssE3޹{|a` Akn{8Cr>M<_jPu!]3OWcjj|wkNS=7yzXM~ o9{+)Oٖ>\$ZYk}Ze\{3TF|Z]EU5^LǾ/WQ[T;ߧm5.gOxUFzsggc_|C:tQS53<8e^6}C<&:tԿ8B_`zsZ.ut!?\|:wׯfCfB>gQ:tU½ƿ_|9_:n>EOK͆πW3K5 L|AC{j#wK_b4[SQm|?NG }-l6?W%x嶺_ +k6VA߿߉C@סB# &:tСC+.OɩREm>DC~}hoqhϯZtQQ^lG-Q#Pjyoul\u+.wT e/> ajt,>f{5~.7FhV:!Rn>:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСC:tСCEDpqi'ɹ+ĦQ#:F!!WGD%Bpo`'kO,98DsxR hW$_}xjlXJX,Z%ۖZ]/rZVm~[UmIZ}&[U1q6VtI#fP m)xeOv*T g;wN۸Nouz[lS;,C%vN80q'f'7dK-B$NK&G'R?-0X8Ӊv&wI"|鲯˙5kQW:8t?]\'νݿI9IctҴ$1N;)?Pl&줿&UlgCE=M=?b'ٕ+$ۊ^EmeUVStm^[u7ltoz}#))b[MlL)RSv4elx*RnOsN)LNٓGlN%<3q^ǦT O Ly×L"vwT/@jA>H]!%uu9Swz]J= REݮoU&#ӄ]r:V&^OѴb[v09zw-+{PoỈޯ~Ul{->_EߧXlK#È}sUѾ}[W#*{T }+;2ߘ~c6_I?q9:HWdQFq/Cl?!m倲s:J;0Wl~7Ѽ޹h[o+t z>#0h@k BϛX+xV rm 3@OvUК#m:cg:O}εFucyBFw}dZ ?4FߍmL}X{{V_=]y5 -׼X K)m>|CkUԋYyMf(mXg i!?s|&4wߤ7 XN>>ϰ} +<+X k?gek s55??S?^%hjY9/WyV>!!7ΩWոx,]G0H1= x A+=w.F{7_c>F\z >=R=~`^ bxތ}dsa @~ ~ ~ ￾])ރxߗy\ԓjޱ\`ub^A:xE׻@;õ`>~D ^rL-qqqqq$q$XGuq$XGJ= +qx6L_G1o{C{EoG{{̽Qt 77B/z np?p72(DEK~)XO$?]/nOK~Z!iO; ~8VtOJ~/OK~[CO\? 3iPc%?͗t%?-OJ~Za&?mk&ɒfK~:QO$?-tG$?=!i4OI~)XO%?-t{%?=$qOO4eӐ8J~:L\O %?-t䧇$?=.iON:c%?͐tH~[Cc4OCI~#i%?-t$?=)Y4,Yђΐte%?4i᱒K~#iK$?]/nOH~zT +O+ ~rԕ,i%?]$&OH~zP +O+ ~4iD钟H~'i%V4iXF&K~-iEH~C}BJF9 ~kӨdO%?(i%?$OJ~zLӓ5eSwOݩPbOH~W#O ~4MS%?&inmQݞuWcGh o2my={͇\y@{ hoCFGZ sBχbѫۀ j7;s#su_@[Rs@#>5_s =ϊ^3h <K1ksmw -fz[P5Zb-Zk\[Nuuٙk˗X]&sm!w7kxڦkdcij͡k߁5#'/\cvsy󫅹?~lMk`iv0@;s90X L\cy^yskC}gamz1 fY@sl0Ƕy|kIam{1ڎc*amsm >Fz s̋خIkT̵ hqqԏAkQ5z\Z7x4x4 sm<̋gm)6+5}}õ0ǃuqu|GRӵ䯝F\&i;,}=ӧ6on=Z=j={?~`oivO + 4͞c{9Ws^g/[_{H8` :k +c|;5k +SϟBkT5̅ +JHk_S'-5 uӀGӀG&m6=~:ii`^L>w|Qt|_{_s @4ǽ{>:.nB|_Gc_әMN4tw Mso:{-5}!rp?cx[4UW_53mSG*UQͫ|\^W9a_j^L׾אv ;f׾|&^ڻ`34bX_em&6:=ERk2Xfd 9&_3yK@{hGZǓZ ?_oc<^ˀzu@_g @@+N=?\hc؅g_r,ڃ@{ +KׁӊfI@\ͤ&5}Z⯃xAm5o篃fyu7X]&tˊdM՛)55k5?m`gh_rf}>7}lup)k9jԏf?Ewgv}0}=S x R_y aSu; 29dЀ7c9vKN[_#m:1Ϋe~Tu(>ưfuyqN9Z\8s ~8i-`;xt8`G$<;̋#m#О@Wu 7\@> |<x$H#x$XH7FCc_skGQ[(0sQ0 +G(p?ex[j毚jZg< NWv_U>_|~Wo]0W W_W6hyBlUcU(j1%@{ hoC⯪V_e~| jhZ7UQբMm'ОO)jѮǮ<#jɱhy0+t,_6_U~_-w&w&wR^o&_WOW5y叿ueE5Uǒ ́%`_ղ l?l_-9 +:ll*e]^2)r_OTՒg&ȳ_-y^yUPA2 hCV ̱C;%P'-⯾{?:1Ϋ~Yja| _}pUՒ ̋vkÁ?~_} jjxt8`G$∥@/k#Àh#G> |<x$X縖 x~s|엿^_-c|µQ!s/䯖1}aN(p?e,sh毚jZ7Yqz$W`FWWWq>.#Up '<1zcKn7fڌcY>q=g (*4⯣cY_Gg'hxб}uYk7T^Ϙa G.}=ug/8>1k)JME|(z0>)^́<ͣ6hVr >=ϊ r,zcc):yZqhvע*m h@#@;5_-ZK~슿3⯖KhXGXmZ4qߊZq~f_U>_}׼?RՒg 5Uf!jEWh_-9'2㯖}F}WJZ,}j2WK-&#jqkZ8+jрhǁŊZ47~/Z8ŵө!s/䯖1}az9  |5GV䭱Kޚ#yk%0xk}omt୍*$ouHꖼ5At[s$o͗X-om୍ [%oM5][$o-A{ tAo5䭁FKޚ*y0[s%o-X-omMq6XVn[Iޚ)yX[%o]"y7xk0xki[$om)yk7[s$o͗u; ! rR@[%oM5G|[H޺νou2x[:%o,y0[s%o]$yko =lз zVVqJ:V|[H޺a vao -yk钷Hޚ'yk%0xkeSJ'yk䭣%o!yk; gVa* ꪔ)ykɒ@[w5b[#5-F)ykɒfK:Q[K$oa}ocm&'jDc)Nz䤷z79Mx=w9N_;'.G@*~Mj%P{w#@{r_ys'=Ҁ6hmVm+~7_~w]Aϳwu9i@[ z8]ӊ?* =s w&73EkVt; ߗsһ}I1w + )NZГ~, V-`s{">g8=v'g+IW 'YԏΚOsYJ8lych;'|8lwNZ؊YhB0nu2*NZ*i#tN>Ɯ|9t^%tsz sN:̋s8w?wQqҹ`.[?~GH8QIQOZ8<֝<:xt@^t_q""0/@_qҢ_;@k>|<x>|.x{@k Bֆt>&t 4N̽ k }a!/,`j6٨f ]Y_ hWFe;d6F|~بQoa`?мmZ@סبo,F6F-aU(6r(6{=QKm~ ګ@{5/FZG"EQyFUF-ZІV*mρ&ˏ]QyFlTPlԢMZ0ct,F6FU~F-LߊzgReFU]~بZrQu,?΢~ZOFAn:e*<14?6j|ب?dj@,409pQ߽UcǘCUlԬQc䨒\s8w?wQK q.|<x>|`]< +5 ? |엍^F-c4 ٨s!/,4^^ }}}}:"q3\GFEwU]ӊ"Z vD8$\\cjۜ[lmFY>swg2{ik3?_`/h^\`m&s]<_|3COұs]f2_\&s zؙ}ڷ\[k]ҎJ|(d3g@{hZ\t@ +y@[@{h?J5Ǿ=ϊ.Xu@%Ot,\2O+溬Ͽ+Ѐ/^vw7aMkVuyϷ5uݼ@i]vuV\gM")b2k3 ͡늿+uu|#>6r k1ו9ja?x?#`=N3kōyb:Z .>.>.>.>.>.R..u@k { s]Ǽ:u \[F^ s-2p_(2^.2毚ju_צgļBWv_U>_|~Wo_`/h^_6_U~_-ڝ@{סo,_6_U._z@_Ւ'@ ^@kZ対ee}j7UQբM<v= \Sբ5Ǯ<#jɱhK0֟XmZ4eˀU._}5#)WU⯖<ӺW._U?௾6_6_ձ ́% +_Ur$5WKN:B~䯖㯖*w1SWK;AhՒ/ ⯪*;_՘& xs29vcW|᏿1VOc_jeʵ̋kk%%%ԏ~_6_-m ]Zryq}@{hOMGՒ+5ЀKKKKKKKںpZ +І~@2G@~/~ҟν1}l;z x:gZ(rx@xww -h|4 Xɻo N:ұL޽aϵ!7yb^φ- ^zyyt-׺Jx|(޽@E-hz2hvh'vkh݀6h7-YMA@#N>#t,ŻaV9Ѐ~c^o»M5+h.h!CG<Ӻ{sݛsxyɻ76Ż7cm&?ͧ[iŻt9na-e`rwo9m{kKsk7z,wo~ +`JZwoKoD{[mۀǶy6 z s;< Z;;3q'#;ZI=iݏ}x@+?x1Y>~xwy*Q> h@+q9q9q9q9O$ ZЊV|?Ac»w1o+޽ x{WЀwQ[x2Пν޽ v.^~2h~~}o~yGUmm|sgB&5@j%خz5v"0J4D ɤMzL$Pb +D"" "֐s֛=_뢹k{}߿ܿװ&z_{ܿv=#_/qUʗupz)gW 㾞ҟW)&`vq{K_=<3#G>:ܿJ `݀cܿ@cܿ[GR$ X3`g8ܿJJ"{{_ݿJ9;Y{F?RE Ub_wcF|5g\W)1:4.p,_/<>ܿJ9UIb1ܿ^_=9=ς_=ϲGk[y*ŝӏWUbܞK;Ay:ؗܿ3}_%ܿJ q.|qݿݿ^3pO{s*GǞH_v`繿ݿzr$M,}ǞW}@@_,8>_=Wmϋ_%' )g8ܿJ ԃTzz{(_+ {) +U)~>n~# hB#cg9Kx1m}!Q&>W,U >VvzX̀Y#=(#93sΗ>Q\'6r!јC%l爳Ow.`߂[m44ItT7n!]4/a7 &r +to6fN 'tzkcWhiLJIxܛs@ʷ# iGJXF.``̛n7Ki[ t~@WF4lնfw'Yb6(jlˀu<}Uў :p;;~:S +.0T;|_v_tҺZ-խE _ʿVW7؅+:.-^ ٨H))16&N +S~_ b]`?xQ`J0^Ǖb_|zgJk5478kg2/Ճ=CGΣTö1nC6Ooϡmbi=JS|*]y m}7-c㳁l1|[Pj^\¾ qt@?l|;4!;JT<{A؝<to^~@7[ @Ixeˍ^,0{+a`7԰}Qn-{h>8~J|cFMqKt{+OZ/Asj_AJ|rץc +U&)>E*ƨ^sT T椘wYk6gʍFDG*}4`o0%j{N$Au]NC|^J9K{s\E=ǝ v=`q15(eΗ>QOlccZ")4n1s9򫤻= }:i/IqJ@7ttJ2{pZYzc-`SAlg}@P|س\ԣ't&Ӓ1Vc(R'FSuuF gi]ЁwZ 8n3%CG)eĦ5{+0!}U@Gu9e?K K V0^Ǖ-iIxmOcӚ@>~K9Ι\;S7|{VZ~6kj8{ ME?}tU=SAlgƴޗ򚨤q{3-ii{|t6m,2OA,8{s2%s$SN%y|i;G X 9pC5cjIz|-ce[c`Jjv ҇ AeQYvVR, _ tĮ_ƴBdRq̐<&\915}y|#3~Nxb'cZ:541.&K40|/`A,_Z: %~y#[#k Y;u,hβG[@l<нt@fLKIe%3Hi 6t,ђ,&_q1ju|0h/eV?k80QiGȃ3IZ>,2K\ўl9Vbo:pX~Wβљ q ߟ@؃ j(˘FKt&-1ЅHZeȗ -C@ޥe;`,˚ +b6=MG(^"F%H=@g(>t;fy=A:?Hٝo%`s :XcL۔k֋|OL+ϗ Z=;M);?b/:sfxn>'M]_ vcڦbޗXxMT,8C⽙ii|8v"1O@6bٓ}%`A]i}dPMY~=G5{F:Ιհs{g$ od>by2Pջ4|]QA56Sj5Y͠2Ӣ<@?A56#;4=vK(Za|q3yftwj[/V+P3f<ls}`A,[Y |Z@ Z=Vfa,IǿK#cjF f{+ +֋|hPThMS fCb0 ⟙3} ~!t]3 +ДStvr w|"jAbb]I\SD +O: #Uj,WӲo"ZbkIbsfMx,".. Ӏ,@j3eAA"ɒ1`r:cj6:_: ؂~#1-vsߞn=B\ҝ߅b:lʗKX:ZSMHZog@ g+ĖqV^bt?cZ6YyTO%FLqH@,/ytTZ'Ic +9ݏiٻj]wIwY:E;K3`K8+ɘM){=k}?ZW-F{&_y>E8{_`9˯ )N +W|vĎl&`X15>rtAL[!Yw+𠵖nLk9Kk9`,˟tG7?aKlUH@l:s?b|nimYVr߁o2`kxWui9Kym~cz35s&Nk,W+Z=uxl0/Zw6/8tUs͛=b}+?|ޛ]?}OSƙX@0:;-ՀM`*`}8V2w@.푼%/ eQP ǘi]<6?C=/ZL bGrfO?QԜo]\5|^7f}λڀa tGn ҷY^wP.{s/ԁ#wT=o30|JKd:-7(Bn8?]?15w}嬠 `@,[S߿VP_`G)^Z r=;#*˘;i]2i| ^|J@'TE+QQ-9H9lW&͚޲7MF~=;joצ@%/XƘjҵI&a0MAA>ӊ2ݺES-?2+va"dH\X.g +nݒo ߗ=YzDG{-,/-E0 (8+SYi?zuQ%*~A+9_Kkni(xK9+ V:ZWEsi,I@Hw䱅{>Yc@^,ĎS= b]@ ʘV@h7.SBK'chU9+ I1V뾔bf^}-YJ +諴K:PcK,a]\%`ϔ88+]tit = KSJ:Sll%`@@cj ZW/b N+!RtJ>ϘVpGy׍ vxPt]>:~l`g+|Eoг=/1aEt/bW||\}ر zbU7@wM[}ܣ4{XgsxWJ^aL+| +/X/%Li7 \;oX)=CRb ؽ5 Ewǖz\:`U sӽbK +_}I J JPJxovq=MiW l*CwYi$Ѝ, +Ģ' m<ϘVH$`ٜl& aS -K;bshԟѾ.*.؃އJG.uC+>xw[өa]SJfjY-Il%/m\瞖":W%]Y)^P OR:4 +ϵ%2+V`,=SL|,%-<X#5vĂߒH jRZX/J^{hEԛUwRw"z⑺|}5Vx'NJID(Q"D*gW<_|t VC̝IƬ8cOx98@ Rew.2g0ٴH0Mhg=[ɘV[r|%] +m NĮӀ/ƴҽBT\G1ffr cj)uQXk<JWPsdtAWgcib:ʨ-RtAF?vi^FsFl Gw $>b7s`֋1l&I>+h-TbS@lҤR I |,پ̮0=V&HY +`lgKEw\ j-@Ͻj13`́@ׅ3[`N{+Ё 0?vqfGg^;0Tǀ| 78c;b0-W2jx3I fL+[E-ȷ { xgY僀 [˘VVG#=VNI|u _=Ex}Al*S<$s{(g +qVy` gu\gL+;k(֋)\8Ι\;m<ՃjxqV +ـncZ)z~w~ + _t.tvx:0bkb™fiCA![Al8z;n'}tieXpػ6VNK9}sUl<@Gwb[=T Yr|wZ9vZkc$s vg6s_Ti*nh)QSvq/=[.0pWys!O,Q㕠/uʥ@GZ9EHiUoENWtZmn}ϭJ~WTǘZyk3u`oU>V ;>sfݽn'+6cj9հd]L*+A>i/]7(Z>>jllHb61j哆ƬqƔ*?3]JE >hD+OdfU$u_FEMowY68[=*1Buu"BCUqtlgNi]]S'&|=l;`9sxeLBj.64;uuV?(5uñ^ frDol'`v cZP?%ju]3_}~zHA,#y.mCsZ;'t/(X'X/~^%9xzb{Vgt/-MxX_Y΅O{ +0ǪUޫtoƴ'x_5Qqř{i ;`Al,Ѝ [Ι] =V=ĘV9%`>->=8cjU=@nϡUY(濦LbC?BԪ4ݧMxЪK?7Kct^=˯j٤U>J HG}zM02":ʮA"B]+f{tdxqhb[?}Y[d S---I__KЊ09̮57 i2쾳{}C7uY;zJMMªv-̠tF'v-~!Dio][ O\2l"EsOYto"Qqj֚B}͢9z*=~cNݨ1;͵MjkjT%ѲwL..#ZYC`dLs QG5Tek9sU]vt(H/s} X g o]3`ueLs6QOE5M~ا5͘t"ĨqwzbiNQ^-:z?( o `'A#@r 5]FHҟ{4s*⬱mwv߫@\~32)UO>$v1Z @lgPK);+eiպk%]7t4 q 0`9k|ixPc]K8mrn%cϽj13=; ™k=`y v8ЁǵĪ@wjCgJ  @6g5 3־LkA a:>p'c$g<ޠ1g 6U8n2aCb9ĚbA >BA:K51< &>mu\qmfLkkmϔ|tL <_#/V\gh8cF|@w5O{Al`йt_cZZaiy_5Qqr{4 _/\.A/%V <@}X,gO?`A>ck$a;g}~f||( 8sv1r].XCjk{ĎzF~+u4bM n:ϓ6ku7ognպ|ox]tߡ sQ]trZY^P֯ҀmTIs( S^cyj6,T bW9q^, Gt{/+׎ѳ{…wa5S۝cjj f3GFW-3P.$D+/>BcڽD|yn\_BIbI,P~ 5Zq^ H1p1Bh1Fx1ALaL7Ґ|5 (E'B a".+D*JCD9z~s)"zzz60426`d4 6 1 5 3 7042^axװаcXgP`(2|camcpB燾n8jp)iïa Ɖw11b\c@ݪ:TZGGw6/}>( +endstream +endobj +1993 0 obj +<< +/Length 56809 +/Filter /FlateDecode +/Length1 206024 +>> +stream +x`UlOvg7e7&M# )B +k9 V4xrޝgu X;< rP x*$de%}eov޼7PS<d %syȡ#Gfڟ8D=x̸AEoA PZQ/B r(B +%àu80u$0"X(GCQ03Q+`j%Db:FN10ba|j`@tTP:&΄IΆj9p1%P:<:^ +ðB.  0u ӥ0uA]sQt̓?`>JuaPEWb%WRk`군:oX.CM +.? +[ +WWp oZ ^^Ի` QnBjQ7>U~Q:?;PoQÝ ! u#a;F}'^T܇Zϴ߆Fxu+qX ߂Six؄6oN؂,O0~}zO̳wSOOe>=tKg}zoTŧ|z_ԁL~̧g>8ǘO?|1ӏd~̧e>[Ooa>[2)2)GO?|ӏ0~#̧a>90~ sO|3?٧< ~1~=7p}9黙O|nw3̧f>}w7v%_2_ħy:ܧ|~3}̧c>}~OטO'̧e>}/{O{}: ZG|/4?np8΅Nr8x%&68 u~gtSIu~1#ؤQUJ~1|^~9t)۩JtЏ+9,߼<`5tb>[Ku.pT偱tkc_sBy9;<` b>[ +.p8n*>/LH'i6q`PTz^ߔ{Vp8.Nw;Uyy`B;I_p2/gw)<g g&p8No $~s"$P?>/=`o-Yˊ8BtY718[4 %M@_̇kgv +*r8{2ɷDwcr9QPj잡]wQ_̇kgv +Bp8H?>/4d_$jząo +b>$6eEt!y׆?N|ӑ0HNcOo֎@_̇kuAp8.Dw;Uyy`:I}ݭŻ%=#y9{z#`ub>ˊ8BtS#E`zvkc_sHpE^gOmQ;[S]^Vp8N<0Nn--I퀠 ft~1wtp8.Dw;U7w'i6n--IqBppD%#9+:{Yp8]3r޵o LN'iH_sF%*dnOiw1sp8]vo LN6n--)&=cHo-.?Gp8ӥp\L)SIo:/9-NISlrG /9+]we)SI|r P4<BBB pftb>{ɟ=+.t8 Lw;Up`x'i:~1"_{_̇/ݳ"Bp8tS#E`*:IK81Sj@bue)3/%栎P,| Bp8^L)34td_sٝ,o-Yq#8RE>0:I(q+B b+@$LCba:,:Gz 2(oc/yG~i?9%<׾QπP +@hEUJ觽1C>g Ug?n4np@~}rdgeHOKMq%JꙘuczDGEFجa!d2:FJ YZ$xDgYYwN)%xToq03-gt,(GSt4QY0Y$#6!5Oٵ%5}}h>5 0:Zҷ6Ktx"J.oǺ(~bQBmmC֮qxUqzn-M(-]ߢԢ- _9Ap()58<: KjA"k=0؆Hv D8jV8c=Q)P;#?'5^2{khjc!fG(%r^T8@ $g:6Vꫤ+{T Q`;[>OҞN%t_$'+BS e}RS7BɁ+>YUM:UZ&7Lňg +oS%ggGN8%guGo'v߭my&)Y>QR[^cb<_^{RT!DX.I>c%RM-vEB̫؟Q|Lي߬.x?_j,HVJ֖:5SSY>H]XRѢMrQ[* f[) wGջɍc*KxqlE%fPe}j`5YOk"Ҵi5QoQ"ۑcӚDoZ47m:Z9 ʁezQ\E؊d`,mP>SvZO=PkO=I KMtc4J KUt<\>E LE74ܮohVGeVCe̻{׺uFbd_ߘO'%9Sj:.\;_û.Tl]cdTi]puz̶PbmUL'3-dԞ{D;Lcδ 2{҂)~#n  φvN!n;NtАmܹ4G=,`{ +J.\l%#xZ?[ZwDۚ5k" Cu/4rp!ywMd|IKڛ(qؿ?i>bfvdo&ml;d_agmOÍaq/l/5%YD6~=+ml۾؞fgKlIj',>.X˭{WSQSASwn.GSGSKԹ4u PE+i ^ժjA$tcZRVjQQ%2TRD=;AT1&Gr"K9dʛ4hOܣ9*1CoD0D(IG)Ӄتo其Bs~iq'RӮﱹ(yvlFc0ZD딨1M=*=J@QYscRv(RR<*+ )ђJR\YYM?=N*vP}ىkdvvp2;g.<%++fc=x]}ff.O3$LpؙPfRIjI$I ؽ6`eOČA.W J-?}}[6$"ep3~#P ;VX+a=$OӅP + oAUW`P^=0o'!`( ›QRJ.EWap""ȩСXn3A.\a!,sIR 0|%r;A q2I P +sj Iy|,{ھhV^eo6 XG v1|CƑE + E8Kb{@&:!x>jr +k^[v@G('K }?Dғ1dy|G54^MJHONx\xO8 'j$˳S;$PIp1L \ Z^m8a 8A$#d&GV?zDp$BL[RuQ`=G*>{[df|n]pZGoDZ}EJSfR8i%TKJ0  TKa&ٯ&t‘r2擩 +O8Fu,~q@<(\$̤!3~y HC`-=p47l%XT$XBhAU +ϐ+ȥNRoU9bRۻALC0mGqy9XC،߃ch16at8Ks 8bZ!I0\:PPhz&YɗB/Oq#fqxʕ +?xROɓxT6Jl"i[k"jOA E ւҗZ )Xs +βCyʭ!T~[r)pKNvډ(aϡjDg5zU;{HWBG'u6zءC<K-Ēok43Huu2, +)eY,D'Oݶg2 <7рLR. QC)'=2$H-nX UD%(!Ţ*PTw&j $am@zuJ AtHj,{>1[ki..%+dzD gH,_}vt"c{a0gG+ЌPO(KHDE$ݡ"I$Q/&@'5ltGiBBltWA5a2\z}HPZ’΀jlE.WʹаPVk΄';g3v"Ǯ4~#O_=7߻rhC'^^3VU~ѵmwEuC3uha +{INMCTNSU*h``fcHhX%jhMFŌWSIa:Jc 0-P:>` 58cla *b :*mRBm$l0I:mYJM[¡ʦ lwtF#{:,F)ۘnAX`p # #iKz,*pΡSH!&6kv"D7%cĖZVJՋUj-%oNoD1)hp`Vn)5*֤Jkɸk#yy8U/"Y!֜\E!9!j q +=d_CvJjL+n};CuO yrVᖓ$O \E*qX}{sձɜdΞ` J,S-e ѩ !Vk L1FC(ZYL!h7X£jlaaE'D$xպ +tL :{CDۋ&*a-X_`WcǕ`{-xGy6$C^W84QhaZEq_^G U­:N!$63wEoΠdY۞{&-|gNEabz*m^-NϜm+Oo]&$ ïiɇWC>؈umQ~Y'baNPS6&ڣ68/V6^?SK2ad~Hf2 4&>N /+^LM LDS+9T$ؙJv-ʘʹjrXE jg\blKNV5; W%V3HO?_+}-#!psp`_v~'K V[䕷 bKKaK+edirj`MRG;:gĐ/WoZscJ}5MS87n 8蓝C^y[۶'ږN.޿C>dbgB8Qs*r;^ c-L0 uU{y#ǎT򼂅KRj- EB# %>>@$[!/:tЕ+s2/>zɌ J^$EO(kpJ}#|*tfz2 .##:ڍ48lvvFv6T{NnqƤeed18bRdͤLzL̒ 1kbhLc/lJ*)Eϯ~АKijا +w%U++%3ˌ<5ʨ!J-]I&مG3Qx'w:6DQ/i'd 2c}R|A6i'ۖ9[ˆ]3mP6|iF9tfѲM0d)'ܱc֩7& L,Y4ohRIgSh^_tq(rWy "`tR8(TJd:MetHno2] jH!4$$(*"8AAA4(8%H} \TesrAw#+fjo@` + (0"eM4˔%^\o74omFkV~nyf[ݻޏ=;y^~9,{EE<ҹ2㎼dkH~J`3_|?ݪM_;Ts6.`+xf8 kVG+/(]$)aJe”JXDD)(d +ZhZiR癔1&ILF+i2nJ75ZQg>}%^բC5hw*AKDdTHh0 !ZόN<- >'%zÛd|xVnV˻[G}sQW?˝=-Jv k-d{ۙ۔Mm5ތ7ڍOk;nFnFnFnL{ohh?_c} vFcy/GjornU>|R6W]*i#멾'i/$b >>' 7 t([e'鈈C +*]L](iE%i(i# *i$:E$F]t(KtDϣ5 S{%mdm +I~A?}j% y`NҼDP[Nt(k𿠅-/hA Z_[?۵-m C.m GTL,&;?$>IYtT8% 1RjIeDG0:h%?Mtw? i޿^OI|b>4[I#:6@nl~?6LҜ'6^ۃy{8`Wxq ťl$FQY'3\QD9A61#)Mg蛋.VNw` f?k1͌@]!z-=9>9Y^ࣃZ6q?ȎҫSBbmm|RT`w%]Nb~_<2ɷz Ͱ=]LW]j䛫S9zo%|l +),Ya&xD|GeOH94(%9:|D=Y8:yohH:Han-m:_c5ccy9}[$)U5OU)}PpcRfnI"g^!k_Vi}mBbf'?0~/vƾjPL=_z_*&ѧKY +fO\3?6ZqJjW|o{MT@}z> +0-«P9%O u!"fg'"diut^m2hӭ$?.qvdi{:xvj<( +8 \?PE/& |׸+\Ty:~OGsGOur"^OQU{2NNgic q7OQԓ>dKEo*%.FMt&e`4:\\q?j]:C~>֦$# hf.,49iT lә|_[VLl2r?Cw&sDgL8gs|ͥiS0/䧐Bl!–4ҀK*m#_ZU) Ҧ@snIdiy/M[EwVHM$kWRAO].].nD\݉hL|M%;KAFR<S +})dh"j&#}_S'\bov̢Ot8NSJK\\\ϵ[YBR),s¥:ڊ"GY9@nMsͥeV=l/rG$ծgTsIV[^ȧ' +KmER]J +gUivZ +{ũzb/ܦ[,eAR^R^䴕qIFeMq ̺i.,0;뎒{9Ṋ̵(5;Lɶ"k8Hg9rڊlf=L֗#Ǝ9Q/0W0]Zⰻts^fq.\Ca9*mZV +oʜ" VX lVpz abaBfY쮡V鉶A$BŭvZʹu6 ). RR9P(6 U"QVʐjŖ{1j)-kgQ8JK CIz +9eW*7l>6Vf):saAIf)9SG}9#ps_s@qn!K)jySx )#/8Ƃusf88I/qba Yι^bMg^\%syiӠQT7i+o96yr׻FmE$:W\VUI2RQ漜AB80I_(pl!Urk`]XBg9y r +)8hK/u*-"ސ&%*?`# +}@u$]Y\kdx8_ Y7Me"ʭfUhiE:.إbG ĮHrgyܜ险iM)y)I3-_njܔYzN=K'3;5IO9%7-/O3'OLC_fĬiw.;D&#\dǙMN˝۔ Yl3LS))QCs)rA|*fgfBJ>=m:n,2 ~sͼ;#_JMC4h2!+MQR2'')SNU9KӤv32Ҩ R9܌9M32Ғ<Ċbui w&"奵꒚^y|q?4Hg!̧E쫫5;赬"pܰ { /YM?dy:Yڌ*S]cYWGWV{W6܌)1Ƒp%۬>ilW]4ZD/f;7 W %&{@gx/OV$c2#SuߣNy{9%7+spƋߍ`,h2܆1aZ> jZ/@oR7 L1Bj ta聆@'A2 = Uë?bc0c@3U2մдL{LL1l:u0A!栵kv~!. !!~ z^oA9ӡ +SBP3wFFZ jm }W@ aJ0[F-ŒjaVΫL5ךi OO&V\7.-7\_1Aii&S3WM@F~x'JKUh]bu%!%dCd:=VX[bƢ*g)=iϒx`w]v6c#.LQJu(1%? "W,u.*+/cV:麄-N;[G tDgkF:**]/`2#:h7D@ȿI)]opc Bv.+fXw6bYb1?c,M, bxr_Uev}ǾWJ( J2J]IU|eR) jY R;Qxu:Oz^^6!k'G2 J . k O6q(4 G >613|gh41cqvc1˘oc,6]%Ɵ0n276G`13~gd4M1S{QCCN.%OH&.7ϾČ B( "؞ #2Z-Rp%a~SecUg\4jQً碦E.|ѥZZua:agv^z7WYkd#ki^srŚQ5Y55Kk69ZZڸֹv׾L-[;6vm~T{a.aݝfs[n7}/*#cpO.,|r[l}O O??5蠧{S6o7nܰqEd'O&F5׽_2_~axS +o U_H|Au[o@F Lb9wJ}^$w)K%ĿOKW_i (~K=ވxRϷ$,;D#r@qj6 ݆oLA6w +<0m ]W |t=|>ِgCjA 4joюj² +v}>*^~$D9,P"H{UJEiQ[vN켽Ѩ-/vy]ߎ.^6mm6#t׺ٞ=ӫZޫ.jKo>Q[s1cĬs"拘 !cĖnm b_W7慨wj}N;h~wD7컽0c\;vN#y  kQqθMq{P޸C]7O?M oPJz\OP2psJuݤBڼTmd{=ʷF"mTG^)křo[Aֲq,ـ9lQKZ[hGz6``5` ƞl<؈u )y H@HLL{s6cu}7,  ttt.*TX5[E(v`Q#,jE¢TXz]DѰ;<2:<_km43@k5t\{`#< ~fF3 2 Kd\i<g\oqGzzK700pjލ\@ 0 q`e_L"ӄ4!2ML"ӄ4!2ML"ӄ4ְ)X3 ȃmc+XwjFx^+ģ!F#oxZX^ kay-,嵰ZXX kXE0P`!N“$,< O“$,< O“$,lX聅X~$8beoX\{7@{@{h0>Vq,̬FQ + w,YW4|p>ZT}#Xg>Ak/{}6t-ӏĤjX^~r}6U%v 8UzۻEݯ=C1Z0g8{ǬjdԇقY! Ŋ]3wa.܅YgTFzjw )j)Cadi5 +7{OSޅgJw2jnPCcU%gԗhۼ{cagګ7^GæZT jaS-^¯V +k.SaQPaw-"3O r4t^;\.XgaYͰ,zV7{ςfp IϏ!ϐ-ן}+ QQQ}O#=<7+ÁGoB$"ࡂZDh2LY`ECj<~Uq?ۆr_~]v#Jm鄻~9"Dԃ0{rCq9DZ8fuufmG 0"(8!vGap]б:xQZ}v>>LY,]/qTԺxz<x00}W:{Tyy Sr +\N)p9.8Sr +2O1U;+o N7Ͻ\o2)5xkvovvĻU{[}y?Agоӌ-[#|]c܀ps< 87k|-Sg1v~ukY<~;Uy7N,7Q?c_,; /Innnn^n^n^nuzz^ ] = L=LjBP%Aio;ҧt\Y_l$)Pd=V5{+{L8˛NNRTN?7:7iG7Gw >|~ )3Пi=8 +<=C)4gVšXsLAT f~x`UA7af".1g1vcqқtwRz'C|@߃Ij:|tc5#M7 47Ю <,\/T¼*֕`ݢ΀lyVd#3piT@>Eo~ ll(="PO6[(s;AJ Pv͐t5ٰ^AWʑ>v5회Y]#i@\x Mi>mM 6Dtx: j )"{ +Vߕj:f!3g[Q=iA։*,Y/ϒo٧t=Gsp#3s?gf$]t*u3ʇT f y&bgOΞKk͡--ͳ>4U7?(,`,zT<X"젪ئ3аn?H#DZ}-n (,๔Inh-ㆆ-j (,v^hV*UqA +3=BIp{<V0YPVnxI&x<,KU@b?>2#=3?OCEUr5bN']=쯇cjddMTcd<M x7^ΑtJ'VG5VO,ͮH +nFg C' E;EQMj[>낛RAXSBқIV Wa}=BEe4kϰ\ +3 x g_Lд|p +){Eof&$9VNx;^ |TZjZ@rVւ +ҁGZ-XY DrWR6>@=|.ev@||qo ?51{g_v lo5Щ Vnz۽YŻ^qNحޭfw7ӄ+1c%fDJd; 7 'i;._uPЧO+>ЧTCjS }O5>Ч{ #}ٍld{ Ӂ/!Yeug;@:~.:IKw_7k}՟LkhGuelE,\}[{u+UGD"w|g}\qfh=tQKt̬:ͳ.g曧X-|+az."z.b +r̖^Sv){wo>;FUoq>7_wpPs=ȞXdOl_ζyk,vNཷy|Dc{8i3l( _^MK eU_>gE2oˎuŪU XUONx?`eVַN࠷R#6OQρġv +ρ_;.27<@Z_Mo vD7TDw +EWf_H}+wRVVvktԡ>=M0;*9Cf +DP6 :-݂L_!l,Bha,-MC`lsТX!+B> ++{^֝5dz7zEUT#D(D2]b>JSxҟ%(7+Ce2%*#d6X٠l`I^e/SlS[q KVN)(o-gglrZ9nUUecUݮE Cgw&ƫjKQ#HvV;LڃMR1ڟMV6EdSA ެcyuRoa[U3W-Yjœcesq{h\vv>oO1 Pqgs{Ćm{h-aD j:!z{֞dmټ}ܝC1s&ܿsKGw_9n{ܞaV+tp3~OB C<auBpEz= ! Q!%cC%AOBq'x !^±G|GC(ǹy{g&d*uƚ9k u6[u8ˠMS+Ր9Qh- AgkA^2||Ēo1 gsM3Wp{}N#+$_98q7;}q7;ڰO< zi_k,"p)|nYfo߳v_3nmBKr!{?hn=)wه}H[skN)_&[}\{l\x9M9zY/Q+ڤ=bk= pCK>DWp/杳2=[t.B~yqUoo9yFCuaJ_{+_S91u~çeqW}ED7Y޼x͛㫖$ܰevAʰ6#U/=n\YuH,YΕqWƅqhSe|ZWJLu{rW9$V*GUϦ5|) Ml6P}X,q\{Xfe FV3=оF3l5s>6~>%;-b +svm\Clb"-]}ŻxxVx:7Y#4aAqs.;"fIqmw\q_k(WAwղ0lPm4{XxKq:/`ܵD Qk @к A"2;Qr Y_.{$/c.][$7ͮB ~uFV 6e7Eε]D(K2 ΐH҈#XIZ^ţ]N#s R$d-B8sdSK4k׊K3K%pAF=$t⧍62!!.K<>q瀆7\C\CB](yO"~57+/b_(Wq&!:xBJ CvOH긇Lw-NЧ2BB8zR@']=f ߩ+E8k@oJ@ }xOp'aF?'zx3?dia&/t18#~:N^;'980l:R'E=z82&/M Ḡqd򪻖k"ޑ7y}b:aD,1ک'8~G`W9"_tTN.95If"ZL{cȘCaKgwؤwz<#8^a|"Uح6]MDAres8{/9&pc=Q`dT~bm\gyO0 Ta "LD &"8;]QΰD +܇û҂wulǤ{.֞&h0Xwq1?/u[J*\Y4Pv 6"a7:!opBov Q+?Wm "* ~DRf"UPf~8c|߄d|8凜X&p=|/=ŷ-} ~ƛf{$ngʎKpOo잳ρOV5 ~?/?-$q_#.oU-^0r.a_ ݀|9?m\ʂvF_Nee<^r.ÿŔ$@a]n 2ψC +`lfmW P7k}!V~+v` p/U1˽] $=t{D'Epi",L}m# >pcl.6b h5G)høX)ç}W7 7=Z8k>B+ =Մ^ObܿN|_{\}!0h$s#"S*ڷ<~ q-y:OM>;c[+b<23b# +a<%x6k0_89XǸG :y%cS&=#ir/Ov]l>5w0y=.#\S=66s $|6EqqW +y>YL],V%/Ǹd>5Y'p{f1cO !E꣰=A0Yg/9}^ x'~!8jDwI.@'aڷ;#GX업I=D4g@ l9Ϭ0 'ya޷Yt1/܂X]}FB`Tȇj 5ޣzoaD71x] L輥)J11X:V-mC}VyW RCӉ3ު[j:q[mVM y50ql!>lpy{xܠہ Jh|k`?$h"t<$8E(6;rЊoAkh^4n8"n^w0% A)Ғ{w%op}|]H.Cs*ƈb7o|#5f<Ƌynϒwkƾ[wӻը 5 +!T^EP-B?A*GzЗҗ8Jt z^E?G_A+WhDѿMe9y!G7j /BQ}T2RըFVu:gTTߥ> +~@=QmQUWҪ~ UzE~ZGw_TSc/]L1iOkjEJ旻vC~w_]~_Fv?;O},S;V}"qcQk6k1;>8hfǍ^c8mb5`uC1ożCoчsDѹt..?t1]4h22DWkki*J aCNO'I}Yh%wwÜ2^ZT;P;0<:>`0 X@``j7VnC15 I=m31%CJ12M٦\x7LZSy ɴnVNRj3:LӦn\S\M#&n7͛FLAb'6",6]梷 %-X6Ts /_AeWկ_QUC_R׫їM&TnQW:UOUڄ^S#xe]o"r`p#j} p&UϾ&?hǏ"Nvr? g5x7C_c^ xf/$~R6N8wvI)7`l?fWrYGkN Gds@  Ɣu##|dyܤYٰ.%M=/ct-ۜzg {N^v(j;m%#?_RK١ޫ=ge#la/{QH&Tc,'qT9^ vFȒu }U8^6/~.YI|$$mM(!*ܜwqX#[qn; + l 8FhێRL=esՏǐ8GQ^#8.m*:^E%YG|hch&6Kҕz/ړO=h-v%w9rcҖ7.99Rny>2GŤIƓ}5S;e)I8^?[/>g:lw͑u\%uWwsԟ?V^ Lsjӹ[9<>8Ę<}s]3b~PCwA[ w|wPv?Ln~;B;{/;Mrg_!w;ή7[d7PW@@-@@32v vtٺ]]^ȃ]]Wf恶ukkk^׃n۝}RjwFwVȋKˁV HmwCUUZbye\߂~S>CQ{ѧR G#301$߼:6XE6dGA^[x50vjg5*kJ a +%ؖ-Ö-v|5tllֺi2d}24F6iFNgw)ff.3-%`]CEGF,{sGѺFhhh7PFN/#o24ߴ&TcP6q>:> N^1ύΚ`>K1 Úf=3;e}1̴5zBԺL5.++,s5ܳ  bXky) m@YXReL5>J rk/?D 'VdcVuY/[Y7uhi] y&lx?$nü7@+|<b-Vl˳]ex͡r"8շ&͗- ŹR,+* :g5]gmTm팭1ByRl)a>g`=dg3.vs̤﹣ɈHH!ѐ tRɜmOiA*Tqdړml|<;1C0F i}SG~c[O{T*cףKy͟Tz5{ޝ?;U*;(>aqqJK`|X(1>>}e؎k3Feߓrܝ pM!x);%H9o!DoL=UO͒~nO#8PP PPP)=HuM`\p +@p!"%f\* +$B园4Octj]n.I_BEJeJX.W+stՐH(=@\B{_﹩ɗ>DؖDa+mϑߐ$!2'7ٲ>Ev|-2Ei2b-^q Je!1Rj5ª5JP(ATJ5Jqvo__.cykv/W|/+8+Wɗo=Gw|U/|O/|C/./AU@Q }IMi]>+V.qQ5RQ?cWiu,]?OBgZgl|yYz+BAk> _\Ϡ)6 :R>ߐ%%pѠB*ڍ>G=E=>OTL733AoQBǩggы}B%}B/QQϡRV/ +QSϣ/R>ʩQC/S>*}aHK S5*)b+*FЫE"ƨ1e쨆(}(R8*\PwzJD5SH#j~HGGԏPcuNf'OF-OoPL3QP7Q?CG'NRK:EݤnۏۏI~A~tzݷ>` u¹0>CʿFK`ߡ}9}G +!?WU_tYI;݈h?Gj*}ľe Z] /FPU(t00Թػ50z{r ;W{zۓ;{M(\zD}Smd?.޳ =-䳉=ߜ38s[ӱiH=pݐq`ECٴAÂC=#U{HIqG{ ˆⳅ=冒z+ gK;oe3Ti|kr w\1, +UI,_XNI[AmgA%%9ڢ%iG(!,bO^0Hrfvpt֠Rmrg3C[l0tdaJZFl3'-^[SS통  y xx'@Tf2֨:9Btvh0zD Iz4QS4w`Sa{CRH#KH{]H /~/+=HNhdD$UDKG*KjEj0dVI@kU"pP5wTVX:QU? FJB[w*=) T 1J6U(Z +]JTeE P CUrD ̟ΤWU*̟N=>Hňt=A><]J{OC#j/eйt~DM=&j>51 +/ c\rKtĬp¦R6"jh Qw5;T3#ꮣ]TU sQ?l:A#7EtX u5ݦQyb9 OjDM&x38Pq: + Dw8uH3؏=xD34Fà]Q(|_}[ixό'ވO'q»s-4z^EYpQM d'_}s~sDyG+cQȌ(͘D7ģF,JS TR@+b~7[7'Jڪ[ϼ>zedV띶Ɩ{/U {3M{2+'[6y֗Nofۺ[gJΜ|ت;6~#G7ܪsDb}܉l/>O7N6HFh?qQۺк63V%ۘa00x01YH2,ƫa1fdXuR^a z/Qq>Pq 9#^sQ!(e$LbJRׯ_ׯ~zwr Օ4gܕELnws-_}mw=v?]Uy9P麳jJ.T g *9W-w}y*\aՄu7V+ƜUЌ +򜡪ي=gvV_:W\(t_873p.jA "s.:qѸ[φ;_Րs4[ qF~ݯ/ﯪk[RsuleEg}4ց Փ*j#Uw%m/4j\炪:?j?7Q.>>/;_w_ιmqO֛GjV@,r +aJ:}b^^sWqUϸGΕVVF bl ?n=sh-_b|oM-C3+mK[zV U`czG2KVo9%%ٜ z<'GlD6HdkU'OS(/  +\f9/k-d>be}*U`"˃eyzX&dT٪ FY9 |llFc9Y%d DֽrK.W{wf-٨O*An \9NPn +lX\\=,neYol/WȕXl2Oz1#W6F(Kp1<V&mn(m@m9\t l^{Y.r)K8g:~):z^$蛸:HsF ksRN8J,)0\gɳ*UI5`i +B+HpkݐB H(KW8֐vI,ܱ!`NKR/N`,Bv>h|['W[ݛAYZ(, f,~RЛհ+,)פ1Rޛ^4 c+@(Mzb P*]<`;W;Oz";Er- O^R̒z EQ8zG%d7#k/XZO0%P65.o4jO%XO$QY O.!+c#|? '0T61G3`OR'S()dzktfא7 e TH101pH# 3IORŬ30ݚe%Y|49Iܧ- %?u}ɻ6d[J{w\ͮ_/^q]x7?X+~rKIYQ;~16S%]ᒊ>X-2Tԕ&JJ"JJJZw]*I_-{CC᳄xϓo؊c%Ea\(ƅ1=g+ꃸb ^^O1AK?tD*`h߻Xb(,zXbs8}cA,Z~yckMF;m>yw 8sg{~_xo{SMRo¶zv1q-󯃈4{GoБE}VMA3},+U?_?;g9}#'c߱'>=b${_|."?*ߐoOwBʇT'6߉y< q}l;ܓVTnm B ھF=/jMW(4ZIh,gIw;+5yţ{6*?.vwoxsII@W5I]S.k +X#?dv`uij38 +.| +V~E>Z\^LVk/>F#j3OptO' 4W”n_goC  6o'zx =V+ +ɗeKx[֯=Q_y}'̓in!B3Wirhk@Ɓd1 WM;d뇜K,w4/,o%0WH?>y,QydeKIBukrGwCa prGm2lkX"H*t4:.bA8aFtp;n:bau\v\~s;n8XNGןisdνn[˽kO};f.Sd CMXs#aìahhL>hkRĂ8Řt]9l\<ssGrG|* uu\ܤܴ\\KnIŶS.톲"?¬~X}~@9xαsLLXFn1E#&G ? WV0/o81jjzV6oG mzۺh+?e7sC-E[zd>e߷OXۈ(^ {-RA(# m}ƈolka֝5VUqp7a9'Jk[]9Qf$V&֝7cH7o +r^kH>hf! ]z1=k糛c/i5ʮkgW/0,f~!2UW,.KbCԜKp[RMa nڸYk9[h΄-e,BC${lDA3CvY:0L8X7A-x-զlY6)XvI"p̕m%!ao[2f5Z Mgc,^Cv|ZXE2kfi2;mꎱ ɋ&Y ,!H2#x1 + {Ѷ zUټƚYn3) F4{*1a3$d EBP!T uBT't +=5 C-aT +¬0/,}IX&:MAh +a x1GC|aMxbeUneYW=( ~ig2]g!B6m7%!'+ǖ))˩i,v\ʹ33yЗ~Yp!t,%/ZEN`Ux QUN@2,P.E>AڦwfU׸hLSZ&h;!O8p$A/mmsKm荥g(/K YY#|aPf!_51-h[LDAXQ3onPfkzi7Q׻tz~Jמ^ [ymV?f7RFx.m~N$4# ṽ;ڍ7fFw7OB>}=K0Oq;itEcit|FǠmƪ~I0*b;6|lh1MI͆td +1L}s|cnA?I0 _pl pŋLxsyry2k@nc5ɍEGM33@JSR;mbH 䃶`\$GDb@y`k:i +3Li&)dn1E6|Yͤw,kQͨx'f!|-* |"%{-GZ§3.iZ—4$C1x4-B;ҞҌiQ ڌ2;mBJBkQ—][]o}) ]̼K49>> Ҳҗ2g'^|` Nfgnd Cq'/4*.c͌J>ғ4 H GYav~[;Anw*#8#,35iF/e_N%~)fLfPBvm(^GdhgLCjѦ-}G;3;2c2t#p)XӆKש+”Ɏ6@2i9XJ|J}J}Ұ",P=4m^mhy9c=c=P4hp' zѴmq}0JҒ m;)P |:i0u;7m[+$Ko>~$$ 5&&j45cuI 7g q5]Eƨ&{BMa#HՁEԒe XUcUfA/Fx7)TW8 Hw5k4ǚ(]!~;߼AI+_ڱ[C|3B}\^QG/Q߄޸~Js4^@ɋ7ۃupŋQ S:2ڃ`U0C +dR-;$-Bb=G!N5!:,恶B5y\Q;r[[ҕG+(׬מh.d\f_צj3ΧLj3:TA}Oט2 +Vt +Aӛq5a\3k[-*$m3ɗ\,SCy̿ MWF@W(jT;z"iymv:^U}ym֩^KtOnhD6hRw3֗k$;zHlI_ J_ILR&`cțJ . L 鏃Fyh -;بLJpŋl[|[![oQ"Jʶ~7p$O ԯ{*aOLrBr5yTӪc0M/Aڞ͠fX3]3=Ns '1]JK" x14]qܓB_čHH$.b{>j444i:i ٤HKI/C,qI*MU3x5y>YOl/K);WSR"yyJ,Ɠx~/5>yEW|ROKqBޘR[Ry;Lqy%z=6&@ڤgǯ-$IJ4Hq,g|U<}0/ĤYNzo dybWFW( UnUl\i\yU2KŪUʬ +b*-vHb3DgT! #z1T*ʥ7ɸ'U!كR*Un-z' B0{T06ߘ`rA9#>8nywNS=T+R(ͻ7NT)bWDA ;\$!3 k O9worX%w&_ٿ1Sݫ?pIq VbRUˇ~EGqC"{ս "y7/*nX(^5%__3b@DbD}kԱviVP7(; z\HG8j Y}I'+F"Duy+S)OH"4yΉ2r։e7I^DC18F 9-һ8Fˆ?MOžҷ8^2Ԋ|E>b~~hsVGGnp~2 o"=w#_E. !"8nxeY5B^97z9GYV>܏2y ߒ[;,~ !NE=@Ft7ir7(Ti*r/^BwCyN1EgQTr-!2Z3) \%|6y%iRϨ6_dA̓njQ]Bs#ϊȄsp_I$w?@-L&I\OD|~yyy5w¿A ' #I|4#@S,%QN yGAA5zVBqP^ ^)0C~hi8<$jv38KT"GRY$B8]$-L~xrl7WK탲\&^Z P>NhxuFI>ƶ?rAmFx_qŹ +ND4}ˉ}]?8\!. ͧy#q$] OqFֿ  ;~Smc`M;OoA%/*|{ivp;v45-rO0*/)QS ȿZg7*;H%~V?C`_8L}FJID%!⯑OH$Ǵځ#MR;Mҳ$c%?ogEqfaaMvaXED DDDT$P .D܈[AU;йInr}󯮮>uΩt'zK҃mUpĎݨr%u=\Nh*M\lI(-w6hc~΄m l*rlﲜZ=Fmj=wVRq,XMċ76{σfԠ$9ɝc<ڰqv+0-v+AE\j.*j3sg1ڶD^h?Tzcu2bk>}+3u<\=m= -n+ +֔9 "7*oJjڬĞ[]oR)p0xDQE bBY/­䷠BY8X"rE +U+KEmq8bi +e` +UI{7 4[LԬloUB[hN@fQDX,<1o#? qJ9Ev/۲6+m76'i̍si=ut#YsW;]K4>w[sܘݹ[\kQaWY(]b f(^ _ +i؅CFyY}=<~sq l]i?!/an\?> iNvXRE?j"^}[wo`{Ltg<68nl옌3;, l2Ն`;)u-zeeErvF==ñ΋^aB̎Dbj粄6g2BR]K`* ~۾^mp7ZV֝nk] [lKmV]n v${ ܯYΓph:7Ok=찚 +=Ϡm=g C? މj9R."ثG_KVub{F1WXe_ΌlYl5sI4ÍjwZ9z8Z^8;1zwzlXupsmz'F%7~57Oɯ2W[\7.{TѶ,1KXlu42l%鹌Y}r޽TR?o98찏6}5;o/#~>U9Qߢ̻[с|g;9G/o˲*V4L%O$$нY6ϴg'?(2bmævbt9zdBPEP.`/7qd2rK,(f-WQiWɐͲ## TVmsȾ@)?\5HSϞZd.WP(Xճ }>A@^{̔+rU--DZ2>-#"c1"s9#U={,fj*Hf \bٷc½ogeWĻb޳d eJfYG5:Xy@Ijc".KPcA/bDp%{e?|| ~ >Ǿ3ߦCSiwy!U-:[Xڪs8k eAu=[C3wM?2.Y=5~o=!s\)dXvf1*koT,ͭR4`E "mѬDYVmdF9߀(` IM5@KSjgs&./̞[ljqomvўzR5UT hOUS>q^ qǒS{DĿ{du9π>0!kb@. +3>w6YwyͿwGqGlc ѿ6fH0ƅog?ub;D>j+7.y)coh/ϵwskkdV4yT˫n#91]oizo-R"/ Tھi!T>(9d>5|*TY%-ě{v .oGZ~l } `.ȪkygvYA׍ud%Ga/=Bm5)P*BU]VDY_2TKF/VЪjU[Y7:Udz֢}^Ǯ/˿H%UTMUG5Q\%Zvum*J%UQH*QVKUMCk^uTUAǃSA+]g_:T7xĕWC`E/͐Q*`#v=_9h[Q޹p 8Jf8Niq:8 %c_}rp W m7;=W_];~[h``&Xl -cۂ`kF\: /ml9*7$6[!]KkYm$Dfq2-S{~!g1~Y+#C۫VU Ux?_J+C$ +Q +qfyRzhڮvIhe:P u ^wt_=KߧIVoozާ^kw7My˽'MoS*H\;l6׽H(%۱ֽV/vۂvV_J&KdS+lg$dmš5mseGG>z,*X԰sѹE#&-ZYZUּZIs6}՞gʪVoQ[>Jw\5&'9vCZ+ߵd긆v۶l/_rV%-o7Qq}O(]xc[jتՀVc[Mkjw3]o*7uY6tmӿͨ6Soͦ6ܶrۦmKk;wQn/j||e^ҡG~nF'qvÔdk hO ݒG%1}g5& oH+~i_ @C±Tj2\ +ç§UYU3\j-NtlX{aŪU궙 vK2CT̰0$V~dώCQ@uvjKȸܧR b/kodWo c/3l e78vԇv?oz__Zd2n/' 0b{ ̑"He&; s9=aY&42a,9˄r=aOX%Vrv +;G9UEª"aԞbY$,Vw k$XX"uM,R/H"(wiEM,0H"4"M4s6O,s\beZZ J:K.mK,>E:$9tL,rbbNENJ,9H"ԛj)B}~Ҟ6aIbXWE&9tK,"XGbӱH"g$x̙ez'9 >}7Lsإ_bwv-r"6E.L,rQb" N,rIb!EbaE.M,rYb O,rX"#\x̨2W1\XfLbkel*n&OOaouԧb-~5(3iMF +u(3ɶ>lRvUIV-#HʏtC&iRn,?M)3M߅׉DjtnO5#}#Z>GtKn-ьQ>#|D"HE)׎^u^}+1UK޼l6WFyͼn04o&l1[̻ff|hv.m>1{^7̧3|i?=ɷVM ww5%)Yc3k:y,0 "Yl6K2Yn5+cfyܬ2O'jyƼh^ղ={}Uczi`5 M#41MM3gZش2Mִ39j_Los9t4'MWלh12=)tݜaz3MOǜm2~\L5.l.5W? ̅fhf\gƙ$sl~on1fgFߘf 1rsa4#Ufƌ1cx3`&snn73̝fc|sm6sͦDK5Pu1ѮOcYѶ5ntP{s}D|:/s\:CGEM>'R"SSukHsuFuӱUwizJ:]R=uU]U3u ]Cֵt-u>F躺:[U_}>VF\D7Q[-g=Gs\rO <[=_WzPbX]%j^Ar\ + +u^W!z^ʵדj^WKYu~N?.:5\_PWK^/++5R_UWjZRmu~GwZ]oWcC]?q#^?V';WU ڸ.b{ٻItشQx8nJh]wjXM۾!Fz"Lo7MՕ5a"+߮Iwh=]"'[b,s$ϓh']B|nwbwP++:3ݮI7~@|:^=+j|NPȧ+~tR$ʾ=(.)Q?d|NQe*+k_6ԡDmQF梻y@b([YWحHB"]$[]WحkJֵ%v:t=nCPbn,T7ؽU*{[b}^$v%vI>]J>}T?*~LbqĮOI>]Hk%vK쾨_]Kn$v7$voH쾩ߔݤ7In֛%v-[V{%v?Jһ$vw{5A A砳huw[syKj|܊59(o:JۦʘRF}k3?6ߚ̟/Ws(=PUL-S;׏uqE\ƍqӸI,ngUse8S>7M>ɊqHExQ/2ݘGg +{UAguVU +UkyRSgjl2ULUSh6Ew;%qO6nħǽqϸW|J||!>!>5>1wόϊώϐj|XS>V+2J7 9HOF''(!UʜQD`VFU'j`XMC!*bzب>6j >:K5SMfAIPbG& +T4ybزj#NWǛG:JN؏M\%n,V}5Xt8ToUY U+jqQ\V^KFM6Γ= +cTJET?JQsP>Q,uC\Q>JR1TЕ9:@RƵsL|TM-qύS +qY +DV岢=)+qa\( ^,q ԔO-ԉȺnjW\O&u51jCc%j0uQ7Ē6W(֣mwHd-&Vk<;4wNOd^d3޽gIJy<gVyxyzx7''o$D,V < |32diכmdxR+ii%GL;xL;ȅ̡2)͔eFgAoeEi9d/mY?3qy6o\1~®Ti[t_Fl/חu$NUSƢVtUȻ>5># WybW<_(X+VOϨe r>ٓ ;:x[;P{7F>?xk޷ cްkru6sDzr 'zҠmkzD?L/G&zˈx;]h5ދ#/j~[#Xm\cOr +- [iGhUpˎLͽ2fr7amW{1=]i=XzaFI$;|ȸ+|$/OK.wfϐ+c/Kf,W7{]|)jT({gafi3_PʶnoHYt^%*7*{l=0e7\v|D +p(1񨪄ͪ lKs8=oH4ނ}kɾUC='ת.VBo')֣<i!Y)Gm2޳x%}ڈK}bsF\ڃ$q +m)5c3/{${Zu5MTsdeVWIݳU} =$RE5n;EzLcx}Yz/Ur6ޭ1w.xfzwx3y֝wUE/m([2{s7SEF gߙo͋| 5WBуJECٱ^XfߓD>G֋ڧFGQO|@@Tb'[XDldwxRe*U[>WW*]BrIe Ez +a蟽B &,HB{f j>`J0?Xl +szapdxK(|>~E 4:.[~ȩ4k9csf,٘;*-r;;wer2E֙!'32IQӴ7efg1[ARxD<5^䙼yʛ8ż/oJ13oߕrAn+Lp=~9Y93f:s;003`f;`#̄ 33 s'̝)f,Y).`J1afN1w sw3fN{R̽0ܛḃb,Yb,Ybyf̢C00aay8,Y$,Y +4,Y,<#)f9r)QGaM1+`VH1<XY feyqS*U0R0O0律`> |!̇)f'N)#`>J1`vJ1| q fwOR=0{R^0{S>}0R~0S0Ŗ0|z$cpEs0ClĶmR 0/P﮷}35EDMj"R5EDMQSD")TMQSDQ)"j(USD5E)"j"J5EDMj"R5EDMQSD̅b6"(Uma/s?)f͢4I ̓&G"B%sťR" 5("(Po{{_<Yϳj|V &X5L> V &U V ϪaUg0aUj`0aY5Lj`0&X5Lj|V &X5L> V &UQHC# +8eò e1,  cX0, ǰ,`X0,aY,`XòaY,>eòaY| K,Nj@#1>h$@#Wh$Z5[@4[4[A4[4qMVSlXMVSlXMa5[M|^#0 51"}X%;*y&x{akeg,O#aݼkкy1QLSGgSs0,[b X +)@ + O)@ +";)@ +$tJH#$5ÄD {0l"f-¸} +5{:ϸ.+ Rz`i'-h~ lIH G:uk U?=spy8@@@O!y4 8z%F +VI"m+6c &UZ^WVY!n!zG8)q"p0DFIH*AB{0O2E>cjeKDFYHOBh yb=n#+?y<_@xI5(a a'?W+A|D|&@ǵ&Bwg_GKɼ@%W>P >n >,0R&߄qЍr7ŹxsH>oh1$n/W2. WçiDL{@tշY 1|23Ct_IV__&#I^VH/Yx%"YU XU<*}u^΢ +`{px +uQ(l +JO>ApxX{q`iO)atnx5ނj *n!K!s75 ̀ho(o k1( % &]p:y½P*㑫ӣoH]"K:.GZ9"vF܆G  hkYȪÞQ9y͆NG~נT #^Hp>P*/+FNI z榣'Ծ$Ăn[PsނJ[P1&@ ,RO Uޅ*B49I3aa0}8xhIA#Wxf@s +ԃ+KJ#53 bsƆ_Y&1H(3  r\ r\hKq*_c0.&d'@CAГըŊOXot#bhfJ$ HnG5>4 f~i13T>i*~Ƿkhۂăh[Ơ#mOθmqY_q-ܘOzl%>o_we` \IAxwB-s6k2{#3!:670FRBz1;k_KσV`7dˣyTи Ѩ]`"`$0 000pB"b4[WgV-4#ե# 0/,8heuk4v@I;P(iJ%퀒v@I;wna@s.1N!r"dq:#XWV Ku }׻k3dߐE z x3 +DW XF +ĘޫMSjpA6GaJ"@ġY'5ri425D=%OD& R HYRT#H=Ҙ4##J. 2 '#r@&d&O%dyDV7;#l!ߐ]g c(cCPgPClpNL 2D *J@Ъ*-L<xab#%GK<Xx`9i3/^ x*5 +J57o x.=>| )`3f/_HHQ g,B[3̝ȐqyՓ_eQ;K;XtVMTim]U_yWb+nzO&'es`q6Aq Pʉ10ב2wtFCSf)uwn7G)gQ [j:55sJ ~aaڞ@v ]OKC!^<Öj4#݊ЈWjC(W& LAg̠Q6ab /_xsIa$] J?KIҽ,|ձȽ~V6'{$d23 xGGԨLbȞӪͷܚ36퓙ctB ]C)9Ag+NeHrȌϵ_)H- +rB/hhZ~BBwʊE&zݵ]t3@&o[U]{ +OqLMLIiHqDcmyhxa7&} 4\{2;ex^ +N(W"%2]*z'IL-ݲD"O Z[ux$f&辘=)op=ҭ'>thח]P2|SFyzմݚf_N8umN)镺 ߿^S|ѰS[Dr'Gn/}kH] +aŦp)ߴc%N2^,ѶߟYǥZG?~JٝnS#XJ -S[{n'Kl)iZTcobN{/OLPly5_E|dƑjV1y1)H>5V,[q~2vNx۰6mKz:' B:3OHHBu,:zti~iMzRNHN0kRΡfej ^|Xavfɷ57 EշSc7ƫP:nx&6X$}Fͣw7 M4^v#4CXhǘ;ixH9P|BvhRzyAeCB9tV0_2C.GG3^WN߮wb_(sr,v;R]ROɜipN6{?[xs!]~DL_6Eэ6XjngVM~t䉚_̾uib +}wMM<[g%Fb 6<ŚBo֋IڙDý>|[hW'g!~3hԖk8+Dߘǒx])oy-%BjoIF\b8jKFIU枧Z .̮آͮhx=a= (Ψ&1ϳ<"QAJ+ʦ$sU;%UKS<$궧3u"1]EV}ZrrSm@vgKLbk<ܬTQ67|a,ڮ&RC֝&T SwG?KMRzbl}]6Fu8h[+ޛxZ<=E5Gة挢0JakIç&q(ō[ܲLfAObգtU6&W/r+;U\+tcwY;o\ZKp,GfRKTsk$mQL^]'u)ȱt6ش)>CT$7bFO7d0V|SX1 ʺDu%M罇WsW5NX3˝8&'z맴Fi}Ⱦ?]/{# FEov*:1ERY2f,ujZ"yE7qki53m#ZaKH9j[V D+͚fvM}*o(,_n덶 ۄ4u: lpGQ_$cj'[UWQ +kZBOKOX,M*WLWnR*LjL 瘌㲯 +5^X{B2v[^ ӫꝸonpiabu|x˞g&Q1܁+=vdc.0ɾQ^kyyOcr ./Q:&=1n\ka-GTbTpʧbkJ7,[ǵwTkӉ1FFeW. +CD1 W<s^YYLmN`ƙ+|ΤmEhYJZy+ܵL˔u +XF숭TZ޾"'p~/3ynlGf1Ik +ɜoŒ}Sh}&NKH`pxic "%!OrlڔƢx"q3գ0[ĸX8}0Ls"P(EdRuݤˆ1m.NU);I1ZH{DBR|=n!l~ȑQY5̎v+Ѩ^a+A~=KEwoIHk>1Dm ץk3yt8ˏL#*TdOΑomo=Ag^xlV.9zrbu&5įf<*5-vQ)A'\<ǩg|=%4:]A%eTwInS\?qϹɍ jjˊ!ԨBeb8 ^Y?H.x11ӝ=eFrVq'ߟ;,}ZW qUȏ7E7>ylxcͅCkgX$9,ʨ*~*w~K3%7N)ۍ!'W|4X:u)7}UUY!cL,W\yia4}f-ucqJ&o-oy >>=Zp2~ι3iq@RnMUv +z&n]9M2+svi%9v\e CĹ +endstream +endobj +1994 0 obj +<< +/Length 13657 +/Filter /FlateDecode +/Length1 380480 +>> +stream +x `fͱ}$HBHH•X輸܈$ij5TZzjԊWՠG񨂢/-I%vp|?&73o޼3ƂMa5#3GoW15s#lA&nYȘsA1q.uQ/Ls,mCo/g?D[=zʬIM7>:x']S/~L7˓78kҪ6ߺh7Ny_o包3Mtzm? +ʅLsixƬgeI>ƿ;sIS7I55錦eH-2iִcvO|{sv|hS5m> iX&><ӬlZ^hcG_W:awz)t.5~ôMJ6U=K#)lSpSrWJl8&ƲY:dzK_A09i1rY$`Zʤ{}PsۣgE>ȳٕ:WWS`Nlzw%7;kLGہ n8iG`wDC:ϥݹζoFwaOFwn7i h_DFa'Rq}.h<.tm}%l/;㺻{I{{ߩž7{Zw/{`ߵw >@KkڕN/{}P;81S؍X +l*;;~㡕?ֻO7=Ӻr_^A zڶUY".XJK + rszdgcH8 }^t +E8uëzUZߢC!:Z2 +_EU+ FKوc;8- K-H悒\f"- h(o@3ѓ :-; - L-8h1I ṷ66I⡅!= ^Zj棅R[ T߂+- Jm L R o DCH HNjqZi-M,4-,¢-,-,‚-,-¼--œ-Œ0-L0ɇ2Pke *9[RyhsbER4Bڏ# HRT-(-/iR^E,͗ iKJK@"$~>AI_*֧1X +KnIH<|_U"/ѹ~=SGOy2nYz'iDp j_jz6W 󩡧WDT7tnx.))]\7vqpMw\rͳ>+d-EQZ^JVȹzK +g(xt'ɣ`>OZҦEe/Emys S1;GVWTTyTuyy.}Su$r=.;VT1t>fT F5L0ʼ^IwcNlȺɊ,˒e_\A74؜&DKMcc(,9kflx󆡚9f2_i4j<*IG؏4F+=\G{\٨)J=ͣMv#яäTzF=)HɩTVJ}`Ik۾͑xr;#탪HT4]w}t816ǥ YY:ܫkhXTDFhZ0=!B~Mׄ2Qi8/9|kS_+9yԱ_uZLcz#:n8 Gtu^X$Z~u,-]A #*.1*;p4%"{R>z}F +[r^SWրZJ[UW1#8]ʾ.gbҒa7NXH8)OI$YR6K +wyi~[b)թ* |u2Tfr_^Cwt#-4e t̯fÿZxQ4%MyY4҈x4B3p˖HX%7zE4X%>Q)oMըHԊܼO=SvН.ꢾ}T"↑94=S͛x\۩kq‰~1=7y9Sj c82\C-m~Yjn1?vc_xD?@'Wz;/UybLuṳg@ׁsJ? JbňinEV:rCn*d}Rqx-Ke_EcHCX1eIӧNpA4/9+Nkr3]Izѕ$yPq7ys_#\MT +{ ȶ[>]$7q9~vaHy.'RsQaI!Ə;OV9HItWџ5k?w*a^uƗ]k_Yit`99\q~@b QP7u9eEU=կ<ʊ1xh\v8NUsjj{d<N92`a:HgyRrF54 RszV(롫avɏҽ?{Qo7+o̪UV+hN~湽 &'yCi!uy5e87RꑸNݬͻUs|nVt/EUߚ>ʼnSC/$vl=/}U(?{.2C|y*+ͷRi|53>EQ>}<+9飔Gp4غ:}x ׋#hgiZ nA`Ţ`^eQ(d~}+ƠCydz-rI8O#>-N;tD13}xI${Fb=uy: E҅٫VU蕬:CNYzUa*Z˟O[UG;).(rZH.r_b=janNb$_9+o&^|CSJz+z%؟V=ŠdnLؐl1c'osSG/W6l> ++Wܫ͡siף]bb@('[\lq|~u}rlu~nc;%nKA[U    IAvi&_펍΢<(jχ⠽(]iߍ3wBwDކr 1ч,Alp >8  {|JAAAnIv٣s0) >   AAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAAdO +3 +&3HJ<,@KOeV^I>Wcմ~|H~r_y=/L>Wtn?@kl=ɦrF٬Ne' 6j$v +;~"-AϡWY5z^ Hzz@6ZNˣil&ml}O[ʧ=Lܒ4s$zfn{=?jtTg:SٴdN~϶֞K-fS_{pk油}Ih>>Ȯvy-KXélv%[MlS2>QT_0v}1v@r}~܉>ovt_`S;clюxHԟs~}ߜÞn9[,V} )Ww2؟5{#~>~Weo+m_:7?4?wv1T]@htw +?g(cKww ݂Wwn;S` 0@-@ؗ?ßt}t > `?=d}ғ5}q`;Go,|=gbӲ|SLz527f>g پ2ۋ;=f2 sZm֤wEK>f>gؔmgd{lOoiRK=Xϙk=3{FZl*7fti{*} ޙ>>1}>탑#'C$b/MoJw;>5gup[}c3gDb1}.dq;?Mǩ=>}qS}A}/9>"snS*Zze&Sbt66%66562kE-dSiSbS;Ԧ6K[?Ú#mJ_j1s=DmMmM̚䷩mj&N]lSަvoZɝ8G +)[ɀ6c0;ڃU}6b@D\Rrg5uuQB{~G[̑ZMmMmMmMmMmMmMmMmMZj3G܉sxw+#uǷ>n$j=iSwfMpZئVnSjS;̦6ͦvM.n#=u72s$vMIڿ3ks$ԆMiSK{[;qoSo%RsD-5,`؟ M]bSM> +63kdS*Ժeh9[ΑXd%6nS<9GjZEZ̑ vڧ4n%9fed=̚5η-eSkR9Α,Im̬uΑZ_h8ZM|"]6Vڪ..6s)ݦJfl~_{' aNȐmO)X8 %kf=fS{٦M?5צVdS`S;K[s5G;%ö)㶒Ys$ o9GjmjmjwlSЦZIܢtuM.5AN}F\ ժU1Ciou_iێ/hmtWUuLg,4IV͒5Khǟ:OFM^vm~,Y2}NYZvwش; x //QspI?7'AU#,otܡ+&]pM<`A񕵝O֤70ڥWhq{fm5):g nfݛl1ȦvͺwڴM25'}" SNW^ǕY8%8U?=sieHi"pÜ _~R^]ٹdMz~muuF; ګ53vܙ++mmPvԾ(`;gIBH!]> ukd?\Ynyъ)+<>'_6U8y&xsʞz3|yM\g.yea~&< ɚ.ݭewF;Uj2jeCUl]h\uiwM1Ŀ? Q'_ %c&v.;a‥+\xƊ!s6~y[NIa+H?nMr/+VC}Uj1ͻ}<|?:7ЗIR>wOXm2֓ +Vz*֛Uև^~?z6 CVkg Kqh_|qP\$%RP\&.W+oUj[qV,uwb^^ n7-Vq]!Zĝ.qG,xH<,*&DX&OIB<%ψg?Jx^ ^/*Z"^kku/X+oumxW'GcT|&->'_^|+6;$DPUIUEjCu.խzTSj@ !5FԨSjPs\5OW BH-VKRLjKR{jGUԾj?:@֫u:LmPuu:ZUQUSWPTRVQUSSǫ'ԉ$u:ENc/ɫZM-yzO+Qhe3erPL\rr*j5ʵ"er;er{F&fVmە;N.nO=R^>~A_*SQ<<*˔Ǖ'ʓ +)iYJ9yEK*eFyMy]VyS<ʵ >c^b0wƾoN1Q LfuLEQhAZ*B)Nёwh=yֹ^ zuAP A704Bo1hzM@I>A 4 P 0 pnx`B@Q BH@H<2@ +@(eTP@hm`@$ >0` +̀9 +X` ;3p e`9 (``%`X ?@  @(`="@$0@4`?s +Jҋ)PfI:xԓ 0,I3F8 (ǫCCh! z`z2 ?wT 6BP 䙫{}Ue^x&%vfa8Fi]Jt?O `r!Dq,,R ^х+B&v?V4=|SF_'NyɄޗֹ* .,)wu6?"k IuN (7>"$\l'zLT÷I7ߦ'd^iMuХ-`Rez|9Է-TE>7%wWIUVxu6iBݵG={-''ܙ +Yfab1h F;>b50Rôob F0K"b "|2<)le̚HՏl0Tp3]:݈ѓUl{Jڊa؟$y/[fv +cHr=$beQG-+[R3_9E<ȴxnR %9%g>5*OsJ'y]MB7ᅀI0uI# ?7V3ENVmZ%%]+iy8bSv_ `9mqY-z8nНAc XhU>_|N?H$Fś ڪRm5Wv*1 /ËDE#-aYXgdf*zۧcgS.n*R~gnEZ?w6 +yqQGvAڗ;t.6'q.b'S|_⃚; XiV11c/|0ob<|29Wq )D|&Epldjo7nx=*͕Ke+3ɺS +7H͒w.3 ί/^n =աY=*}'NzE\%tXTp^J2{?Of\}Y48GI0ga?]tӄy냐ʌ߆Q%YW$TiXnfTI7a]_q3u fΔXbk\Ӭ@WP0r$}[eNu7tz;&U+=: H.j| ( +9UI r|>)~Ϳ.'tVݶFBu,+@<$O)1N?GTY-ssM?tAQ#3yևqzuhQ ]c.kA,EQ´(gbe&͗z&S#G,{uV*ǛcR}kcroeTۣOj4x\صoCZpA`lL؎1ƃ˒xFKBKHk,,]1z;g֩/_ݢIkW?(c!}K) +Bg]7*_ Xh0|&Ȃfo +dH.o!m.3UD.,jdǓ~>}a[o-B( tx=*|qv&t~ KHAQ FRyH#O9KmSAȌ8u]T-4!!BR\IJHle6<$YCpUr|4·F=1^׬$6lFKi7WZ:VrQm;c}5%1/=p3-0?aR +i٪Lxm{շR[J,ev +*{o=7Й3r&iŇU IBm }ic[--ؔ'fLnΟ]rbϛU/OɖB9y, 8| +#]s(.W(Rc+a4կ#(DdL#t}>5ha5gwD) MV2) XG> +stream +x tՙ[U]]͖ZزԒlleV,ƀ,o'! :Ʉ!ː6$0$drx !1~߭Z'ygswZ "?.-XtY?A?x``?!D.&'ā6>>[r#<%$qkwF7_$1Uݰk_ #;QyI7Ǜ7luC˃7|IqȺSzh?;n rͣs)&y&q#}8p|t~;\Cʽm[w>F|kmo |+_%6 +c|$έ#]y4InR\|=VխOk4-+t!V]!b$Gbܤ+/(r^[Bm«+H3KF)NQsP?uȩɽC."o9^YN}? O-˟%u;_a%z\sSS;} +&+iᬀ?YNWNn;/ٗzWs'-.-++•銚a{4`:L2SѹRx3 +5 2JGJTLt+a dq-}L N<&'+q̩seNWa2ҩ==J1W(ʼtT&nL'=Q[rԥ+\YT*eksJ]]Teҕu(>9te.WӕܚgrkCtќ1j1111!*QQQQd(or(g(kcdQhc#cc#cgC"u!DH=&"/r*R_(|_1!2J2nSY,Q(JrM)K?~իPXH[8]b(e[rn*OC+ORnuT|tکOR[S{OnUi'>?UjXQIVEmRO +zYmjZj~woSэ~F-~f94Aե=zNuI_)^GIȫnP~^r|7GMn. Pn<Йvqߒ5DyBTF0/ڙ=Bd'7'_n@P #AGe$"Jԙ3P^N4C9y!϶ADZN^ʦxNX"@++JDN[./w_n/P&s5E+gdE*嘈:橬!jĻ[6Ϗo^мrUS}}2q˦?i׮Mx-]9| 9x x_5qQq(^!g:˓5"?-^o + ZQҕ/{aW|a囅BD3-k޶SS+ +T*JEnFmy>'ZTѣL,\/4n~WmCQqEMMy!]PU!;Xu-Z˽+ErGJTd HWp{3F˳3/ϝt/EXᶩ<&{)PӍ닋;%^*ȏ<9x9/@9rE['TNk"T4)grSx9Rk5 y3ķ{"hm%-s.l 4W=]ݽ7ͭ*~dW952,+sʮ&QU?_Wb]7^"(:m3^gmjMB-|EmawUHJ`zI:gw웟ò벳w.tNMsEcc/~wo|‹7D%Lueŕeo>{`w+tKyqKSI=0Soo!°S(4=9l#u)[Xh{RF5!2&M?bך&<8y{jqNMyD-} PlEcU^e"rխ%% .-: gvn$,Z{7/C{J^Fըe"KƻM)+QҳX[~4MaJ|5RV׳|nK=?~M}}7-,xFL}S= =^XxͫV^u BY6teuz0URP%Q+tNg <;z7- #0TgxGEn0+S^_tʝ;Woj%Y\I܇RUURzTUUT^aU^j;Z,^Y5-))U\S~|64UY=|"W^Q%aHy⩭Ee6/TECty|&ňRܧL}Oԟgy(3Y~W< j~ڧ׵!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!B!?[DD )]]VH5NY]]1n2hSdlh!U./׺hS1PPĜJ)2bܜgSdl6~W8oSve̴lhT\h5.rȖj[D_i͛6nnn_뷯_>n7En޸>zƵ۷غaܾmэ[8_7lb1:)BRZ)vsi)w/AJM4K2Z%t3mmw?i='\uuK#\Z#\mY{nQY{rϨs;[6riYg:vιÉˌzF|N|($=2SV|$&lNMSk͈ćV3V|((r֛^;>+KOdƛv/#> '>;[{G3gpQ>Y #},;ޟ['>7׊53>ۉΛ{ox3sx##>U'>?_2s[bʑW ;>I+&_'[' +w 9|R'Et99QYޓG,p|=A?dξ|Vr7(}^禊፳`O;f9*؛{v҅3*tt=k#Ǜt{z޷r}EE]?dZ>4Y6:qtzQy@߯}xfȓ ݻ_+u[E<Ѷ~P}MxFOX>фZ['tYȟL*<7Go'5n\_f{>Eyn|v}8lJ|W9O\ƴ˓E# Zr@9<§)2[#Iʊ6GG+|s>yip2nk\s M8QٴTֵ'eoSI+4]9rA7ͤWx(}1Bs}eYԫWEP`r0-"k\&د'ҕ.SFJ{=Gd;{nDIMzM4e/ڪ3"cwM]]Zq+ı}55;r׾72rȞ}s{`~+_):\Bs?ypbB񻧆yt/%]X;d KPe. SUhс% Z҃(G:E*L%, 0&- ̧M89.cՅ׻{;!U\&XW㽜WDP; o'OG@T! 1__V^yIN.i(cpD]ni'_-M+4lX*F [! !N#z~K ֝xE?~[I$c3 ~OH$Lʓ٣@@B Mhj[‡ԍ "ф@oh,G$# +%*SSWs+q+}~[8h~pO!<|րi_@BO!2>c 3n=)"22`|'zɄr~8 LՉBB i].%=`+Bⰹp]URՐߚVu:ԩ\=P"!oU)9Tu+']稩7=ݲKy{< x4'4 [6.)uٓH N"cfxJÆHz_"$DH? '^;9f⭡ag˱53]"onA7=\&~v)a7”9qfS.ӥa +ƞPWE71ͳnnǛUS'6eRɸ9[T2) \,nֆ_hdb'0s=i R%Q'geWЄ<3P\զStf8&BTBW:7‡+a#'RǓBʰ':m*)G4|K9~%[UmKrц՜A562tzCK)#$s|XgܻWU<\5 R}'P+$[Ъ3k~a&- -\NLn&0Ͼz*R'n%ǿY~(mjrWHhmm<˭f"}/F'i)b1yK2Y(+gS&g f;D{EGInr%OǎF);gҰ~ٚNv¾;;G[,X:9~N4cojS[3IV{&Lԫ3ee쬤:k'N=}D7*=g9j+H@6/BȠ&On<hw@'yPYbT{UyLzbRr˒YvMR t\fq!gX;vڔm*m?쬍z:wb_X,],;a ; Υd;"|>{zK-6kr7襘J֊ +P8@I~v'6)m"I4b=*E(Y=/ӰGzHՆF:Ahc"EʽO,۲j8<:JWc֬ +$_ET8dzDyECj2$3p|+/sbN$=NJNlqdҢߟ;Of6% +s^wg܍,]]]5k7ʼb`#."(C ›n +"L Z0pasklh;|^>W'Y >dEDR86Kf;y˂rn<uA7d.(\r3sQ"4R;udFB[R2?>#!\ ^v]p2z$_O|TG+g|n\"^1qدppu8z1414z-hEmhGS$HΠ ~Uu@oλ("2B9vcYJTZDUϛ~i0}:y/KAFfV&vva.!1_om?W_?;^OKz\>'͜4Sf<gΙw;/ f} +endstream +endobj +1996 0 obj +<< +/Length 11090 +/Filter /FlateDecode +/Length1 83112 +>> +stream +x} \TUsadq%Y DScAP`=2-tH3L415-5+rw32}sss=<3 + " <*gq{!)56*:{mVc%;cC=B{{'%q]NN[w_>Ly-s%P]0b2`Xg)c)RS=eː[(++_861iJyU8O45^V`sL&b3[  +0TeB" +XVP +u#'pp㖋@x'֟"+zO)h3[hƁ/- Rmi(((((((!0 0̳-S+{DAьLAA +XZxu YBX +ff`lN,- +d2` 6`lK^ZA+dŽ58!;>8vdWhAnA-OD"!tȾ|:A'd(_w  9w]&t% ݠ;rwAA/@(r(!A8r8uI8 +!Zw b 9p!/"_^7A}+CrDH]$HFN&)`r* ] `0`C`" _44*HGN ȀLLjP#g00p6d#@p<wȅ< Sa*rLCFx:L +o[o 0y&6;,Y0y6As"y0y>,@^ ~՝`"x}X*uǡ>@ /Ka2Pw >a +B"V~Uy5|c5P\ tG`G^" +7gȟFϰ6!oϑ?'6#[ta |%lE +55]l#B +v ZZةv_.]y7A~o`/^`wp@w>!ȇgA G_18; 'WSpWp ȿ> t98|~G@. _o"\B/+p*\kp:Dou{6Eu}x"?Gȏt=ctO{:ϞΣ 让 p_7߁&H1p^´i5{LZ + +RX{{-|AAAA33S3S4#IVo84Qhܣx%4#N=K4Q<xʧ ZH̚Vo8-|AAAAKK͈SM7(Z'ςYEjGVG"qYe͊{M7(ZO jFjZMs| +OAAA H3~Ц_'hиGAA,ZZ6+ɚVo84Qg + + +YYI3ujGFE"x_)(((`o/5+46~ЦqE`PPPh~ .͈SVo8-|AAAAр̔^ ϶GJ0zE o KLkmJ lMVm鑩T}}䴍ᐎ miT}ZN@{Msjsin>(fbB"1ݪYD{ȫ; +<+SUӜҦAQr-&ƌ̮NB|1D]aIڠPɫMDW6Jӵ/ܪUˠf6|l0ZQ$R-镐6 UZ KU1+b@J!xJ"=b[;SV n =qdeP~1T3L.`_}ݎNn+;i{Nl +`NJ;twd3XS.&#% %<%>ElRb鹴'ѳ'k,c8q],ŭb{Zl%%bX$Y1.@ϸhiK]d@tvFFVуZ{@RMԼ񦽽UAhHEy qqѸjEU'%酢⒡EC \DĢ?$-3|ZV4;&LGc\XOBm&jC/ã7Ҩu78 +w' +By֕c>]Fٚ3ALP pdB:hA)S`̆E1l/vA N{p,D۱h9}UlzV6-f3n5 5SEl-P+z m=G'tnpG7uuLhM](۞͹sXk?pvZ3-:-t`[.ÖqiO pB<0@p7ԘAd2MssPd""ּ?̽e``C%L*7H0+}X*.(u +rG0 61|-ǨiHșGgB 0" (NdܩڏkjkiӾ |0Bٷp~>{ 2`"ӎG;~A† .ǝPR [D^, nڲl6t$[0F0Mdd,(.NO~mp793bV LYN)JTɲ'rD5mRHZ .$kL\b*xѐBG55K0CdnCx0H `G]7u]& *X` AO~tQ8BƲmhmm7P-xWx;5^G"gxHQ$+()Yd5l/I=Ƿ` 6;ޝxZ@%} V;o0_u1=R\߮qƾT1FŤn~(b._.8uj֨G8H=>A70 z[ #2|=[|^)WYd7hK)7s 7uO};q^ 8y׭k=[Cy}+IVa]k{j醵o܋ 37M~GWjclߓޤ֝~u>*6ӧH$UEVQ$S*P +z b/  +W ??c%ـO$M!Mt>0bc5rZEӫs+`G\1T2B{-UBy0[m6Zo@qpߑw6I`#ܷhO7~\ ׍ b/;u.u 2\Y +m mj32hf3`f"ec0UY|Ԡf'd"Dè׿lTzCƽH1w MzL# nmfp|gge3YE|RFLƒ`6` _vE<3w0|;[wb%Lj?Dxa בp;څ|4<"ܞW%IGpkT6?r5U۝Ps;Xeb:9fBL䝣yqe +W!:U68% D6†Om|y@UXjiTIa*dNnZiVb#JV*V+]NBjcW#Յ9Y9b| UQٜ!;)(Uy9 +V"PA0OR$6r69QJao_sssS! Ҕݗk'꼿Եݝq 9:68 M.9u?/GEq_lWߨ׳ԅ\ķ;}؉QY%C&ظ8KB{e]<~.i&%*:^JNqSv=z拀__9-Yf] +zYX]7%eEk ^"e.{H=͎/ 4a*f% [|vpF:noڽs&Q +f"p܋bsG=u`eOڟaQDM}tY7g \{k,H,g1%P<`%60FZM.aX{e_%iְ$1+GQѾҫ$-" Y~dU(K+0ɣ M`/\&ǹ[ކ۷aݽ>5 +YbC=M/~+f`w$ZSS[9oټ~%Q?_X>y[̻Sz^:ܼ;3:ǯ).OI?W%M,k˱vܤ/|5g􁠑_S4ަ${<3.2ml۝f]]s7'r`ґl{_"ȭz!?ɸuч+ָo LqDxPP6?nс ONFHU,)]zc;M>V)oXQR))o]JBŰ=+JC+W]z-7/MV}T>4Q_>xgv8 ۴TzKwV\t~kr[]Lwͣcu;״ es/kKeI PQ#\S:NW.狫u7vyaߨR}([¯KFPPQ6Wr +?>o+'œҚ7osi{x4K =engݮ_0]W{A+;'Ե ۽wqc7_XlU0|su?#O>n*]]<}T`]iN=?H(?Qy 5{˵ۡx(WNqӡu\ֿtخ=NHڰkoU ծsf왪QGVav8a]4iѠSgtldH +眼uɳJ8XWp`0\_ ~y!P%0P?߹~K([n`_7$TE(PX/&  ~R}"ģ+ၱ7Gծ7$,~~aqzǥ 2>\uv'.6.?~Y֥*#NXzŁc#Fa%Tpxi.6q FRire+Ի]"z׿?]X{^!nvlVecޘtQ)Nq:&jA䗮oȞ.cL91ۥx`٩$!O?V 18?(֘篭vb-yKٌ#6i 42iH1ƕ64x%cb [2\Sנ&3'$:8b]̢O߻zj5݇cb?l,zMG[OX=1NIϯs~+[GDد\l,1raҗiI`Y⮂ԅ:6^pI{z;lé?wT\ ^*x5Bv w] s*̬Y||__Z\tw+ohi[}7vSv[@scnSfl=y=_=w=Y!dՆ3|V&$3w= i51NHFQ4;i?I6sVGɷ$ҸРq^Rdaɒ(0 ސ6mVH\9_Av&HT(?4X@B='f 5E`Z|?bv ؐL'o}Z!z[QniFՖu]14Ni\|}ѾSLr,<3 KN,>Gڭ8TfZ'G5nk=Lٰ]~K_P*K yfypQUŭ;e.F.=wU۝+|tSM = 6y[2 [E^ݳ_9G^5Γ^O*)N}CDKg<&wyai`yѠq)Q +x0" +UѐpV'nυHžf^m2)<>x6 KX|aSbtfLUUTeD=G&trl dl2eBߊ+76H.@KT/١cIUn|Nʶ;^J;uh2q_3|-{cԣş{]OʢIiӷxi&&wJV&%Smߏ}fC^j Ue(3svw;\M\^f|EyOYi2w ] +/Root 1 0 R +/Info 7 0 R +>> +startxref +775220 +%%EOF diff --git a/Document/Datasheet/UM_A31G12x_Eng.pdf b/Document/Datasheet/UM_A31G12x_Eng.pdf new file mode 100644 index 0000000..92685a3 Binary files /dev/null and b/Document/Datasheet/UM_A31G12x_Eng.pdf differ diff --git a/Document/Schematic/1.MCU.pdf b/Document/Schematic/1.MCU.pdf new file mode 100644 index 0000000..f851198 Binary files /dev/null and b/Document/Schematic/1.MCU.pdf differ diff --git a/Document/Schematic/2.DISPLAY.pdf b/Document/Schematic/2.DISPLAY.pdf new file mode 100644 index 0000000..c117199 Binary files /dev/null and b/Document/Schematic/2.DISPLAY.pdf differ diff --git a/Document/Schematic/3.COM_PWR.pdf b/Document/Schematic/3.COM_PWR.pdf new file mode 100644 index 0000000..f287971 Binary files /dev/null and b/Document/Schematic/3.COM_PWR.pdf differ diff --git a/Document/Specification/이산화탄소센서.ppt b/Document/Specification/이산화탄소센서.ppt new file mode 100644 index 0000000..734583f Binary files /dev/null and b/Document/Specification/이산화탄소센서.ppt differ diff --git a/Project/A31G12x_Co2_Sensor_Logger.uvguix.Imbis b/Project/A31G12x_Co2_Sensor_Logger.uvguix.Imbis new file mode 100644 index 0000000..96481b9 --- /dev/null +++ b/Project/A31G12x_Co2_Sensor_Logger.uvguix.Imbis @@ -0,0 +1,1896 @@ + + + + -6.1 + +

### uVision Project, (C) Keil Software
+ + + + + + + + + + 38003 + Registers + 188 122 + + + 346 + Code Coverage + 1410 160 + + + 204 + Performance Analyzer + 1570 + + + + + + 35141 + Event Statistics + + 200 50 700 + + + 1506 + Symbols + + 106 106 106 + + + 1936 + Watch 1 + + 200 133 133 + + + 1937 + Watch 2 + + 200 133 133 + + + 1935 + Call Stack + Locals + + 200 133 133 + + + 2506 + Trace Data + + 75 135 130 95 70 230 200 150 + + + 466 + Source Browser + 500 + 300 + + + + + + + + 1 + 1 + 0 + 0 + -1 + + + + + + + 44 + 2 + 3 + + -32000 + -32000 + + + -1 + -1 + + + 635 + 842 + 1795 + 1191 + + + + 0 + + 495 + 0100000004000000010000000100000001000000010000000000000002000000000000000100000001000000000000002800000028000000010000000300000002000000010000004D443A5C576F726B696E675C4769745F436C6F6E655C535F436F325F53656E736F725F4C6F676765725C50726F6A6563745C4170706C69636174696F6E5C616374696F6E5F70726F636573732E680000000010616374696F6E5F70726F636573732E6800000000C5D4F200FFFFFFFF4D443A5C576F726B696E675C4769745F436C6F6E655C535F436F325F53656E736F725F4C6F676765725C50726F6A6563745C4170706C69636174696F6E5C616374696F6E5F70726F636573732E630000000010616374696F6E5F70726F636573732E6300000000FFDC7800FFFFFFFF48443A5C576F726B696E675C4769745F436C6F6E655C535F436F325F53656E736F725F4C6F676765725C50726F6A6563745C4170706C69636174696F6E5C736176655F66696C652E63000000000B736176655F66696C652E6300000000BECEA100FFFFFFFF0100000010000000C5D4F200FFDC7800BECEA100F0A0A100BCA8E1009CC1B600F7B88600D9ADC200A5C2D700B3A6BE00EAD6A300F6FA7D00B5E99D005FC3CF00C1838300CACAD5000100000000000000020000004401000066000000000A00002F020000 + + + + 0 + Build + + -1 + -1 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 440100004F00000070070000E0000000 + + + 16 + 70000000870000002804000018010000 + + + + 1005 + 1005 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000660000003D010000FF010000 + + + 16 + 7000000087000000B001000054010000 + + + + 109 + 109 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000660000003D010000FF010000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 1465 + 1465 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000003402000070070000C5020000 + + + 16 + 70000000870000002804000018010000 + + + + 1466 + 1466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1467 + 1467 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1468 + 1468 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1506 + 1506 + 0 + 0 + 0 + 0 + 32767 + 0 + 16384 + 0 + + 16 + 33060000660000006D07000003010000 + + + 16 + 7000000087000000B001000054010000 + + + + 1913 + 1913 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 1935 + 1935 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 03000000370200006D070000AC020000 + + + 16 + 7000000087000000B001000054010000 + + + + 1936 + 1936 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 7000000087000000B001000054010000 + + + + 1937 + 1937 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 7000000087000000B001000054010000 + + + + 1939 + 1939 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1940 + 1940 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1941 + 1941 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 1942 + 1942 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 70000000870000002804000018010000 + + + + 195 + 195 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000660000003D010000FF010000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 196 + 196 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000660000003D010000FF010000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 197 + 197 + 1 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 0000000030020000000A0000F0030000 + + + 16 + 70000000870000002804000018010000 + + + + 198 + 198 + 0 + 0 + 0 + 0 + 32767 + 0 + 32768 + 0 + + 16 + 000000002002000070070000C5020000 + + + 16 + 70000000870000002804000018010000 + + + + 199 + 199 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000330200006D07000094020000 + + + 16 + 70000000870000002804000018010000 + + + + 203 + 203 + 0 + 0 + 0 + 0 + 32767 + 0 + 8192 + 0 + + 16 + 440100006300000070070000E0000000 + + + 16 + 70000000870000002804000018010000 + + + + 204 + 204 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 221 + 221 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000000000000000000000000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 2506 + 2506 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 30060000630000007007000030020000 + + + 16 + 7000000087000000B001000054010000 + + + + 2507 + 2507 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000003402000070070000B1020000 + + + 16 + 70000000870000002804000018010000 + + + + 343 + 343 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 346 + 346 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 35141 + 35141 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 440100006300000070070000E0000000 + + + 16 + 7000000087000000B001000054010000 + + + + 35824 + 35824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 35885 + 35885 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35886 + 35886 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35887 + 35887 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35888 + 35888 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35889 + 35889 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35890 + 35890 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35891 + 35891 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35892 + 35892 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35893 + 35893 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35894 + 35894 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35895 + 35895 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35896 + 35896 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35897 + 35897 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35898 + 35898 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35899 + 35899 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35900 + 35900 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35901 + 35901 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35902 + 35902 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35903 + 35903 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35904 + 35904 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 35905 + 35905 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 38003 + 38003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000660000003D010000AC020000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 38007 + 38007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 000000003002000070070000AD020000 + + + 16 + 70000000870000002804000018010000 + + + + 436 + 436 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000330200006D07000094020000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 437 + 437 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 7000000087000000B001000054010000 + + + + 440 + 440 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 7000000087000000B001000054010000 + + + + 463 + 463 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000330200006D07000094020000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 466 + 466 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000330200006D07000094020000 + + + 16 + 7000000087000000EC010000CE020000 + + + + 470 + 470 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 47010000660000006D070000C7000000 + + + 16 + 70000000870000002804000018010000 + + + + 50000 + 50000 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50001 + 50001 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50002 + 50002 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50003 + 50003 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50004 + 50004 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50005 + 50005 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50006 + 50006 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50007 + 50007 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50008 + 50008 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50009 + 50009 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50010 + 50010 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50011 + 50011 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50012 + 50012 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50013 + 50013 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50014 + 50014 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50015 + 50015 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50016 + 50016 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50017 + 50017 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50018 + 50018 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 50019 + 50019 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 33060000660000006D07000082010000 + + + 16 + 7000000087000000B001000054010000 + + + + 59392 + 59392 + 1 + 0 + 0 + 0 + 32767 + 0 + 8192 + 0 + + 16 + 0000000000000000D10300001C000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59393 + 0 + 1 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 00000000F0030000000A000003040000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59399 + 59399 + 1 + 0 + 0 + 0 + 32767 + 0 + 8192 + 1 + + 16 + 000000001C000000E701000038000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 59400 + 59400 + 0 + 0 + 0 + 0 + 32767 + 0 + 8192 + 2 + + 16 + 00000000380000006F02000054000000 + + + 16 + 0A0000000A0000006E0000006E000000 + + + + 824 + 824 + 0 + 0 + 0 + 0 + 32767 + 0 + 4096 + 0 + + 16 + 03000000370200006D07000098020000 + + + 16 + 7000000087000000B001000054010000 + + + + 3312 + 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 + + + 59392 + File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uild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ebug + + 2373 + 00200000000000001900FFFF01001100434D4643546F6F6C426172427574746F6ECC880000000000002500000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF000000000000000000000000000100000001000000018017800000000000002600000000000000000000000000000000010000000100000001801D800000000000002700000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001801A800000000000002800000000000000000000000000000000010000000100000001801B80000000000000290000000000000000000000000000000001000000010000000180E57F0000000000002A00000000000000000000000000000000010000000100000001801C800000000000002B00000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF000000000000000000000000000100000001000000018000890000000000002C00000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180E48B0000000000002D0000000000000000000000000000000001000000010000000180F07F0000000000002E0000000000000000000000000000000001000000010000000180E8880000000000003700000000000000000000000000000000010000000100000001803B010000000000002F0000000000000000000000000000000001000000010000000180BB8A00000000000030000000000000000000000000000000000100000001000000FFFF01001500434D4643546F6F6C4261724D656E75427574746F6E0E01000000000000310000000D57617463682057696E646F7773000000000000000000000000010000000100000000000000000000000100000003001380D88B00000000000031000000085761746368202631000000000000000000000000010000000100000000000000000000000100000000001380D98B00000000000031000000085761746368202632000000000000000000000000010000000100000000000000000000000100000000001380CE01000000000000FFFFFFFF0C576174636820416E63686F720100000000000000000000000100000001000000000000000000000001000000000013800F01000000000000320000000E4D656D6F72792057696E646F7773000000000000000000000000010000000100000000000000000000000100000004001380D28B00000000000032000000094D656D6F7279202631000000000000000000000000010000000100000000000000000000000100000000001380D38B00000000000032000000094D656D6F7279202632000000000000000000000000010000000100000000000000000000000100000000001380D48B00000000000032000000094D656D6F7279202633000000000000000000000000010000000100000000000000000000000100000000001380D58B00000000000032000000094D656D6F72792026340000000000000000000000000100000001000000000000000000000001000000000013801001000000000000330000000E53657269616C2057696E646F77730000000000000000000000000100000001000000000000000000000001000000040013809307000000000000330000000855415254202326310000000000000000000000000100000001000000000000000000000001000000000013809407000000000000330000000855415254202326320000000000000000000000000100000001000000000000000000000001000000000013809507000000000000330000000855415254202326330000000000000000000000000100000001000000000000000000000001000000000013809607000000000000330000001626446562756720287072696E746629205669657765720000000000000000000000000100000001000000000000000000000001000000000013803C010000000000007200000010416E616C797369732057696E646F7773000000000000000000000000010000000100000000000000000000000100000004001380658A000000000000340000000F264C6F67696320416E616C797A6572000000000000000000000000010000000100000000000000000000000100000000001380DC7F0000000000003E0000001526506572666F726D616E636520416E616C797A6572000000000000000000000000010000000100000000000000000000000100000000001380E788000000000000380000000E26436F646520436F766572616765000000000000000000000000010000000100000000000000000000000100000000001380CD01000000000000FFFFFFFF0F416E616C7973697320416E63686F7201000000000000000000000001000000010000000000000000000000010000000000138053010000000000003F0000000D54726163652057696E646F77730000000000000000000000000100000001000000000000000000000001000000010013805401000000000000FFFFFFFF115472616365204D656E7520416E63686F720100000000000000000000000100000001000000000000000000000001000000000013802901000000000000350000001553797374656D205669657765722057696E646F77730000000000000000000000000100000001000000000000000000000001000000010013804B01000000000000FFFFFFFF1453797374656D2056696577657220416E63686F720100000000000000000000000100000001000000000000000000000001000000000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000013800189000000000000360000000F26546F6F6C626F782057696E646F7700000000000000000000000001000000010000000000000000000000010000000300138044C5000000000000FFFFFFFF0E5570646174652057696E646F77730100000000000000000000000100000001000000000000000000000001000000000013800000000000000400FFFFFFFF000000000000000000000000000100000001000000000000000000000001000000000013805B01000000000000FFFFFFFF12546F6F6C626F78204D656E75416E63686F720100000000000000000000000100000001000000000000000000000001000000000000000000054465627567FF7F0000 + + + 898 + 1900FFFF01001100434D4643546F6F6C426172427574746F6ECC88000000000000FFFFFFFF00010000000000000001000000000000000100000001800000000000000000FFFFFFFF00000000000000000001000000000000000100000001801780000000000000FFFFFFFF00010000000000000001000000000000000100000001801D80000000000000FFFFFFFF00010000000000000001000000000000000100000001800000000000000000FFFFFFFF00000000000000000001000000000000000100000001801A80000000000000FFFFFFFF00010000000000000001000000000000000100000001801B80000000000000FFFFFFFF0001000000000000000100000000000000010000000180E57F000000000000FFFFFFFF00010000000000000001000000000000000100000001801C80000000000000FFFFFFFF00010000000000000001000000000000000100000001800000000000000000FFFFFFFF00000000000000000001000000000000000100000001800089000000000000FFFFFFFF00010000000000000001000000000000000100000001800000000000000000FFFFFFFF0000000000000000000100000000000000010000000180E48B000000000000FFFFFFFF0001000000000000000100000000000000010000000180F07F000000000000FFFFFFFF0001000000000000000100000000000000010000000180E888000000000000FFFFFFFF00010000000000000001000000000000000100000001803B01000000000000FFFFFFFF0001000000000000000100000000000000010000000180BB8A000000000000FFFFFFFF0001000000000000000100000000000000010000000180D88B000000000000FFFFFFFF0001000000000000000100000000000000010000000180D28B000000000000FFFFFFFF00010000000000000001000000000000000100000001809307000000000000FFFFFFFF0001000000000000000100000000000000010000000180658A000000000000FFFFFFFF0001000000000000000100000000000000010000000180C18A000000000000FFFFFFFF0001000000000000000100000000000000010000000180EE8B000000000000FFFFFFFF00010000000000000001000000000000000100000001800000000000000000FFFFFFFF00000000000000000001000000000000000100000001800189000000000000FFFFFFFF000100000000000000010000000000000001000000 + + + 898 + 1900FFFF01001100434D4643546F6F6C426172427574746F6ECC880000000000000000000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF000000000000000000000000000100000001000000018017800000000000000100000000000000000000000000000000010000000100000001801D800000000000000200000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF00000000000000000000000000010000000100000001801A800000000000000300000000000000000000000000000000010000000100000001801B80000000000000040000000000000000000000000000000001000000010000000180E57F0000000000000500000000000000000000000000000000010000000100000001801C800000000000000600000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF000000000000000000000000000100000001000000018000890000000000000700000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180E48B000000000000080000000000000000000000000000000001000000010000000180F07F000000000000090000000000000000000000000000000001000000010000000180E8880000000000000A00000000000000000000000000000000010000000100000001803B010000000000000B0000000000000000000000000000000001000000010000000180BB8A0000000000000C0000000000000000000000000000000001000000010000000180D88B0000000000000D0000000000000000000000000000000001000000010000000180D28B0000000000000E000000000000000000000000000000000100000001000000018093070000000000000F0000000000000000000000000000000001000000010000000180658A000000000000100000000000000000000000000000000001000000010000000180C18A000000000000110000000000000000000000000000000001000000010000000180EE8B0000000000001200000000000000000000000000000000010000000100000001800000000001000000FFFFFFFF0000000000000000000000000001000000010000000180018900000000000013000000000000000000000000000000000100000001000000 + + + + 0 + 2560 + 1080 + + + + + + 1 + 0 + + 100 + 2 + + Application\action_process.h + 0 + 1 + 15 + 1 + + 0 + + + .\Application\action_process.c + 0 + 7 + 25 + 1 + + 0 + + + .\Application\save_file.c + 22 + 21 + 44 + 1 + + 0 + + + + + diff --git a/Project/A31G12x_Co2_Sensor_Logger.uvoptx b/Project/A31G12x_Co2_Sensor_Logger.uvoptx new file mode 100644 index 0000000..702c91f --- /dev/null +++ b/Project/A31G12x_Co2_Sensor_Logger.uvoptx @@ -0,0 +1,916 @@ + + + + 1.0 + +
### uVision Project, (C) Keil Software
+ + + *.c + *.s*; *.src; *.a* + *.obj; *.o + *.lib + *.txt; *.h; *.inc; *.md + *.plm + *.cpp + 0 + + + + 0 + 0 + + + + S_Co2_Sensor_Logger + 0x4 + ARM-ADS + + 12000000 + + 0 + 1 + 0 + 1 + 0 + + + 1 + 65535 + 0 + 0 + 0 + + + 79 + 66 + 8 + .\Listings\ + + + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 0 + 0 + 0 + 0 + + + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + + + 1 + 0 + 1 + + 0 + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + + + + + + + + + + + BIN\UL2CM3.DLL + + + + 0 + UL2CM3 + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN2 -FF0A31G12x_series_FLASH -FS00 -FL010000 -FF1A31G12x_series_CFG -FS11FFFF200 -FL1600 -FP0($$Device:A31G123$A31G12x\Flashloader\A31G12x_series_FLASH.FLM) -FP1($$Device:A31G123$A31G12x\Flashloader\A31G12x_series_CFG.FLM)) + + + + + 0 + + + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + + + + 0 + 0 + 0 + + + + + + + + + + + + + Application + 1 + 0 + 0 + 0 + + 1 + 1 + 1 + 0 + 0 + 0 + .\Application\A31G12x_Interrupt.c + A31G12x_Interrupt.c + 0 + 0 + + + 1 + 2 + 5 + 0 + 0 + 0 + .\Application\A31G12x_Interrupt.h + A31G12x_Interrupt.h + 0 + 0 + + + 1 + 3 + 1 + 0 + 0 + 0 + .\Application\A31G12x_SystemClock.c + A31G12x_SystemClock.c + 0 + 0 + + + 1 + 4 + 5 + 0 + 0 + 0 + .\Application\A31G12x_SystemClock.h + A31G12x_SystemClock.h + 0 + 0 + + + 1 + 5 + 5 + 0 + 0 + 0 + .\Application\board_config.h + board_config.h + 0 + 0 + + + 1 + 6 + 5 + 0 + 0 + 0 + .\Application\define.h + define.h + 0 + 0 + + + 1 + 7 + 1 + 0 + 0 + 0 + .\Application\main.c + main.c + 0 + 0 + + + 1 + 8 + 1 + 0 + 0 + 0 + .\Application\dbg_printf.c + dbg_printf.c + 0 + 0 + + + 1 + 9 + 1 + 0 + 0 + 0 + .\Application\sw_timer.c + sw_timer.c + 0 + 0 + + + 1 + 10 + 1 + 0 + 0 + 0 + .\Application\systick_timer.c + systick_timer.c + 0 + 0 + + + 1 + 11 + 1 + 0 + 0 + 0 + .\Application\ring_buffer.c + ring_buffer.c + 0 + 0 + + + 1 + 12 + 1 + 0 + 0 + 0 + .\Application\gpio_state_led.c + gpio_state_led.c + 0 + 0 + + + 1 + 13 + 1 + 0 + 0 + 0 + .\Application\gpio_switch.c + gpio_switch.c + 0 + 0 + + + 1 + 14 + 1 + 0 + 0 + 0 + .\Application\uart1.c + uart1.c + 0 + 0 + + + 1 + 15 + 1 + 0 + 0 + 0 + .\Application\segment_74hc595d.c + segment_74hc595d.c + 0 + 0 + + + 1 + 16 + 1 + 0 + 0 + 0 + .\Application\timer12.c + timer12.c + 0 + 0 + + + 1 + 17 + 1 + 0 + 0 + 0 + .\Application\gpio_i2c.c + gpio_i2c.c + 0 + 0 + + + 1 + 18 + 1 + 0 + 0 + 0 + .\Application\spi10.c + spi10.c + 0 + 0 + + + 1 + 19 + 1 + 0 + 0 + 0 + .\Application\save_file.c + save_file.c + 0 + 0 + + + 1 + 20 + 1 + 0 + 0 + 0 + .\Application\driver_ds3231.c + driver_ds3231.c + 0 + 0 + + + 1 + 21 + 1 + 0 + 0 + 0 + .\Application\driver_ds3231_basic.c + driver_ds3231_basic.c + 0 + 0 + + + 1 + 22 + 1 + 0 + 0 + 0 + .\Application\driver_ds3231_interface_template.c + driver_ds3231_interface_template.c + 0 + 0 + + + 1 + 23 + 1 + 0 + 0 + 0 + .\Application\rtc_process.c + rtc_process.c + 0 + 0 + + + 1 + 24 + 1 + 0 + 0 + 0 + .\Application\action_process.c + action_process.c + 0 + 0 + + + 1 + 25 + 1 + 0 + 0 + 0 + .\Application\eeprom.c + eeprom.c + 0 + 0 + + + 1 + 26 + 1 + 0 + 0 + 0 + .\Application\buzzer.c + buzzer.c + 0 + 0 + + + 1 + 27 + 1 + 0 + 0 + 0 + .\Application\gpio_sensor.c + gpio_sensor.c + 0 + 0 + + + 1 + 28 + 1 + 0 + 0 + 0 + .\Application\segment.c + segment.c + 0 + 0 + + + 1 + 29 + 1 + 0 + 0 + 0 + .\Application\uart_packet.c + uart_packet.c + 0 + 0 + + + + + Driver + 0 + 0 + 0 + 0 + + 2 + 30 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_adc.c + A31G12x_hal_adc.c + 0 + 0 + + + 2 + 31 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_crc.c + A31G12x_hal_crc.c + 0 + 0 + + + 2 + 32 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_debug_frmwrk.c + A31G12x_hal_debug_frmwrk.c + 0 + 0 + + + 2 + 33 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_fmc.c + A31G12x_hal_fmc.c + 0 + 0 + + + 2 + 34 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_i2cn.c + A31G12x_hal_i2cn.c + 0 + 0 + + + 2 + 35 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_intc.c + A31G12x_hal_intc.c + 0 + 0 + + + 2 + 36 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_lcd.c + A31G12x_hal_lcd.c + 0 + 0 + + + 2 + 37 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_pcu.c + A31G12x_hal_pcu.c + 0 + 0 + + + 2 + 38 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_pwr.c + A31G12x_hal_pwr.c + 0 + 0 + + + 2 + 39 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_scu.c + A31G12x_hal_scu.c + 0 + 0 + + + 2 + 40 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_sculv.c + A31G12x_hal_sculv.c + 0 + 0 + + + 2 + 41 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer1n.c + A31G12x_hal_timer1n.c + 0 + 0 + + + 2 + 42 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer2n.c + A31G12x_hal_timer2n.c + 0 + 0 + + + 2 + 43 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer3n.c + A31G12x_hal_timer3n.c + 0 + 0 + + + 2 + 44 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_uartn.c + A31G12x_hal_uartn.c + 0 + 0 + + + 2 + 45 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_usart1n.c + A31G12x_hal_usart1n.c + 0 + 0 + + + 2 + 46 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_wdt.c + A31G12x_hal_wdt.c + 0 + 0 + + + 2 + 47 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_wt.c + A31G12x_hal_wt.c + 0 + 0 + + + + + Startup + 0 + 0 + 0 + 0 + + 3 + 48 + 2 + 0 + 0 + 0 + .\SDK_V2_5_0\Device\Startup\startup_A31G12x.s + startup_A31G12x.s + 0 + 0 + + + + + Device + 0 + 0 + 0 + 0 + + 4 + 49 + 5 + 0 + 0 + 0 + .\SDK_V2_5_0\Device\Startup\system_A31G12x.h + system_A31G12x.h + 0 + 0 + + + 4 + 50 + 1 + 0 + 0 + 0 + .\SDK_V2_5_0\Device\Startup\system_A31G12x.c + system_A31G12x.c + 0 + 0 + + + 4 + 51 + 5 + 0 + 0 + 0 + .\SDK_V2_5_0\Device\Startup\A31G12x.h + A31G12x.h + 0 + 0 + + + + + Option + 0 + 0 + 0 + 0 + + 5 + 52 + 2 + 0 + 0 + 0 + .\SDK_V2_5_0\Option\option_A31G12x.s + option_A31G12x.s + 0 + 0 + + + + + FATFS + 0 + 0 + 0 + 0 + + 6 + 53 + 1 + 0 + 0 + 0 + .\Application\FATFS\App\fatfs.c + fatfs.c + 0 + 0 + + + 6 + 54 + 1 + 0 + 0 + 0 + .\Application\FATFS\Target\user_diskio.c + user_diskio.c + 0 + 0 + + + 6 + 55 + 1 + 0 + 0 + 0 + .\Application\FATFS\Target\user_diskio_spi.c + user_diskio_spi.c + 0 + 0 + + + 6 + 56 + 1 + 0 + 0 + 0 + .\Application\Middlewares\Third_Party\FatFs\src\diskio.c + diskio.c + 0 + 0 + + + 6 + 57 + 1 + 0 + 0 + 0 + .\Application\Middlewares\Third_Party\FatFs\src\ff.c + ff.c + 0 + 0 + + + 6 + 58 + 1 + 0 + 0 + 0 + .\Application\Middlewares\Third_Party\FatFs\src\ff_gen_drv.c + ff_gen_drv.c + 0 + 0 + + + +
diff --git a/Project/A31G12x_Co2_Sensor_Logger.uvprojx b/Project/A31G12x_Co2_Sensor_Logger.uvprojx new file mode 100644 index 0000000..88eb2a4 --- /dev/null +++ b/Project/A31G12x_Co2_Sensor_Logger.uvprojx @@ -0,0 +1,722 @@ + + + + 2.1 + +
### uVision Project, (C) Keil Software
+ + + + S_Co2_Sensor_Logger + 0x4 + ARM-ADS + 5060960::V5.06 update 7 (build 960)::.\ARMCC + 0 + + + A31G123 + ABOV Semiconductor + ABOV.CM0_DFP.1.0.4 + http://www.abov.co.kr/data/mds/PACK/ + IRAM(0x20000000,0x1800) IROM(0x00000000,0x10000) CPUTYPE("Cortex-M0") CLOCK(12000000) ELITTLE + + + UL2CM3(-S0 -C0 -P0 -FD20000000 -FC1000 -FN2 -FF0A31G12x_series_FLASH -FS00 -FL010000 -FF1A31G12x_series_CFG -FS11FFFF200 -FL1600 -FP0($$Device:A31G123$A31G12x\Flashloader\A31G12x_series_FLASH.FLM) -FP1($$Device:A31G123$A31G12x\Flashloader\A31G12x_series_CFG.FLM)) + 0 + $$Device:A31G123$A31G12x\Core\include\A31G12x_series.h + + + + + + + + + + .\SDK_V2_5_0\Debugging_Info\SFR\A31G12x.SFR + 1 + 0 + + + + + + + 0 + 0 + 0 + 0 + 1 + + .\Objects\ + S_DustSensorView + 1 + 0 + 1 + 1 + 1 + .\Listings\ + 1 + 0 + 0 + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + + 0 + 0 + + + 0 + 0 + 0 + 0 + + 0 + + + + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 3 + + + 1 + + + SARMCM3.DLL + + DARMCM1.DLL + -pCM0 + SARMCM3.DLL + + TARMCM1.DLL + -pCM0 + + + + 1 + 0 + 0 + 0 + 16 + + + + + 1 + 0 + 0 + 1 + 1 + 4096 + + 1 + BIN\UL2CM3.DLL + "" () + + + + + 0 + + + + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 1 + 1 + 0 + 1 + 1 + 0 + 0 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 1 + 0 + 0 + "Cortex-M0" + + 0 + 0 + 0 + 1 + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 8 + 1 + 0 + 0 + 0 + 3 + 3 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 1 + 0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x1800 + + + 1 + 0x0 + 0x10000 + + + 0 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x0 + + + 1 + 0x0 + 0x10000 + + + 1 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x0 + 0x0 + + + 0 + 0x20000000 + 0x1800 + + + 0 + 0x0 + 0x0 + + + + + + 1 + 1 + 0 + 0 + 1 + 0 + 0 + 0 + 0 + 0 + 1 + 0 + 0 + 1 + 1 + 0 + 3 + 3 + 1 + 1 + 0 + 0 + 0 + + + + + .\Application;.\SDK_V2_5_0\Device\Startup;.\SDK_V2_5_0\Drivers\Include;.\SDK_V2_5_0\Drivers\Source;.\SDK_V2_5_0\FlashLoader;.\SDK_V2_5_0\Option;.\SDK_V2_5_0\INI;.\SDK_V2_5_0\Core;.\Application\FATFS\App;.\Application\FATFS\Target;.\Application\Middlewares\Third_Party\FatFs\src;.\Application\Middlewares\Third_Party\FatFs\src\option + + + + 1 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 0 + 1 + + + + + + + + + 1 + 0 + 0 + 0 + 1 + 0 + 0x00000000 + 0x20000000 + + + + + + + + + + + + + Application + + + A31G12x_Interrupt.c + 1 + .\Application\A31G12x_Interrupt.c + + + A31G12x_Interrupt.h + 5 + .\Application\A31G12x_Interrupt.h + + + A31G12x_SystemClock.c + 1 + .\Application\A31G12x_SystemClock.c + + + A31G12x_SystemClock.h + 5 + .\Application\A31G12x_SystemClock.h + + + board_config.h + 5 + .\Application\board_config.h + + + define.h + 5 + .\Application\define.h + + + main.c + 1 + .\Application\main.c + + + dbg_printf.c + 1 + .\Application\dbg_printf.c + + + sw_timer.c + 1 + .\Application\sw_timer.c + + + systick_timer.c + 1 + .\Application\systick_timer.c + + + ring_buffer.c + 1 + .\Application\ring_buffer.c + + + gpio_state_led.c + 1 + .\Application\gpio_state_led.c + + + gpio_switch.c + 1 + .\Application\gpio_switch.c + + + uart1.c + 1 + .\Application\uart1.c + + + segment_74hc595d.c + 1 + .\Application\segment_74hc595d.c + + + timer12.c + 1 + .\Application\timer12.c + + + gpio_i2c.c + 1 + .\Application\gpio_i2c.c + + + spi10.c + 1 + .\Application\spi10.c + + + save_file.c + 1 + .\Application\save_file.c + + + driver_ds3231.c + 1 + .\Application\driver_ds3231.c + + + driver_ds3231_basic.c + 1 + .\Application\driver_ds3231_basic.c + + + driver_ds3231_interface_template.c + 1 + .\Application\driver_ds3231_interface_template.c + + + rtc_process.c + 1 + .\Application\rtc_process.c + + + action_process.c + 1 + .\Application\action_process.c + + + eeprom.c + 1 + .\Application\eeprom.c + + + buzzer.c + 1 + .\Application\buzzer.c + + + gpio_sensor.c + 1 + .\Application\gpio_sensor.c + + + segment.c + 1 + .\Application\segment.c + + + uart_packet.c + 1 + .\Application\uart_packet.c + + + + + Driver + + + A31G12x_hal_adc.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_adc.c + + + A31G12x_hal_crc.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_crc.c + + + A31G12x_hal_debug_frmwrk.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_debug_frmwrk.c + + + A31G12x_hal_fmc.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_fmc.c + + + A31G12x_hal_i2cn.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_i2cn.c + + + A31G12x_hal_intc.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_intc.c + + + A31G12x_hal_lcd.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_lcd.c + + + A31G12x_hal_pcu.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_pcu.c + + + A31G12x_hal_pwr.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_pwr.c + + + A31G12x_hal_scu.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_scu.c + + + A31G12x_hal_sculv.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_sculv.c + + + A31G12x_hal_timer1n.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer1n.c + + + A31G12x_hal_timer2n.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer2n.c + + + A31G12x_hal_timer3n.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer3n.c + + + A31G12x_hal_uartn.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_uartn.c + + + A31G12x_hal_usart1n.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_usart1n.c + + + A31G12x_hal_wdt.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_wdt.c + + + A31G12x_hal_wt.c + 1 + .\SDK_V2_5_0\Drivers\Source\A31G12x_hal_wt.c + + + + + Startup + + + startup_A31G12x.s + 2 + .\SDK_V2_5_0\Device\Startup\startup_A31G12x.s + + + + + Device + + + system_A31G12x.h + 5 + .\SDK_V2_5_0\Device\Startup\system_A31G12x.h + + + system_A31G12x.c + 1 + .\SDK_V2_5_0\Device\Startup\system_A31G12x.c + + + A31G12x.h + 5 + .\SDK_V2_5_0\Device\Startup\A31G12x.h + + + + + Option + + + option_A31G12x.s + 2 + .\SDK_V2_5_0\Option\option_A31G12x.s + + + + + FATFS + + + fatfs.c + 1 + .\Application\FATFS\App\fatfs.c + + + user_diskio.c + 1 + .\Application\FATFS\Target\user_diskio.c + + + user_diskio_spi.c + 1 + .\Application\FATFS\Target\user_diskio_spi.c + + + diskio.c + 1 + .\Application\Middlewares\Third_Party\FatFs\src\diskio.c + + + ff.c + 1 + .\Application\Middlewares\Third_Party\FatFs\src\ff.c + + + ff_gen_drv.c + 1 + .\Application\Middlewares\Third_Party\FatFs\src\ff_gen_drv.c + + + + + + + + + + + + + + + + + A31G12x_Project + 1 + + + + +
diff --git a/Project/Application/A31G12x_Interrupt.c b/Project/Application/A31G12x_Interrupt.c new file mode 100644 index 0000000..4c98fe6 --- /dev/null +++ b/Project/Application/A31G12x_Interrupt.c @@ -0,0 +1,89 @@ +/***************************************************************************//** +* @file A31G12x_it.c +* @brief Contains all functions support for Exception & Interrupt Handler on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +*//****************************************************************************/ + +#include "A31G12x_Interrupt.h" + +/* Private typedef ---------------------------------------------------------- */ +/* Private define ----------------------------------------------------------- */ +/* Private macro ------------------------------------------------------------ */ +/* Private define ----------------------------------------------------------- */ +/* Private function prototypes ---------------------------------------------- */ +/* Private variables -------------------------------------------------------- */ + + + + +/******************************************************************************/ +/* Cortex M0+ Processor Exceptions Handlers */ +/******************************************************************************/ + +/*-------------------------------------------------------------------------*//** + * @brief This function handles NMI exception. + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void NMI_Handler( void ) +{ +} + +/*-------------------------------------------------------------------------*//** + * @brief This function handles Hard Fault exception. + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void HardFault_Handler( void ) +{ + /* Go to infinite loop when Hard Fault exception occurs */ + while( 1 ) + { + } +} + +/*-------------------------------------------------------------------------*//** + * @brief This function handles SVCall exception + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void SVC_Handler( void ) +{ +} + +/*-------------------------------------------------------------------------*//** + * @brief This function handles PendSVC exception + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void PendSV_Handler( void ) +{ +} + + +/******************************************************************************/ +/* A31G12x Peripherals Interrupt Handlers */ +/* Add here the Interrupt Handler for the used peripheral(s) (PPP), for the */ +/* available peripheral interrupt handler's name please refer to the startup */ +/* file (startup_A31G12x.s). */ +/******************************************************************************/ + + + + + + + + + + + diff --git a/Project/Application/A31G12x_Interrupt.h b/Project/Application/A31G12x_Interrupt.h new file mode 100644 index 0000000..6ba7e56 --- /dev/null +++ b/Project/Application/A31G12x_Interrupt.h @@ -0,0 +1,52 @@ +/** \file A31G12x_Interrupt.h */ + +/***************************************************************************//** +* @file A31G12x_it.h +* @brief Contains all macro definitions and function prototypes +* support for Exception & Interrupt Handler on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +*//****************************************************************************/ + +/* Define to prevent recursive inclusion ------------------------------------ */ +#if !defined(A31G12X_INTERRUPT_H__8AA39883_A129_473E_A344_853E2B3D652F__INCLUDED_) +#define A31G12X_INTERRUPT_H__8AA39883_A129_473E_A344_853E2B3D652F__INCLUDED_ + +/* Includes ----------------------------------------------------------------- */ + +#ifdef __cplusplus +extern "C" { +#endif + +/* Exported types ----------------------------------------------------------- */ +/* Exported constants ------------------------------------------------------- */ +/* Exported macro ----------------------------------------------------------- */ + + +/* Exported functions ------------------------------------------------------- */ + +void NMI_Handler( void ); +void HardFault_Handler( void ); +void MemManage_Handler( void ); +void BusFault_Handler( void ); +void UsageFault_Handler( void ); +void SVC_Handler( void ); +void DebugMon_Handler( void ); +void PendSV_Handler( void ); +void SysTick_Handler( void ); + +#ifdef __cplusplus +} +#endif + +#endif /* __A31G12x_IT_H */ + + + diff --git a/Project/Application/A31G12x_SystemClock.c b/Project/Application/A31G12x_SystemClock.c new file mode 100644 index 0000000..d58a6de --- /dev/null +++ b/Project/Application/A31G12x_SystemClock.c @@ -0,0 +1,126 @@ + + +/***************************************************************************//** +* @file A31G12x_SystemClock.c +* @brief Contains all functions support for Example Code on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +*//****************************************************************************/ +/******************************************************************************* + * A31G12x Device + *------------------------------------------------------------------------ + * System Clock source | High Speend Internal oscillator + *------------------------------------------------------------------------ + * SYSCLK(MHz) | 40MHz + *------------------------------------------------------------------------ + * HCLK(MHz) - Core Clock | 40MHz + *------------------------------------------------------------------------ + * PCLK(MHz) - Peri Clock | 40MHz + *------------------------------------------------------------------------ + ******************************************************************************/ + +#include "A31G12x_SystemClock.h" + +/* Private typedef ---------------------------------------------------------- */ +/* Private define ----------------------------------------------------------- */ +/* Private macro ------------------------------------------------------------ */ +/* Private variables -------------------------------------------------------- */ + + +/* Private define ----------------------------------------------------------- */ + +// Main Clock Selection: Select only one of the following. +#define USED_HIRC /* HIRC : 40000000uL */ +//#define USED_WDTRC /* WDTRC : 40000uL */ +//#define USED_XMOSC /* XMOSC : 16000000uL */ +//#define USED_XSOSC /* XSOSC : 32768uL */ + +/* Clock Out Selection ( Monitoring ) */ +/*#define USED_CLKO*/ + + +/* Private function prototypes ---------------------------------------------- */ + +void SystemClock_Config( void ); + + +/* Private variables -------------------------------------------------------- */ + + +/*-------------------------------------------------------------------------*//** + * @brief Initialize default clock for A31G12x Board + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void System_Clock_Initialization( void ) +{ + uint32_t i; + + // enable clock source + HAL_SCU_ClockSource_Enable( CLKSRCR_HIRCEN | CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN | CLKSRCR_WDTRCEN, HIRCSEL_HIRC1 ); + for( i = 0; i < 1000; i++ ); // Clock Stable Time + + // select system clock +#ifdef USED_WDTRC + HAL_SCU_SystemClockDivider( WLDIV_MCLK64 | HDIV_MCLK1, SYSTDIV_HCLK1 | PDIV_HCLK1 ); // WT/LCD | HCLK, SysTick | PCLK + HAL_SCU_SystemClockChange( MCLKSEL_WDTRC ); + + SystemCoreClock = 40000uL; // HCLK + SystemPeriClock = 40000uL; // PCLK +#endif + +#ifdef USED_XSOSC + HAL_SCU_SystemClockDivider( WLDIV_MCLK64 | HDIV_MCLK1, SYSTDIV_HCLK1 | PDIV_HCLK1 ); // WT/LCD | HCLK, SysTick | PCLK + HAL_SCU_SystemClockChange( MCLKSEL_XSOSC ); + + SystemCoreClock = 32768uL; // HCLK + SystemPeriClock = 32768uL; // PCLK +#endif + +#ifdef USED_XMOSC + HAL_SCU_SystemClockChange( MCLKSEL_XMOSC ); + HAL_SCU_SystemClockDivider( WLDIV_MCLK64 | HDIV_MCLK1, SYSTDIV_HCLK1 | PDIV_HCLK1 ); // WT/LCD | HCLK, SysTick | PCLK + + SystemCoreClock = 16000000uL; // HCLK + SystemPeriClock = 16000000uL; // PCLK +#endif + +#ifdef USED_HIRC + HAL_SCU_SystemClockChange( MCLKSEL_HIRC ); + HAL_SCU_SystemClockDivider( WLDIV_MCLK64 | HDIV_MCLK1, SYSTDIV_HCLK1 | PDIV_HCLK1 ); // WT/LCD | HCLK, SysTick | PCLK + + SystemCoreClock = 40000000uL; // HCLK + SystemPeriClock = 40000000uL; // PCLK +#endif + + // disable unused clock source + //HAL_SCU_ClockSource_Disable( CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN ); + + // enable clock monitoring + HAL_SCU_ClockMonitoring( MACTS_SysClkChg, MONCS_MCLK ); + + // enable clock output +#ifdef USED_CLKO + HAL_SCU_CLKO_PinConfig(); + HAL_SCU_ClockOutput( CLKOS_MCLK, POLSEL_Low, CLKODIV_SelectedClock1 ); +#endif + HAL_SCU_Peripheral_ClockConfig(0x00003FuL, 0x000000L); // Enable All Ports, +} + +void Systick_Initialization(uint32_t SysticTime) +{ + if(SysticTime > 1000) + { + SysticTime = 1000; + } + SysTick_Config( SystemCoreClock / (1000 / SysticTime) ); +} + diff --git a/Project/Application/A31G12x_SystemClock.h b/Project/Application/A31G12x_SystemClock.h new file mode 100644 index 0000000..32d7a42 --- /dev/null +++ b/Project/Application/A31G12x_SystemClock.h @@ -0,0 +1,11 @@ +/** \file A31G12x_SystemClock.h */ +#if !defined(A31G12X_SYSTEMCLOCK_H__CC394B1C_0861_4187_A351_DE0A4FCEDED0__INCLUDED_) +#define A31G12X_SYSTEMCLOCK_H__CC394B1C_0861_4187_A351_DE0A4FCEDED0__INCLUDED_ + +#include "define.h" + + +void System_Clock_Initialization( void ); +void Systick_Initialization(uint32_t SysticTime); + +#endif diff --git a/Project/Application/FATFS/App/fatfs.c b/Project/Application/FATFS/App/fatfs.c new file mode 100644 index 0000000..6185cc2 --- /dev/null +++ b/Project/Application/FATFS/App/fatfs.c @@ -0,0 +1,56 @@ +/** + ****************************************************************************** + * @file fatfs.c + * @brief Code for fatfs applications + ****************************************************************************** + * @attention + * + *

© Copyright (c) 2020 STMicroelectronics. + * All rights reserved.

+ * + * This software component is licensed by ST under Ultimate Liberty license + * SLA0044, the "License"; You may not use this file except in compliance with + * the License. You may obtain a copy of the License at: + * www.st.com/SLA0044 + * + ****************************************************************************** + */ + +#include "fatfs.h" + +uint8_t retUSER; /* Return value for USER */ +char USERPath[4]; /* USER logical drive path */ +FATFS USERFatFS; /* File system object for USER logical drive */ +FIL USERFile; /* File object for USER */ + +/* USER CODE BEGIN Variables */ + +/* USER CODE END Variables */ + +void MX_FATFS_Init(void) +{ + /*## FatFS: Link the USER driver ###########################*/ + retUSER = FATFS_LinkDriver(&USER_Driver, USERPath); + + /* USER CODE BEGIN Init */ + /* additional user code for init */ + /* USER CODE END Init */ +} + +/** + * @brief Gets Time from RTC + * @param None + * @retval Time in DWORD + */ +DWORD get_fattime(void) +{ + /* USER CODE BEGIN get_fattime */ + return 0; + /* USER CODE END get_fattime */ +} + +/* USER CODE BEGIN Application */ + +/* USER CODE END Application */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/Project/Application/FATFS/App/fatfs.h b/Project/Application/FATFS/App/fatfs.h new file mode 100644 index 0000000..6f5c0a7 --- /dev/null +++ b/Project/Application/FATFS/App/fatfs.h @@ -0,0 +1,49 @@ +/** + ****************************************************************************** + * @file fatfs.h + * @brief Header for fatfs applications + ****************************************************************************** + * @attention + * + *

© Copyright (c) 2020 STMicroelectronics. + * All rights reserved.

+ * + * This software component is licensed by ST under Ultimate Liberty license + * SLA0044, the "License"; You may not use this file except in compliance with + * the License. You may obtain a copy of the License at: + * www.st.com/SLA0044 + * + ****************************************************************************** + */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __fatfs_H +#define __fatfs_H +#ifdef __cplusplus + extern "C" { +#endif + +#include "ff.h" +#include "ff_gen_drv.h" +#include "user_diskio.h" /* defines USER_Driver as external */ + +/* USER CODE BEGIN Includes */ + +/* USER CODE END Includes */ + +extern uint8_t retUSER; /* Return value for USER */ +extern char USERPath[4]; /* USER logical drive path */ +extern FATFS USERFatFS; /* File system object for USER logical drive */ +extern FIL USERFile; /* File object for USER */ + +void MX_FATFS_Init(void); + +/* USER CODE BEGIN Prototypes */ + +/* USER CODE END Prototypes */ +#ifdef __cplusplus +} +#endif +#endif /*__fatfs_H */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/Project/Application/FATFS/Target/ffconf.h b/Project/Application/FATFS/Target/ffconf.h new file mode 100644 index 0000000..f7fdd3d --- /dev/null +++ b/Project/Application/FATFS/Target/ffconf.h @@ -0,0 +1,275 @@ +/* USER CODE BEGIN Header */ +/** + ****************************************************************************** + * FatFs - FAT file system module configuration file R0.11 (C)ChaN, 2015 + ****************************************************************************** + * @attention + * + *

© Copyright (c) 2020 STMicroelectronics. + * All rights reserved.

+ * + * This software component is licensed by ST under Ultimate Liberty license + * SLA0044, the "License"; You may not use this file except in compliance with + * the License. You may obtain a copy of the License at: + * www.st.com/SLA0044 + * + ****************************************************************************** + */ +/* USER CODE END Header */ + +#ifndef _FFCONF +#define _FFCONF 32020 /* Revision ID */ + +/*-----------------------------------------------------------------------------/ +/ Additional user header to be used +/-----------------------------------------------------------------------------*/ +#include "main.h" + + +/*-----------------------------------------------------------------------------/ +/ Functions and Buffer Configurations +/-----------------------------------------------------------------------------*/ + +#define _FS_TINY 0 /* 0:Normal or 1:Tiny */ +/* This option switches tiny buffer configuration. (0:Normal or 1:Tiny) +/ At the tiny configuration, size of the file object (FIL) is reduced _MAX_SS +/ bytes. Instead of private sector buffer eliminated from the file object, +/ common sector buffer in the file system object (FATFS) is used for the file +/ data transfer. */ + +#define _FS_READONLY 0 /* 0:Read/Write or 1:Read only */ +/* This option switches read-only configuration. (0:Read/Write or 1:Read-only) +/ Read-only configuration removes writing API functions, f_write(), f_sync(), +/ f_unlink(), f_mkdir(), f_chmod(), f_rename(), f_truncate(), f_getfree() +/ and optional writing functions as well. */ + +#define _FS_MINIMIZE 0 /* 0 to 3 */ +/* This option defines minimization level to remove some basic API functions. +/ +/ 0: All basic functions are enabled. +/ 1: f_stat(), f_getfree(), f_unlink(), f_mkdir(), f_chmod(), f_utime(), +/ f_truncate() and f_rename() function are removed. +/ 2: f_opendir(), f_readdir() and f_closedir() are removed in addition to 1. +/ 3: f_lseek() function is removed in addition to 2. */ + +#define _USE_STRFUNC 2 /* 0:Disable or 1-2:Enable */ +/* This option switches string functions, f_gets(), f_putc(), f_puts() and +/ f_printf(). +/ +/ 0: Disable string functions. +/ 1: Enable without LF-CRLF conversion. +/ 2: Enable with LF-CRLF conversion. */ + +#define _USE_FIND 0 +/* This option switches filtered directory read feature and related functions, +/ f_findfirst() and f_findnext(). (0:Disable or 1:Enable) */ + +#define _USE_MKFS 1 +/* This option switches f_mkfs() function. (0:Disable or 1:Enable) */ + +#define _USE_FASTSEEK 1 +/* This option switches fast seek feature. (0:Disable or 1:Enable) */ + +#define _USE_LABEL 0 +/* This option switches volume label functions, f_getlabel() and f_setlabel(). +/ (0:Disable or 1:Enable) */ + +#define _USE_FORWARD 0 +/* This option switches f_forward() function. (0:Disable or 1:Enable) +/ To enable it, also _FS_TINY need to be set to 1. */ + +/*-----------------------------------------------------------------------------/ +/ Locale and Namespace Configurations +/-----------------------------------------------------------------------------*/ + +#define _CODE_PAGE 850 +/* This option specifies the OEM code page to be used on the target system. +/ Incorrect setting of the code page can cause a file open failure. +/ +/ 932 - Japanese Shift_JIS (DBCS, OEM, Windows) +/ 936 - Simplified Chinese GBK (DBCS, OEM, Windows) +/ 949 - Korean (DBCS, OEM, Windows) +/ 950 - Traditional Chinese Big5 (DBCS, OEM, Windows) +/ 1250 - Central Europe (Windows) +/ 1251 - Cyrillic (Windows) +/ 1252 - Latin 1 (Windows) +/ 1253 - Greek (Windows) +/ 1254 - Turkish (Windows) +/ 1255 - Hebrew (Windows) +/ 1256 - Arabic (Windows) +/ 1257 - Baltic (Windows) +/ 1258 - Vietnam (OEM, Windows) +/ 437 - U.S. (OEM) +/ 720 - Arabic (OEM) +/ 737 - Greek (OEM) +/ 775 - Baltic (OEM) +/ 850 - Multilingual Latin 1 (OEM) +/ 858 - Multilingual Latin 1 + Euro (OEM) +/ 852 - Latin 2 (OEM) +/ 855 - Cyrillic (OEM) +/ 866 - Russian (OEM) +/ 857 - Turkish (OEM) +/ 862 - Hebrew (OEM) +/ 874 - Thai (OEM, Windows) +/ 1 - ASCII (No extended character. Valid for only non-LFN configuration.) */ + +#define _USE_LFN 0 /* 0 to 3 */ +#define _MAX_LFN 255 /* Maximum LFN length to handle (12 to 255) */ +/* The _USE_LFN option switches the LFN feature. +/ +/ 0: Disable LFN feature. _MAX_LFN has no effect. +/ 1: Enable LFN with static working buffer on the BSS. Always NOT thread-safe. +/ 2: Enable LFN with dynamic working buffer on the STACK. +/ 3: Enable LFN with dynamic working buffer on the HEAP. +/ +/ When enable the LFN feature, Unicode handling functions (option/unicode.c) must +/ be added to the project. The LFN working buffer occupies (_MAX_LFN + 1) * 2 bytes. +/ When use stack for the working buffer, take care on stack overflow. When use heap +/ memory for the working buffer, memory management functions, ff_memalloc() and +/ ff_memfree(), must be added to the project. */ + +#define _LFN_UNICODE 0 /* 0:ANSI/OEM or 1:Unicode */ +/* This option switches character encoding on the API. (0:ANSI/OEM or 1:Unicode) +/ To use Unicode string for the path name, enable LFN feature and set _LFN_UNICODE +/ to 1. This option also affects behavior of string I/O functions. */ + +#define _STRF_ENCODE 3 +/* When _LFN_UNICODE is 1, this option selects the character encoding on the file to +/ be read/written via string I/O functions, f_gets(), f_putc(), f_puts and f_printf(). +/ +/ 0: ANSI/OEM +/ 1: UTF-16LE +/ 2: UTF-16BE +/ 3: UTF-8 +/ +/ When _LFN_UNICODE is 0, this option has no effect. */ + +#define _FS_RPATH 0 /* 0 to 2 */ +/* This option configures relative path feature. +/ +/ 0: Disable relative path feature and remove related functions. +/ 1: Enable relative path feature. f_chdir() and f_chdrive() are available. +/ 2: f_getcwd() function is available in addition to 1. +/ +/ Note that directory items read via f_readdir() are affected by this option. */ + +/*---------------------------------------------------------------------------/ +/ Drive/Volume Configurations +/----------------------------------------------------------------------------*/ + +#define _VOLUMES 1 +/* Number of volumes (logical drives) to be used. */ + +/* USER CODE BEGIN Volumes */ +#define _STR_VOLUME_ID 0 /* 0:Use only 0-9 for drive ID, 1:Use strings for drive ID */ +#define _VOLUME_STRS "RAM","NAND","CF","SD1","SD2","USB1","USB2","USB3" +/* _STR_VOLUME_ID option switches string volume ID feature. +/ When _STR_VOLUME_ID is set to 1, also pre-defined strings can be used as drive +/ number in the path name. _VOLUME_STRS defines the drive ID strings for each +/ logical drives. Number of items must be equal to _VOLUMES. Valid characters for +/ the drive ID strings are: A-Z and 0-9. */ +/* USER CODE END Volumes */ + +#define _MULTI_PARTITION 0 /* 0:Single partition, 1:Multiple partition */ +/* This option switches multi-partition feature. By default (0), each logical drive +/ number is bound to the same physical drive number and only an FAT volume found on +/ the physical drive will be mounted. When multi-partition feature is enabled (1), +/ each logical drive number is bound to arbitrary physical drive and partition +/ listed in the VolToPart[]. Also f_fdisk() funciton will be available. */ + +#define _MIN_SS 512 /* 512, 1024, 2048 or 4096 */ +#define _MAX_SS 512 /* 512, 1024, 2048 or 4096 */ +/* These options configure the range of sector size to be supported. (512, 1024, +/ 2048 or 4096) Always set both 512 for most systems, all type of memory cards and +/ harddisk. But a larger value may be required for on-board flash memory and some +/ type of optical media. When _MAX_SS is larger than _MIN_SS, FatFs is configured +/ to variable sector size and GET_SECTOR_SIZE command must be implemented to the +/ disk_ioctl() function. */ + +#define _USE_TRIM 0 +/* This option switches ATA-TRIM feature. (0:Disable or 1:Enable) +/ To enable Trim feature, also CTRL_TRIM command should be implemented to the +/ disk_ioctl() function. */ + +#define _FS_NOFSINFO 0 /* 0,1,2 or 3 */ +/* If you need to know correct free space on the FAT32 volume, set bit 0 of this +/ option, and f_getfree() function at first time after volume mount will force +/ a full FAT scan. Bit 1 controls the use of last allocated cluster number. +/ +/ bit0=0: Use free cluster count in the FSINFO if available. +/ bit0=1: Do not trust free cluster count in the FSINFO. +/ bit1=0: Use last allocated cluster number in the FSINFO if available. +/ bit1=1: Do not trust last allocated cluster number in the FSINFO. +*/ + +/*---------------------------------------------------------------------------/ +/ System Configurations +/----------------------------------------------------------------------------*/ + +#define _FS_NORTC 0 +#define _NORTC_MON 6 +#define _NORTC_MDAY 4 +#define _NORTC_YEAR 2015 +/* The _FS_NORTC option switches timestamp feature. If the system does not have +/ an RTC function or valid timestamp is not needed, set _FS_NORTC to 1 to disable +/ the timestamp feature. All objects modified by FatFs will have a fixed timestamp +/ defined by _NORTC_MON, _NORTC_MDAY and _NORTC_YEAR. +/ When timestamp feature is enabled (_FS_NORTC == 0), get_fattime() function need +/ to be added to the project to read current time form RTC. _NORTC_MON, +/ _NORTC_MDAY and _NORTC_YEAR have no effect. +/ These options have no effect at read-only configuration (_FS_READONLY == 1). */ + +#define _FS_LOCK 2 /* 0:Disable or >=1:Enable */ +/* The _FS_LOCK option switches file lock feature to control duplicated file open +/ and illegal operation to open objects. This option must be 0 when _FS_READONLY +/ is 1. +/ +/ 0: Disable file lock feature. To avoid volume corruption, application program +/ should avoid illegal open, remove and rename to the open objects. +/ >0: Enable file lock feature. The value defines how many files/sub-directories +/ can be opened simultaneously under file lock control. Note that the file +/ lock feature is independent of re-entrancy. */ + +#define _FS_REENTRANT 0 /* 0:Disable or 1:Enable */ +#define _FS_TIMEOUT 1000 /* Timeout period in unit of time ticks */ +#define _SYNC_t NULL +/* The _FS_REENTRANT option switches the re-entrancy (thread safe) of the FatFs +/ module itself. Note that regardless of this option, file access to different +/ volume is always re-entrant and volume control functions, f_mount(), f_mkfs() +/ and f_fdisk() function, are always not re-entrant. Only file/directory access +/ to the same volume is under control of this feature. +/ +/ 0: Disable re-entrancy. _FS_TIMEOUT and _SYNC_t have no effect. +/ 1: Enable re-entrancy. Also user provided synchronization handlers, +/ ff_req_grant(), ff_rel_grant(), ff_del_syncobj() and ff_cre_syncobj() +/ function, must be added to the project. Samples are available in +/ option/syscall.c. +/ +/ The _FS_TIMEOUT defines timeout period in unit of time tick. +/ The _SYNC_t defines O/S dependent sync object type. e.g. HANDLE, ID, OS_EVENT*, +/ SemaphoreHandle_t and etc.. */ + +#define _WORD_ACCESS 0 /* 0 or 1 */ +/* The _WORD_ACCESS option is an only platform dependent option. It defines +/ which access method is used to the word data on the FAT volume. +/ +/ 0: Byte-by-byte access. Always compatible with all platforms. +/ 1: Word access. Do not choose this unless under both the following conditions. +/ +/ * Address misaligned memory access is always allowed to ALL instructions. +/ * Byte order on the memory is little-endian. +/ +/ If it is the case, _WORD_ACCESS can also be set to 1 to reduce code size. +/ Following table shows allowable settings of some processor types. +/ +/ ARM7TDMI 0 ColdFire 0 V850E 0 +/ Cortex-M3 0 Z80 0/1 V850ES 0/1 +/ Cortex-M0 0 x86 0/1 TLCS-870 0/1 +/ AVR 0/1 RX600(LE) 0/1 TLCS-900 0/1 +/ AVR32 0 RL78 0 R32C 0 +/ PIC18 0/1 SH-2 0 M16C 0/1 +/ PIC24 0 H8S 0 MSP430 0 +/ PIC32 0 H8/300H 0 8051 0/1 +*/ + +#endif /* _FFCONF */ diff --git a/Project/Application/FATFS/Target/user_diskio.c b/Project/Application/FATFS/Target/user_diskio.c new file mode 100644 index 0000000..66c058a --- /dev/null +++ b/Project/Application/FATFS/Target/user_diskio.c @@ -0,0 +1,167 @@ +/* USER CODE BEGIN Header */ +/** + ****************************************************************************** + * @file user_diskio.c + * @brief This file includes a diskio driver skeleton to be completed by the user. + ****************************************************************************** + * @attention + * + *

© Copyright (c) 2020 STMicroelectronics. + * All rights reserved.

+ * + * This software component is licensed by ST under Ultimate Liberty license + * SLA0044, the "License"; You may not use this file except in compliance with + * the License. You may obtain a copy of the License at: + * www.st.com/SLA0044 + * + ****************************************************************************** + */ + /* USER CODE END Header */ + +#ifdef USE_OBSOLETE_USER_CODE_SECTION_0 +/* + * Warning: the user section 0 is no more in use (starting from CubeMx version 4.16.0) + * To be suppressed in the future. + * Kept to ensure backward compatibility with previous CubeMx versions when + * migrating projects. + * User code previously added there should be copied in the new user sections before + * the section contents can be deleted. + */ +/* USER CODE BEGIN 0 */ +/* USER CODE END 0 */ +#endif + +/* USER CODE BEGIN DECL */ + +/* Includes ------------------------------------------------------------------*/ +#include +#include "ff_gen_drv.h" +#include "user_diskio_spi.h" + +/* Private typedef -----------------------------------------------------------*/ +/* Private define ------------------------------------------------------------*/ + +/* Private variables ---------------------------------------------------------*/ +/* Disk status */ +static volatile DSTATUS Stat = STA_NOINIT; + +/* USER CODE END DECL */ + +/* Private function prototypes -----------------------------------------------*/ +DSTATUS USER_initialize (BYTE pdrv); +DSTATUS USER_status (BYTE pdrv); +DRESULT USER_read (BYTE pdrv, BYTE *buff, DWORD sector, UINT count); +#if _USE_WRITE == 1 + DRESULT USER_write (BYTE pdrv, const BYTE *buff, DWORD sector, UINT count); +#endif /* _USE_WRITE == 1 */ +#if _USE_IOCTL == 1 + DRESULT USER_ioctl (BYTE pdrv, BYTE cmd, void *buff); +#endif /* _USE_IOCTL == 1 */ + +Diskio_drvTypeDef USER_Driver = +{ + USER_initialize, + USER_status, + USER_read, +#if _USE_WRITE + USER_write, +#endif /* _USE_WRITE == 1 */ +#if _USE_IOCTL == 1 + USER_ioctl, +#endif /* _USE_IOCTL == 1 */ +}; + +/* Private functions ---------------------------------------------------------*/ + +/** + * @brief Initializes a Drive + * @param pdrv: Physical drive number (0..) + * @retval DSTATUS: Operation status + */ +DSTATUS USER_initialize ( + BYTE pdrv /* Physical drive nmuber to identify the drive */ +) +{ + /* USER CODE BEGIN INIT */ + return USER_SPI_initialize(pdrv); + /* USER CODE END INIT */ +} + +/** + * @brief Gets Disk Status + * @param pdrv: Physical drive number (0..) + * @retval DSTATUS: Operation status + */ +DSTATUS USER_status ( + BYTE pdrv /* Physical drive number to identify the drive */ +) +{ + /* USER CODE BEGIN STATUS */ + return USER_SPI_status(pdrv); + /* USER CODE END STATUS */ +} + +/** + * @brief Reads Sector(s) + * @param pdrv: Physical drive number (0..) + * @param *buff: Data buffer to store read data + * @param sector: Sector address (LBA) + * @param count: Number of sectors to read (1..128) + * @retval DRESULT: Operation result + */ +DRESULT USER_read ( + BYTE pdrv, /* Physical drive nmuber to identify the drive */ + BYTE *buff, /* Data buffer to store read data */ + DWORD sector, /* Sector address in LBA */ + UINT count /* Number of sectors to read */ +) +{ + /* USER CODE BEGIN READ */ + return USER_SPI_read(pdrv, buff, sector, count); + /* USER CODE END READ */ +} + +/** + * @brief Writes Sector(s) + * @param pdrv: Physical drive number (0..) + * @param *buff: Data to be written + * @param sector: Sector address (LBA) + * @param count: Number of sectors to write (1..128) + * @retval DRESULT: Operation result + */ +#if _USE_WRITE == 1 +DRESULT USER_write ( + BYTE pdrv, /* Physical drive nmuber to identify the drive */ + const BYTE *buff, /* Data to be written */ + DWORD sector, /* Sector address in LBA */ + UINT count /* Number of sectors to write */ +) +{ + /* USER CODE BEGIN WRITE */ + /* USER CODE HERE */ + return USER_SPI_write(pdrv, buff, sector, count); + /* USER CODE END WRITE */ +} +#endif /* _USE_WRITE == 1 */ + +/** + * @brief I/O control operation + * @param pdrv: Physical drive number (0..) + * @param cmd: Control code + * @param *buff: Buffer to send/receive control data + * @retval DRESULT: Operation result + */ +#if _USE_IOCTL == 1 +DRESULT USER_ioctl ( + BYTE pdrv, /* Physical drive nmuber (0..) */ + BYTE cmd, /* Control code */ + void *buff /* Buffer to send/receive control data */ +) +{ + /* USER CODE BEGIN IOCTL */ + return USER_SPI_ioctl(pdrv, cmd, buff); + /* USER CODE END IOCTL */ +} +#endif /* _USE_IOCTL == 1 */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/Project/Application/FATFS/Target/user_diskio.h b/Project/Application/FATFS/Target/user_diskio.h new file mode 100644 index 0000000..d4cb322 --- /dev/null +++ b/Project/Application/FATFS/Target/user_diskio.h @@ -0,0 +1,47 @@ +/* USER CODE BEGIN Header */ +/** + ****************************************************************************** + * @file user_diskio.h + * @brief This file contains the common defines and functions prototypes for + * the user_diskio driver. + ****************************************************************************** + * @attention + * + *

© Copyright (c) 2020 STMicroelectronics. + * All rights reserved.

+ * + * This software component is licensed by ST under Ultimate Liberty license + * SLA0044, the "License"; You may not use this file except in compliance with + * the License. You may obtain a copy of the License at: + * www.st.com/SLA0044 + * + ****************************************************************************** + */ + /* USER CODE END Header */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __USER_DISKIO_H +#define __USER_DISKIO_H + +#ifdef __cplusplus + extern "C" { +#endif + +/* USER CODE BEGIN 0 */ + +/* Includes ------------------------------------------------------------------*/ +#include "user_diskio_spi.h" + /* Exported types ------------------------------------------------------------*/ +/* Exported constants --------------------------------------------------------*/ +/* Exported functions ------------------------------------------------------- */ +extern Diskio_drvTypeDef USER_Driver; + +/* USER CODE END 0 */ + +#ifdef __cplusplus +} +#endif + +#endif /* __USER_DISKIO_H */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ diff --git a/Project/Application/FATFS/Target/user_diskio_spi.c b/Project/Application/FATFS/Target/user_diskio_spi.c new file mode 100644 index 0000000..b93b284 --- /dev/null +++ b/Project/Application/FATFS/Target/user_diskio_spi.c @@ -0,0 +1,551 @@ +/** + ****************************************************************************** + * @file user_diskio_spi.c + * @brief This file contains the implementation of the user_diskio_spi FatFs + * driver. + ****************************************************************************** + * Portions copyright (C) 2014, ChaN, all rights reserved. + * Portions copyright (C) 2017, kiwih, all rights reserved. + * + * This software is a free software and there is NO WARRANTY. + * No restriction on use. You can use, modify and redistribute it for + * personal, non-profit or commercial products UNDER YOUR RESPONSIBILITY. + * Redistributions of source code must retain the above copyright notice. + * + ****************************************************************************** + */ + +//This code was ported by kiwih from a copywrited (C) library written by ChaN +//available at http://elm-chan.org/fsw/ff/ffsample.zip +//(text at http://elm-chan.org/fsw/ff/00index_e.html) + +//This file provides the FatFs driver functions and SPI code required to manage +//an SPI-connected MMC or compatible SD card with FAT + +//It is designed to be wrapped by a cubemx generated user_diskio.c file. + + +#include "user_diskio_spi.h" +#include "spi10.h" + + +//Make sure you set #define SD_SPI_HANDLE as some hspix in main.h +//Make sure you set #define SD_CS_GPIO_Port as some GPIO port in main.h +//Make sure you set #define SD_CS_Pin as some GPIO pin in main.h + + +/* Function prototypes */ + +//(Note that the _256 is used as a mask to clear the prescalar bits as it provides binary 111 in the correct position) +#define FCLK_SLOW() { SPI10_Initialization(250000, SPI10_MODE0, false); } /* Set SCLK = slow, approx 280 KBits/s*/ +#define FCLK_FAST() { SPI10_Initialization(4500000, SPI10_MODE0, false); } /* Set SCLK = fast, approx 4.5 MBits/s */ + +#define CS_HIGH() {SPI10_CS_HIGH;} +#define CS_LOW() {SPI10_CS_LOW;} + +/*-------------------------------------------------------------------------- + + Module Private Functions + +---------------------------------------------------------------------------*/ + +/* MMC/SD command */ +#define CMD0 (0) /* GO_IDLE_STATE */ +#define CMD1 (1) /* SEND_OP_COND (MMC) */ +#define ACMD41 (0x80+41) /* SEND_OP_COND (SDC) */ +#define CMD8 (8) /* SEND_IF_COND */ +#define CMD9 (9) /* SEND_CSD */ +#define CMD10 (10) /* SEND_CID */ +#define CMD12 (12) /* STOP_TRANSMISSION */ +#define ACMD13 (0x80+13) /* SD_STATUS (SDC) */ +#define CMD16 (16) /* SET_BLOCKLEN */ +#define CMD17 (17) /* READ_SINGLE_BLOCK */ +#define CMD18 (18) /* READ_MULTIPLE_BLOCK */ +#define CMD23 (23) /* SET_BLOCK_COUNT (MMC) */ +#define ACMD23 (0x80+23) /* SET_WR_BLK_ERASE_COUNT (SDC) */ +#define CMD24 (24) /* WRITE_BLOCK */ +#define CMD25 (25) /* WRITE_MULTIPLE_BLOCK */ +#define CMD32 (32) /* ERASE_ER_BLK_START */ +#define CMD33 (33) /* ERASE_ER_BLK_END */ +#define CMD38 (38) /* ERASE */ +#define CMD55 (55) /* APP_CMD */ +#define CMD58 (58) /* READ_OCR */ + +/* MMC card type flags (MMC_GET_TYPE) */ +#define CT_MMC 0x01 /* MMC ver 3 */ +#define CT_SD1 0x02 /* SD ver 1 */ +#define CT_SD2 0x04 /* SD ver 2 */ +#define CT_SDC (CT_SD1|CT_SD2) /* SD */ +#define CT_BLOCK 0x08 /* Block addressing */ + +static volatile +DSTATUS Stat = STA_NOINIT; /* Physical drive status */ + + +static +BYTE CardType; /* Card type flags */ + +uint32_t spiTimerTickStart; +uint32_t spiTimerTickDelay; + +void SPI_Timer_On(uint32_t waitTicks) { + spiTimerTickStart = millis(); + spiTimerTickDelay = waitTicks; +} + +uint8_t SPI_Timer_Status() { + return ((millis() - spiTimerTickStart) < spiTimerTickDelay); +} + +/*-----------------------------------------------------------------------*/ +/* SPI controls (Platform dependent) */ +/*-----------------------------------------------------------------------*/ + +/* Exchange a byte */ +static +BYTE xchg_spi ( + BYTE dat /* Data to send */ +) +{ + BYTE rxDat; + rxDat = SPI10_SendReceiveByte(dat); + return rxDat; +} + + +/* Receive multiple byte */ +static +void rcvr_spi_multi ( + BYTE *buff, /* Pointer to data buffer */ + UINT btr /* Number of bytes to receive (even number) */ +) +{ + for(UINT i=0; i */ + cmd &= 0x7F; + res = send_cmd(CMD55, 0); + if (res > 1) return res; + } + + /* Select the card and wait for ready except to stop multiple block read */ + if (cmd != CMD12) { + despiselect(); + if (!spiselect()) return 0xFF; + } + + /* Send command packet */ + xchg_spi(0x40 | cmd); /* Start + command index */ + xchg_spi((BYTE)(arg >> 24)); /* Argument[31..24] */ + xchg_spi((BYTE)(arg >> 16)); /* Argument[23..16] */ + xchg_spi((BYTE)(arg >> 8)); /* Argument[15..8] */ + xchg_spi((BYTE)arg); /* Argument[7..0] */ + n = 0x01; /* Dummy CRC + Stop */ + if (cmd == CMD0) n = 0x95; /* Valid CRC for CMD0(0) */ + if (cmd == CMD8) n = 0x87; /* Valid CRC for CMD8(0x1AA) */ + xchg_spi(n); + + /* Receive command resp */ + if (cmd == CMD12) xchg_spi(0xFF); /* Diacard following one byte when CMD12 */ + n = 10; /* Wait for response (10 bytes max) */ + do { + res = xchg_spi(0xFF); + } while ((res & 0x80) && --n); + + return res; /* Return received response */ +} + + +/*-------------------------------------------------------------------------- + + Public FatFs Functions (wrapped in user_diskio.c) + +---------------------------------------------------------------------------*/ + +//The following functions are defined as inline because they aren't the functions that +//are passed to FatFs - they are wrapped by autogenerated (non-inline) cubemx template +//code. +//If you do not wish to use cubemx, remove the "inline" from these functions here +//and in the associated .h + + +/*-----------------------------------------------------------------------*/ +/* Initialize disk drive */ +/*-----------------------------------------------------------------------*/ + +inline DSTATUS USER_SPI_initialize ( + BYTE drv /* Physical drive number (0) */ +) +{ + BYTE n, cmd, ty, ocr[4]; + + if (drv != 0) return STA_NOINIT; /* Supports only drive 0 */ + //assume SPI already init init_spi(); /* Initialize SPI */ + + if (Stat & STA_NODISK) return Stat; /* Is card existing in the soket? */ + + FCLK_SLOW(); + for (n = 10; n; n--) xchg_spi(0xFF); /* Send 80 dummy clocks */ + + ty = 0; + if (send_cmd(CMD0, 0) == 1) { /* Put the card SPI/Idle state */ + SPI_Timer_On(1000); /* Initialization timeout = 1 sec */ + if (send_cmd(CMD8, 0x1AA) == 1) { /* SDv2? */ + for (n = 0; n < 4; n++) ocr[n] = xchg_spi(0xFF); /* Get 32 bit return value of R7 resp */ + if (ocr[2] == 0x01 && ocr[3] == 0xAA) { /* Is the card supports vcc of 2.7-3.6V? */ + while (SPI_Timer_Status() && send_cmd(ACMD41, 1UL << 30)) ; /* Wait for end of initialization with ACMD41(HCS) */ + if (SPI_Timer_Status() && send_cmd(CMD58, 0) == 0) { /* Check CCS bit in the OCR */ + for (n = 0; n < 4; n++) ocr[n] = xchg_spi(0xFF); + ty = (ocr[0] & 0x40) ? CT_SD2 | CT_BLOCK : CT_SD2; /* Card id SDv2 */ + } + } + } else { /* Not SDv2 card */ + if (send_cmd(ACMD41, 0) <= 1) { /* SDv1 or MMC? */ + ty = CT_SD1; cmd = ACMD41; /* SDv1 (ACMD41(0)) */ + } else { + ty = CT_MMC; cmd = CMD1; /* MMCv3 (CMD1(0)) */ + } + while (SPI_Timer_Status() && send_cmd(cmd, 0)) ; /* Wait for end of initialization */ + if (!SPI_Timer_Status() || send_cmd(CMD16, 512) != 0) /* Set block length: 512 */ + ty = 0; + } + } + CardType = ty; /* Card type */ + despiselect(); + + if (ty) { /* OK */ + FCLK_FAST(); /* Set fast clock */ + Stat &= ~STA_NOINIT; /* Clear STA_NOINIT flag */ + } else { /* Failed */ + Stat = STA_NOINIT; + } + + return Stat; +} + + + +/*-----------------------------------------------------------------------*/ +/* Get disk status */ +/*-----------------------------------------------------------------------*/ + +inline DSTATUS USER_SPI_status ( + BYTE drv /* Physical drive number (0) */ +) +{ + if (drv) return STA_NOINIT; /* Supports only drive 0 */ + + return Stat; /* Return disk status */ +} + + + +/*-----------------------------------------------------------------------*/ +/* Read sector(s) */ +/*-----------------------------------------------------------------------*/ + +inline DRESULT USER_SPI_read ( + BYTE drv, /* Physical drive number (0) */ + BYTE *buff, /* Pointer to the data buffer to store read data */ + DWORD sector, /* Start sector number (LBA) */ + UINT count /* Number of sectors to read (1..128) */ +) +{ + if (drv || !count) return RES_PARERR; /* Check parameter */ + if (Stat & STA_NOINIT) return RES_NOTRDY; /* Check if drive is ready */ + + if (!(CardType & CT_BLOCK)) sector *= 512; /* LBA ot BA conversion (byte addressing cards) */ + + if (count == 1) { /* Single sector read */ + if ((send_cmd(CMD17, sector) == 0) /* READ_SINGLE_BLOCK */ + && rcvr_datablock(buff, 512)) { + count = 0; + } + } + else { /* Multiple sector read */ + if (send_cmd(CMD18, sector) == 0) { /* READ_MULTIPLE_BLOCK */ + do { + if (!rcvr_datablock(buff, 512)) break; + buff += 512; + } while (--count); + send_cmd(CMD12, 0); /* STOP_TRANSMISSION */ + } + } + despiselect(); + + return count ? RES_ERROR : RES_OK; /* Return result */ +} + + + +/*-----------------------------------------------------------------------*/ +/* Write sector(s) */ +/*-----------------------------------------------------------------------*/ + +#if _USE_WRITE +inline DRESULT USER_SPI_write ( + BYTE drv, /* Physical drive number (0) */ + const BYTE *buff, /* Ponter to the data to write */ + DWORD sector, /* Start sector number (LBA) */ + UINT count /* Number of sectors to write (1..128) */ +) +{ + if (drv || !count) return RES_PARERR; /* Check parameter */ + if (Stat & STA_NOINIT) return RES_NOTRDY; /* Check drive status */ + if (Stat & STA_PROTECT) return RES_WRPRT; /* Check write protect */ + + if (!(CardType & CT_BLOCK)) sector *= 512; /* LBA ==> BA conversion (byte addressing cards) */ + + if (count == 1) { /* Single sector write */ + if ((send_cmd(CMD24, sector) == 0) /* WRITE_BLOCK */ + && xmit_datablock(buff, 0xFE)) { + count = 0; + } + } + else { /* Multiple sector write */ + if (CardType & CT_SDC) send_cmd(ACMD23, count); /* Predefine number of sectors */ + if (send_cmd(CMD25, sector) == 0) { /* WRITE_MULTIPLE_BLOCK */ + do { + if (!xmit_datablock(buff, 0xFC)) break; + buff += 512; + } while (--count); + if (!xmit_datablock(0, 0xFD)) count = 1; /* STOP_TRAN token */ + } + } + despiselect(); + + return count ? RES_ERROR : RES_OK; /* Return result */ +} +#endif + + +/*-----------------------------------------------------------------------*/ +/* Miscellaneous drive controls other than data read/write */ +/*-----------------------------------------------------------------------*/ + +#if _USE_IOCTL +inline DRESULT USER_SPI_ioctl ( + BYTE drv, /* Physical drive number (0) */ + BYTE cmd, /* Control command code */ + void *buff /* Pointer to the conrtol data */ +) +{ + DRESULT res; + BYTE n, csd[16]; + DWORD *dp, st, ed, csize; + + + if (drv) return RES_PARERR; /* Check parameter */ + if (Stat & STA_NOINIT) return RES_NOTRDY; /* Check if drive is ready */ + + res = RES_ERROR; + + switch (cmd) { + case CTRL_SYNC : /* Wait for end of internal write process of the drive */ + if (spiselect()) res = RES_OK; + break; + + case GET_SECTOR_COUNT : /* Get drive capacity in unit of sector (DWORD) */ + if ((send_cmd(CMD9, 0) == 0) && rcvr_datablock(csd, 16)) { + if ((csd[0] >> 6) == 1) { /* SDC ver 2.00 */ + csize = csd[9] + ((WORD)csd[8] << 8) + ((DWORD)(csd[7] & 63) << 16) + 1; + *(DWORD*)buff = csize << 10; + } else { /* SDC ver 1.XX or MMC ver 3 */ + n = (csd[5] & 15) + ((csd[10] & 128) >> 7) + ((csd[9] & 3) << 1) + 2; + csize = (csd[8] >> 6) + ((WORD)csd[7] << 2) + ((WORD)(csd[6] & 3) << 10) + 1; + *(DWORD*)buff = csize << (n - 9); + } + res = RES_OK; + } + break; + + case GET_BLOCK_SIZE : /* Get erase block size in unit of sector (DWORD) */ + if (CardType & CT_SD2) { /* SDC ver 2.00 */ + if (send_cmd(ACMD13, 0) == 0) { /* Read SD status */ + xchg_spi(0xFF); + if (rcvr_datablock(csd, 16)) { /* Read partial block */ + for (n = 64 - 16; n; n--) xchg_spi(0xFF); /* Purge trailing data */ + *(DWORD*)buff = 16UL << (csd[10] >> 4); + res = RES_OK; + } + } + } else { /* SDC ver 1.XX or MMC */ + if ((send_cmd(CMD9, 0) == 0) && rcvr_datablock(csd, 16)) { /* Read CSD */ + if (CardType & CT_SD1) { /* SDC ver 1.XX */ + *(DWORD*)buff = (((csd[10] & 63) << 1) + ((WORD)(csd[11] & 128) >> 7) + 1) << ((csd[13] >> 6) - 1); + } else { /* MMC */ + *(DWORD*)buff = ((WORD)((csd[10] & 124) >> 2) + 1) * (((csd[11] & 3) << 3) + ((csd[11] & 224) >> 5) + 1); + } + res = RES_OK; + } + } + break; + + case CTRL_TRIM : /* Erase a block of sectors (used when _USE_ERASE == 1) */ + if (!(CardType & CT_SDC)) break; /* Check if the card is SDC */ + if (USER_SPI_ioctl(drv, MMC_GET_CSD, csd)) break; /* Get CSD */ + if (!(csd[0] >> 6) && !(csd[10] & 0x40)) break; /* Check if sector erase can be applied to the card */ + dp = buff; st = dp[0]; ed = dp[1]; /* Load sector block */ + if (!(CardType & CT_BLOCK)) { + st *= 512; ed *= 512; + } + if (send_cmd(CMD32, st) == 0 && send_cmd(CMD33, ed) == 0 && send_cmd(CMD38, 0) == 0 && wait_ready(30000)) { /* Erase sector block */ + res = RES_OK; /* FatFs does not check result of this command */ + } + break; + + default: + res = RES_PARERR; + } + + despiselect(); + + return res; +} +#endif diff --git a/Project/Application/FATFS/Target/user_diskio_spi.h b/Project/Application/FATFS/Target/user_diskio_spi.h new file mode 100644 index 0000000..0a95e56 --- /dev/null +++ b/Project/Application/FATFS/Target/user_diskio_spi.h @@ -0,0 +1,38 @@ +/** + ****************************************************************************** + * @file user_diskio_spi.h + * @brief This file contains the common defines and functions prototypes for + * the user_diskio_spi driver implementation + ****************************************************************************** + * Portions copyright (C) 2014, ChaN, all rights reserved. + * Portions copyright (C) 2017, kiwih, all rights reserved. + * + * This software is a free software and there is NO WARRANTY. + * No restriction on use. You can use, modify and redistribute it for + * personal, non-profit or commercial products UNDER YOUR RESPONSIBILITY. + * Redistributions of source code must retain the above copyright notice. + * + ****************************************************************************** + */ + +#ifndef _USER_DISKIO_SPI_H +#define _USER_DISKIO_SPI_H + +#include "integer.h" //from FatFs middleware library +#include "diskio.h" //from FatFs middleware library +#include "ff_gen_drv.h" //from FatFs middleware library + +//we define these as inline because we don't want them to be actual function calls (they get "called" from the cubemx autogenerated user_diskio file) +//we define them as extern because they are defined in a separate .c file to user_diskio.c (which #includes this .h file) + +extern DSTATUS USER_SPI_initialize (BYTE pdrv); +extern DSTATUS USER_SPI_status (BYTE pdrv); +extern DRESULT USER_SPI_read (BYTE pdrv, BYTE *buff, DWORD sector, UINT count); +#if _USE_WRITE == 1 + extern DRESULT USER_SPI_write (BYTE pdrv, const BYTE *buff, DWORD sector, UINT count); +#endif /* _USE_WRITE == 1 */ +#if _USE_IOCTL == 1 + extern DRESULT USER_SPI_ioctl (BYTE pdrv, BYTE cmd, void *buff); +#endif /* _USE_IOCTL == 1 */ + +#endif diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.c b/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.c new file mode 100644 index 0000000..2a4a02c --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.c @@ -0,0 +1,160 @@ +/*-----------------------------------------------------------------------*/ +/* Low level disk I/O module skeleton for FatFs (C)ChaN, 2014 */ +/* */ +/* Portions COPYRIGHT 2015 STMicroelectronics */ +/* Portions Copyright (C) 2014, ChaN, all right reserved */ +/*-----------------------------------------------------------------------*/ +/* If a working storage control module is available, it should be */ +/* attached to the FatFs via a glue function rather than modifying it. */ +/* This is an example of glue functions to attach various exsisting */ +/* storage control modules to the FatFs module with a defined API. */ +/*-----------------------------------------------------------------------*/ + +/** + ****************************************************************************** + * @file diskio.c + * @author MCD Application Team + * @version V1.3.0 + * @date 08-May-2015 + * @brief FatFs low level disk I/O module. + ****************************************************************************** + * @attention + * + * Licensed under MCD-ST Liberty SW License Agreement V2, (the "License"); + * You may not use this file except in compliance with the License. + * You may obtain a copy of the License at: + * + * http://www.st.com/software_license_agreement_liberty_v2 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + ****************************************************************************** + */ + +/* Includes ------------------------------------------------------------------*/ +#include "diskio.h" +#include "ff_gen_drv.h" + +/* Private typedef -----------------------------------------------------------*/ +/* Private define ------------------------------------------------------------*/ +/* Private variables ---------------------------------------------------------*/ +extern Disk_drvTypeDef disk; + +/* Private function prototypes -----------------------------------------------*/ +/* Private functions ---------------------------------------------------------*/ + +/** + * @brief Gets Disk Status + * @param pdrv: Physical drive number (0..) + * @retval DSTATUS: Operation status + */ +DSTATUS disk_status ( + BYTE pdrv /* Physical drive nmuber to identify the drive */ +) +{ + DSTATUS stat; + + stat = disk.drv[pdrv]->disk_status(disk.lun[pdrv]); + return stat; +} + +/** + * @brief Initializes a Drive + * @param pdrv: Physical drive number (0..) + * @retval DSTATUS: Operation status + */ +DSTATUS disk_initialize ( + BYTE pdrv /* Physical drive nmuber to identify the drive */ +) +{ + DSTATUS stat = RES_OK; + + if(disk.is_initialized[pdrv] == 0) + { + disk.is_initialized[pdrv] = 1; + stat = disk.drv[pdrv]->disk_initialize(disk.lun[pdrv]); + } + return stat; +} + +/** + * @brief Reads Sector(s) + * @param pdrv: Physical drive number (0..) + * @param *buff: Data buffer to store read data + * @param sector: Sector address (LBA) + * @param count: Number of sectors to read (1..128) + * @retval DRESULT: Operation result + */ +DRESULT disk_read ( + BYTE pdrv, /* Physical drive nmuber to identify the drive */ + BYTE *buff, /* Data buffer to store read data */ + DWORD sector, /* Sector address in LBA */ + UINT count /* Number of sectors to read */ +) +{ + DRESULT res; + + res = disk.drv[pdrv]->disk_read(disk.lun[pdrv], buff, sector, count); + return res; +} + +/** + * @brief Writes Sector(s) + * @param pdrv: Physical drive number (0..) + * @param *buff: Data to be written + * @param sector: Sector address (LBA) + * @param count: Number of sectors to write (1..128) + * @retval DRESULT: Operation result + */ +#if _USE_WRITE == 1 +DRESULT disk_write ( + BYTE pdrv, /* Physical drive nmuber to identify the drive */ + const BYTE *buff, /* Data to be written */ + DWORD sector, /* Sector address in LBA */ + UINT count /* Number of sectors to write */ +) +{ + DRESULT res; + + res = disk.drv[pdrv]->disk_write(disk.lun[pdrv], buff, sector, count); + return res; +} +#endif /* _USE_WRITE == 1 */ + +/** + * @brief I/O control operation + * @param pdrv: Physical drive number (0..) + * @param cmd: Control code + * @param *buff: Buffer to send/receive control data + * @retval DRESULT: Operation result + */ +#if _USE_IOCTL == 1 +DRESULT disk_ioctl ( + BYTE pdrv, /* Physical drive nmuber (0..) */ + BYTE cmd, /* Control code */ + void *buff /* Buffer to send/receive control data */ +) +{ + DRESULT res; + + res = disk.drv[pdrv]->disk_ioctl(disk.lun[pdrv], cmd, buff); + return res; +} +#endif /* _USE_IOCTL == 1 */ + +/** + * @brief Gets Time from RTC + * @param None + * @retval Time in DWORD + */ +__weak DWORD get_fattime (void) +{ + return 0; +} + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ + diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.h b/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.h new file mode 100644 index 0000000..6af958a --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/diskio.h @@ -0,0 +1,80 @@ +/*-----------------------------------------------------------------------/ +/ Low level disk interface modlue include file (C)ChaN, 2014 / +/-----------------------------------------------------------------------*/ + +#ifndef _DISKIO_DEFINED +#define _DISKIO_DEFINED + +#ifdef __cplusplus +extern "C" { +#endif + +#define _USE_WRITE 1 /* 1: Enable disk_write function */ +#define _USE_IOCTL 1 /* 1: Enable disk_ioctl fucntion */ + +#include "integer.h" + + +/* Status of Disk Functions */ +typedef BYTE DSTATUS; + +/* Results of Disk Functions */ +typedef enum { + RES_OK = 0, /* 0: Successful */ + RES_ERROR, /* 1: R/W Error */ + RES_WRPRT, /* 2: Write Protected */ + RES_NOTRDY, /* 3: Not Ready */ + RES_PARERR /* 4: Invalid Parameter */ +} DRESULT; + + +/*---------------------------------------*/ +/* Prototypes for disk control functions */ + + +DSTATUS disk_initialize (BYTE pdrv); +DSTATUS disk_status (BYTE pdrv); +DRESULT disk_read (BYTE pdrv, BYTE* buff, DWORD sector, UINT count); +DRESULT disk_write (BYTE pdrv, const BYTE* buff, DWORD sector, UINT count); +DRESULT disk_ioctl (BYTE pdrv, BYTE cmd, void* buff); +DWORD get_fattime (void); + +/* Disk Status Bits (DSTATUS) */ + +#define STA_NOINIT 0x01 /* Drive not initialized */ +#define STA_NODISK 0x02 /* No medium in the drive */ +#define STA_PROTECT 0x04 /* Write protected */ + + +/* Command code for disk_ioctrl fucntion */ + +/* Generic command (Used by FatFs) */ +#define CTRL_SYNC 0 /* Complete pending write process (needed at _FS_READONLY == 0) */ +#define GET_SECTOR_COUNT 1 /* Get media size (needed at _USE_MKFS == 1) */ +#define GET_SECTOR_SIZE 2 /* Get sector size (needed at _MAX_SS != _MIN_SS) */ +#define GET_BLOCK_SIZE 3 /* Get erase block size (needed at _USE_MKFS == 1) */ +#define CTRL_TRIM 4 /* Inform device that the data on the block of sectors is no longer used (needed at _USE_TRIM == 1) */ + +/* Generic command (Not used by FatFs) */ +#define CTRL_POWER 5 /* Get/Set power status */ +#define CTRL_LOCK 6 /* Lock/Unlock media removal */ +#define CTRL_EJECT 7 /* Eject media */ +#define CTRL_FORMAT 8 /* Create physical format on the media */ + +/* MMC/SDC specific ioctl command */ +#define MMC_GET_TYPE 10 /* Get card type */ +#define MMC_GET_CSD 11 /* Get CSD */ +#define MMC_GET_CID 12 /* Get CID */ +#define MMC_GET_OCR 13 /* Get OCR */ +#define MMC_GET_SDSTAT 14 /* Get SD status */ + +/* ATA/CF specific ioctl command */ +#define ATA_GET_REV 20 /* Get F/W revision */ +#define ATA_GET_MODEL 21 /* Get model name */ +#define ATA_GET_SN 22 /* Get serial number */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/ff.c b/Project/Application/Middlewares/Third_Party/FatFs/src/ff.c new file mode 100644 index 0000000..5766d55 --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/ff.c @@ -0,0 +1,4752 @@ +/*----------------------------------------------------------------------------/ +/ FatFs - FAT file system module R0.11 (C)ChaN, 2015 +/-----------------------------------------------------------------------------/ +/ FatFs module is a free software that opened under license policy of +/ following conditions. +/ +/ Copyright (C) 2015, ChaN, all right reserved. +/ +/ 1. Redistributions of source code must retain the above copyright notice, +/ this condition and the following disclaimer. +/ +/ This software is provided by the copyright holder and contributors "AS IS" +/ and any warranties related to this software are DISCLAIMED. +/ The copyright owner or contributors be NOT LIABLE for any damages caused +/ by use of this software. +/-----------------------------------------------------------------------------/ +/ Feb 26,'06 R0.00 Prototype. +/ +/ Apr 29,'06 R0.01 First stable version. +/ +/ Jun 01,'06 R0.02 Added FAT12 support. +/ Removed unbuffered mode. +/ Fixed a problem on small (<32M) partition. +/ Jun 10,'06 R0.02a Added a configuration option (_FS_MINIMUM). +/ +/ Sep 22,'06 R0.03 Added f_rename(). +/ Changed option _FS_MINIMUM to _FS_MINIMIZE. +/ Dec 11,'06 R0.03a Improved cluster scan algorithm to write files fast. +/ Fixed f_mkdir() creates incorrect directory on FAT32. +/ +/ Feb 04,'07 R0.04 Supported multiple drive system. +/ Changed some interfaces for multiple drive system. +/ Changed f_mountdrv() to f_mount(). +/ Added f_mkfs(). +/ Apr 01,'07 R0.04a Supported multiple partitions on a physical drive. +/ Added a capability of extending file size to f_lseek(). +/ Added minimization level 3. +/ Fixed an endian sensitive code in f_mkfs(). +/ May 05,'07 R0.04b Added a configuration option _USE_NTFLAG. +/ Added FSINFO support. +/ Fixed DBCS name can result FR_INVALID_NAME. +/ Fixed short seek (<= csize) collapses the file object. +/ +/ Aug 25,'07 R0.05 Changed arguments of f_read(), f_write() and f_mkfs(). +/ Fixed f_mkfs() on FAT32 creates incorrect FSINFO. +/ Fixed f_mkdir() on FAT32 creates incorrect directory. +/ Feb 03,'08 R0.05a Added f_truncate() and f_utime(). +/ Fixed off by one error at FAT sub-type determination. +/ Fixed btr in f_read() can be mistruncated. +/ Fixed cached sector is not flushed when create and close without write. +/ +/ Apr 01,'08 R0.06 Added fputc(), fputs(), fprintf() and fgets(). +/ Improved performance of f_lseek() on moving to the same or following cluster. +/ +/ Apr 01,'09 R0.07 Merged Tiny-FatFs as a configuration option. (_FS_TINY) +/ Added long file name feature. +/ Added multiple code page feature. +/ Added re-entrancy for multitask operation. +/ Added auto cluster size selection to f_mkfs(). +/ Added rewind option to f_readdir(). +/ Changed result code of critical errors. +/ Renamed string functions to avoid name collision. +/ Apr 14,'09 R0.07a Separated out OS dependent code on reentrant cfg. +/ Added multiple sector size feature. +/ Jun 21,'09 R0.07c Fixed f_unlink() can return FR_OK on error. +/ Fixed wrong cache control in f_lseek(). +/ Added relative path feature. +/ Added f_chdir() and f_chdrive(). +/ Added proper case conversion to extended character. +/ Nov 03,'09 R0.07e Separated out configuration options from ff.h to ffconf.h. +/ Fixed f_unlink() fails to remove a sub-directory on _FS_RPATH. +/ Fixed name matching error on the 13 character boundary. +/ Added a configuration option, _LFN_UNICODE. +/ Changed f_readdir() to return the SFN with always upper case on non-LFN cfg. +/ +/ May 15,'10 R0.08 Added a memory configuration option. (_USE_LFN = 3) +/ Added file lock feature. (_FS_SHARE) +/ Added fast seek feature. (_USE_FASTSEEK) +/ Changed some types on the API, XCHAR->TCHAR. +/ Changed .fname in the FILINFO structure on Unicode cfg. +/ String functions support UTF-8 encoding files on Unicode cfg. +/ Aug 16,'10 R0.08a Added f_getcwd(). +/ Added sector erase feature. (_USE_ERASE) +/ Moved file lock semaphore table from fs object to the bss. +/ Fixed a wrong directory entry is created on non-LFN cfg when the given name contains ';'. +/ Fixed f_mkfs() creates wrong FAT32 volume. +/ Jan 15,'11 R0.08b Fast seek feature is also applied to f_read() and f_write(). +/ f_lseek() reports required table size on creating CLMP. +/ Extended format syntax of f_printf(). +/ Ignores duplicated directory separators in given path name. +/ +/ Sep 06,'11 R0.09 f_mkfs() supports multiple partition to complete the multiple partition feature. +/ Added f_fdisk(). +/ Aug 27,'12 R0.09a Changed f_open() and f_opendir() reject null object pointer to avoid crash. +/ Changed option name _FS_SHARE to _FS_LOCK. +/ Fixed assertion failure due to OS/2 EA on FAT12/16 volume. +/ Jan 24,'13 R0.09b Added f_setlabel() and f_getlabel(). +/ +/ Oct 02,'13 R0.10 Added selection of character encoding on the file. (_STRF_ENCODE) +/ Added f_closedir(). +/ Added forced full FAT scan for f_getfree(). (_FS_NOFSINFO) +/ Added forced mount feature with changes of f_mount(). +/ Improved behavior of volume auto detection. +/ Improved write throughput of f_puts() and f_printf(). +/ Changed argument of f_chdrive(), f_mkfs(), disk_read() and disk_write(). +/ Fixed f_write() can be truncated when the file size is close to 4GB. +/ Fixed f_open(), f_mkdir() and f_setlabel() can return incorrect error code. +/ Jan 15,'14 R0.10a Added arbitrary strings as drive number in the path name. (_STR_VOLUME_ID) +/ Added a configuration option of minimum sector size. (_MIN_SS) +/ 2nd argument of f_rename() can have a drive number and it will be ignored. +/ Fixed f_mount() with forced mount fails when drive number is >= 1. +/ Fixed f_close() invalidates the file object without volume lock. +/ Fixed f_closedir() returns but the volume lock is left acquired. +/ Fixed creation of an entry with LFN fails on too many SFN collisions. +/ May 19,'14 R0.10b Fixed a hard error in the disk I/O layer can collapse the directory entry. +/ Fixed LFN entry is not deleted on delete/rename an object with lossy converted SFN. +/ Nov 9,'14 R0.10c Added a configuration option for the platforms without RTC. (_FS_NORTC) +/ Fixed volume label created by Mac OS X cannot be retrieved with f_getlabel(). (appeared at R0.09b) +/ Fixed a potential problem of FAT access that can appear on disk error. +/ Fixed null pointer dereference on attempting to delete the root direcotry. (appeared at R0.08) +/ Feb 02,'15 R0.11 Added f_findfirst() and f_findnext(). (_USE_FIND) +/ Fixed f_unlink() does not remove cluster chain of the file. (appeared at R0.10c) +/ Fixed _FS_NORTC option does not work properly. (appeared at R0.10c) +/---------------------------------------------------------------------------*/ + +#include "ff.h" /* Declarations of FatFs API */ +#include "diskio.h" /* Declarations of disk I/O functions */ + + +/*-------------------------------------------------------------------------- + + Module Private Definitions + +---------------------------------------------------------------------------*/ + +#if _FATFS != 32020 /* Revision ID */ +#error Wrong include file (ff.h). +#endif + + +/* Reentrancy related */ +#if _FS_REENTRANT +#if _USE_LFN == 1 +#error Static LFN work area cannot be used at thread-safe configuration +#endif +#define ENTER_FF(fs) { if (!lock_fs(fs)) return FR_TIMEOUT; } +#define LEAVE_FF(fs, res) { unlock_fs(fs, res); return res; } +#else +#define ENTER_FF(fs) +#define LEAVE_FF(fs, res) return res +#endif + +#define ABORT(fs, res) { fp->err = (BYTE)(res); LEAVE_FF(fs, res); } + + +/* Definitions of sector size */ +#if (_MAX_SS < _MIN_SS) || (_MAX_SS != 512 && _MAX_SS != 1024 && _MAX_SS != 2048 && _MAX_SS != 4096) || (_MIN_SS != 512 && _MIN_SS != 1024 && _MIN_SS != 2048 && _MIN_SS != 4096) +#error Wrong sector size configuration +#endif +#if _MAX_SS == _MIN_SS +#define SS(fs) ((UINT)_MAX_SS) /* Fixed sector size */ +#else +#define SS(fs) ((fs)->ssize) /* Variable sector size */ +#endif + + +/* Timestamp feature */ +#if _FS_NORTC == 1 +#if _NORTC_YEAR < 1980 || _NORTC_YEAR > 2107 || _NORTC_MON < 1 || _NORTC_MON > 12 || _NORTC_MDAY < 1 || _NORTC_MDAY > 31 +#error Invalid _FS_NORTC settings +#endif +#define GET_FATTIME() ((DWORD)(_NORTC_YEAR - 1980) << 25 | (DWORD)_NORTC_MON << 21 | (DWORD)_NORTC_MDAY << 16) +#else +#define GET_FATTIME() get_fattime() +#endif + + +/* File access control feature */ +#if _FS_LOCK +#if _FS_READONLY +#error _FS_LOCK must be 0 at read-only configuration +#endif +typedef struct { + FATFS *fs; /* Object ID 1, volume (NULL:blank entry) */ + DWORD clu; /* Object ID 2, directory (0:root) */ + WORD idx; /* Object ID 3, directory index */ + WORD ctr; /* Object open counter, 0:none, 0x01..0xFF:read mode open count, 0x100:write mode */ +} FILESEM; +#endif + + + +/* DBCS code ranges and SBCS extend character conversion table */ + +#if _CODE_PAGE == 932 /* Japanese Shift-JIS */ +#define _DF1S 0x81 /* DBC 1st byte range 1 start */ +#define _DF1E 0x9F /* DBC 1st byte range 1 end */ +#define _DF2S 0xE0 /* DBC 1st byte range 2 start */ +#define _DF2E 0xFC /* DBC 1st byte range 2 end */ +#define _DS1S 0x40 /* DBC 2nd byte range 1 start */ +#define _DS1E 0x7E /* DBC 2nd byte range 1 end */ +#define _DS2S 0x80 /* DBC 2nd byte range 2 start */ +#define _DS2E 0xFC /* DBC 2nd byte range 2 end */ + +#elif _CODE_PAGE == 936 /* Simplified Chinese GBK */ +#define _DF1S 0x81 +#define _DF1E 0xFE +#define _DS1S 0x40 +#define _DS1E 0x7E +#define _DS2S 0x80 +#define _DS2E 0xFE + +#elif _CODE_PAGE == 949 /* Korean */ +#define _DF1S 0x81 +#define _DF1E 0xFE +#define _DS1S 0x41 +#define _DS1E 0x5A +#define _DS2S 0x61 +#define _DS2E 0x7A +#define _DS3S 0x81 +#define _DS3E 0xFE + +#elif _CODE_PAGE == 950 /* Traditional Chinese Big5 */ +#define _DF1S 0x81 +#define _DF1E 0xFE +#define _DS1S 0x40 +#define _DS1E 0x7E +#define _DS2S 0xA1 +#define _DS2E 0xFE + +#elif _CODE_PAGE == 437 /* U.S. (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x90,0x41,0x8E,0x41,0x8F,0x80,0x45,0x45,0x45,0x49,0x49,0x49,0x8E,0x8F,0x90,0x92,0x92,0x4F,0x99,0x4F,0x55,0x55,0x59,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0x41,0x49,0x4F,0x55,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 720 /* Arabic (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x45,0x41,0x84,0x41,0x86,0x43,0x45,0x45,0x45,0x49,0x49,0x8D,0x8E,0x8F,0x90,0x92,0x92,0x93,0x94,0x95,0x49,0x49,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 737 /* Greek (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x92,0x92,0x93,0x94,0x95,0x96,0x97,0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87, \ + 0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0xAA,0x92,0x93,0x94,0x95,0x96,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0x97,0xEA,0xEB,0xEC,0xE4,0xED,0xEE,0xE7,0xE8,0xF1,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 775 /* Baltic (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x91,0xA0,0x8E,0x95,0x8F,0x80,0xAD,0xED,0x8A,0x8A,0xA1,0x8D,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0x95,0x96,0x97,0x97,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xE0,0xA3,0xA3,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xB5,0xB6,0xB7,0xB8,0xBD,0xBE,0xC6,0xC7,0xA5,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE3,0xE8,0xE8,0xEA,0xEA,0xEE,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 850 /* Multilingual Latin 1 (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xB7,0x8F,0x80,0xD2,0xD3,0xD4,0xD8,0xD7,0xDE,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0xE3,0xEA,0xEB,0x59,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9F, \ + 0xB5,0xD6,0xE0,0xE9,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE7,0xE7,0xE9,0xEA,0xEB,0xED,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 852 /* Latin 2 (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xDE,0x8F,0x80,0x9D,0xD3,0x8A,0x8A,0xD7,0x8D,0x8E,0x8F,0x90,0x91,0x91,0xE2,0x99,0x95,0x95,0x97,0x97,0x99,0x9A,0x9B,0x9B,0x9D,0x9E,0x9F, \ + 0xB5,0xD6,0xE0,0xE9,0xA4,0xA4,0xA6,0xA6,0xA8,0xA8,0xAA,0x8D,0xAC,0xB8,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBD,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC6,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD1,0xD1,0xD2,0xD3,0xD2,0xD5,0xD6,0xD7,0xB7,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE3,0xD5,0xE6,0xE6,0xE8,0xE9,0xE8,0xEB,0xED,0xED,0xDD,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xEB,0xFC,0xFC,0xFE,0xFF} + +#elif _CODE_PAGE == 855 /* Cyrillic (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x81,0x81,0x83,0x83,0x85,0x85,0x87,0x87,0x89,0x89,0x8B,0x8B,0x8D,0x8D,0x8F,0x8F,0x91,0x91,0x93,0x93,0x95,0x95,0x97,0x97,0x99,0x99,0x9B,0x9B,0x9D,0x9D,0x9F,0x9F, \ + 0xA1,0xA1,0xA3,0xA3,0xA5,0xA5,0xA7,0xA7,0xA9,0xA9,0xAB,0xAB,0xAD,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB6,0xB6,0xB8,0xB8,0xB9,0xBA,0xBB,0xBC,0xBE,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD1,0xD1,0xD3,0xD3,0xD5,0xD5,0xD7,0xD7,0xDD,0xD9,0xDA,0xDB,0xDC,0xDD,0xE0,0xDF, \ + 0xE0,0xE2,0xE2,0xE4,0xE4,0xE6,0xE6,0xE8,0xE8,0xEA,0xEA,0xEC,0xEC,0xEE,0xEE,0xEF,0xF0,0xF2,0xF2,0xF4,0xF4,0xF6,0xF6,0xF8,0xF8,0xFA,0xFA,0xFC,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 857 /* Turkish (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xB7,0x8F,0x80,0xD2,0xD3,0xD4,0xD8,0xD7,0x98,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0xE3,0xEA,0xEB,0x98,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9E, \ + 0xB5,0xD6,0xE0,0xE9,0xA5,0xA5,0xA6,0xA6,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xDE,0x59,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 858 /* Multilingual Latin 1 + Euro (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x9A,0x90,0xB6,0x8E,0xB7,0x8F,0x80,0xD2,0xD3,0xD4,0xD8,0xD7,0xDE,0x8E,0x8F,0x90,0x92,0x92,0xE2,0x99,0xE3,0xEA,0xEB,0x59,0x99,0x9A,0x9D,0x9C,0x9D,0x9E,0x9F, \ + 0xB5,0xD6,0xE0,0xE9,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC7,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD1,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE5,0xE5,0xE6,0xE7,0xE7,0xE9,0xEA,0xEB,0xED,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 862 /* Hebrew (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0x41,0x49,0x4F,0x55,0xA5,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0x21,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 866 /* Russian (OEM) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0x90,0x91,0x92,0x93,0x9d,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F,0xF0,0xF0,0xF2,0xF2,0xF4,0xF4,0xF6,0xF6,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 874 /* Thai (OEM, Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 1250 /* Central Europe (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x8A,0x9B,0x8C,0x8D,0x8E,0x8F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xA3,0xB4,0xB5,0xB6,0xB7,0xB8,0xA5,0xAA,0xBB,0xBC,0xBD,0xBC,0xAF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xFF} + +#elif _CODE_PAGE == 1251 /* Cyrillic (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x82,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x80,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x8A,0x9B,0x8C,0x8D,0x8E,0x8F, \ + 0xA0,0xA2,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB2,0xA5,0xB5,0xB6,0xB7,0xA8,0xB9,0xAA,0xBB,0xA3,0xBD,0xBD,0xAF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF} + +#elif _CODE_PAGE == 1252 /* Latin 1 (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0xAd,0x9B,0x8C,0x9D,0xAE,0x9F, \ + 0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0x9F} + +#elif _CODE_PAGE == 1253 /* Greek (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xA2,0xB8,0xB9,0xBA, \ + 0xE0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xF2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xFB,0xBC,0xFD,0xBF,0xFF} + +#elif _CODE_PAGE == 1254 /* Turkish (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x8A,0x9B,0x8C,0x9D,0x9E,0x9F, \ + 0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0x9F} + +#elif _CODE_PAGE == 1255 /* Hebrew (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xE0,0xE1,0xE2,0xE3,0xE4,0xE5,0xE6,0xE7,0xE8,0xE9,0xEA,0xEB,0xEC,0xED,0xEE,0xEF,0xF0,0xF1,0xF2,0xF3,0xF4,0xF5,0xF6,0xF7,0xF8,0xF9,0xFA,0xFB,0xFC,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 1256 /* Arabic (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x8C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0x41,0xE1,0x41,0xE3,0xE4,0xE5,0xE6,0x43,0x45,0x45,0x45,0x45,0xEC,0xED,0x49,0x49,0xF0,0xF1,0xF2,0xF3,0x4F,0xF5,0xF6,0xF7,0xF8,0x55,0xFA,0x55,0x55,0xFD,0xFE,0xFF} + +#elif _CODE_PAGE == 1257 /* Baltic (Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0x9C,0x9D,0x9E,0x9F, \ + 0xA0,0xA1,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xA8,0xB9,0xAA,0xBB,0xBC,0xBD,0xBE,0xAF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xFF} + +#elif _CODE_PAGE == 1258 /* Vietnam (OEM, Windows) */ +#define _DF1S 0 +#define _EXCVT {0x80,0x81,0x82,0x83,0x84,0x85,0x86,0x87,0x88,0x89,0x8A,0x8B,0x8C,0x8D,0x8E,0x8F,0x90,0x91,0x92,0x93,0x94,0x95,0x96,0x97,0x98,0x99,0x9A,0x9B,0xAC,0x9D,0x9E,0x9F, \ + 0xA0,0x21,0xA2,0xA3,0xA4,0xA5,0xA6,0xA7,0xA8,0xA9,0xAA,0xAB,0xAC,0xAD,0xAE,0xAF,0xB0,0xB1,0xB2,0xB3,0xB4,0xB5,0xB6,0xB7,0xB8,0xB9,0xBA,0xBB,0xBC,0xBD,0xBE,0xBF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xCC,0xCD,0xCE,0xCF,0xD0,0xD1,0xD2,0xD3,0xD4,0xD5,0xD6,0xD7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xDE,0xDF, \ + 0xC0,0xC1,0xC2,0xC3,0xC4,0xC5,0xC6,0xC7,0xC8,0xC9,0xCA,0xCB,0xEC,0xCD,0xCE,0xCF,0xD0,0xD1,0xF2,0xD3,0xD4,0xD5,0xD6,0xF7,0xD8,0xD9,0xDA,0xDB,0xDC,0xDD,0xFE,0x9F} + +#elif _CODE_PAGE == 1 /* ASCII (for only non-LFN cfg) */ +#if _USE_LFN +#error Cannot use LFN feature without valid code page. +#endif +#define _DF1S 0 + +#else +#error Unknown code page + +#endif + + +/* Character code support macros */ +#define IsUpper(c) (((c)>='A')&&((c)<='Z')) +#define IsLower(c) (((c)>='a')&&((c)<='z')) +#define IsDigit(c) (((c)>='0')&&((c)<='9')) + +#if _DF1S /* Code page is DBCS */ + +#ifdef _DF2S /* Two 1st byte areas */ +#define IsDBCS1(c) (((BYTE)(c) >= _DF1S && (BYTE)(c) <= _DF1E) || ((BYTE)(c) >= _DF2S && (BYTE)(c) <= _DF2E)) +#else /* One 1st byte area */ +#define IsDBCS1(c) ((BYTE)(c) >= _DF1S && (BYTE)(c) <= _DF1E) +#endif + +#ifdef _DS3S /* Three 2nd byte areas */ +#define IsDBCS2(c) (((BYTE)(c) >= _DS1S && (BYTE)(c) <= _DS1E) || ((BYTE)(c) >= _DS2S && (BYTE)(c) <= _DS2E) || ((BYTE)(c) >= _DS3S && (BYTE)(c) <= _DS3E)) +#else /* Two 2nd byte areas */ +#define IsDBCS2(c) (((BYTE)(c) >= _DS1S && (BYTE)(c) <= _DS1E) || ((BYTE)(c) >= _DS2S && (BYTE)(c) <= _DS2E)) +#endif + +#else /* Code page is SBCS */ + +#define IsDBCS1(c) 0 +#define IsDBCS2(c) 0 + +#endif /* _DF1S */ + + +/* Name status flags */ +#define NSFLAG 11 /* Index of name status byte in fn[] */ +#define NS_LOSS 0x01 /* Out of 8.3 format */ +#define NS_LFN 0x02 /* Force to create LFN entry */ +#define NS_LAST 0x04 /* Last segment */ +#define NS_BODY 0x08 /* Lower case flag (body) */ +#define NS_EXT 0x10 /* Lower case flag (ext) */ +#define NS_DOT 0x20 /* Dot entry */ + + +/* FAT sub-type boundaries (Differ from specs but correct for real DOS/Windows) */ +#define MIN_FAT16 4086U /* Minimum number of clusters as FAT16 */ +#define MIN_FAT32 65526U /* Minimum number of clusters as FAT32 */ + + +/* FatFs refers the members in the FAT structures as byte array instead of +/ structure member because the structure is not binary compatible between +/ different platforms */ + +#define BS_jmpBoot 0 /* x86 jump instruction (3) */ +#define BS_OEMName 3 /* OEM name (8) */ +#define BPB_BytsPerSec 11 /* Sector size [byte] (2) */ +#define BPB_SecPerClus 13 /* Cluster size [sector] (1) */ +#define BPB_RsvdSecCnt 14 /* Size of reserved area [sector] (2) */ +#define BPB_NumFATs 16 /* Number of FAT copies (1) */ +#define BPB_RootEntCnt 17 /* Number of root directory entries for FAT12/16 (2) */ +#define BPB_TotSec16 19 /* Volume size [sector] (2) */ +#define BPB_Media 21 /* Media descriptor (1) */ +#define BPB_FATSz16 22 /* FAT size [sector] (2) */ +#define BPB_SecPerTrk 24 /* Track size [sector] (2) */ +#define BPB_NumHeads 26 /* Number of heads (2) */ +#define BPB_HiddSec 28 /* Number of special hidden sectors (4) */ +#define BPB_TotSec32 32 /* Volume size [sector] (4) */ +#define BS_DrvNum 36 /* Physical drive number (2) */ +#define BS_BootSig 38 /* Extended boot signature (1) */ +#define BS_VolID 39 /* Volume serial number (4) */ +#define BS_VolLab 43 /* Volume label (8) */ +#define BS_FilSysType 54 /* File system type (1) */ +#define BPB_FATSz32 36 /* FAT size [sector] (4) */ +#define BPB_ExtFlags 40 /* Extended flags (2) */ +#define BPB_FSVer 42 /* File system version (2) */ +#define BPB_RootClus 44 /* Root directory first cluster (4) */ +#define BPB_FSInfo 48 /* Offset of FSINFO sector (2) */ +#define BPB_BkBootSec 50 /* Offset of backup boot sector (2) */ +#define BS_DrvNum32 64 /* Physical drive number (2) */ +#define BS_BootSig32 66 /* Extended boot signature (1) */ +#define BS_VolID32 67 /* Volume serial number (4) */ +#define BS_VolLab32 71 /* Volume label (8) */ +#define BS_FilSysType32 82 /* File system type (1) */ +#define FSI_LeadSig 0 /* FSI: Leading signature (4) */ +#define FSI_StrucSig 484 /* FSI: Structure signature (4) */ +#define FSI_Free_Count 488 /* FSI: Number of free clusters (4) */ +#define FSI_Nxt_Free 492 /* FSI: Last allocated cluster (4) */ +#define MBR_Table 446 /* MBR: Partition table offset (2) */ +#define SZ_PTE 16 /* MBR: Size of a partition table entry */ +#define BS_55AA 510 /* Signature word (2) */ + +#define DIR_Name 0 /* Short file name (11) */ +#define DIR_Attr 11 /* Attribute (1) */ +#define DIR_NTres 12 /* Lower case flag (1) */ +#define DIR_CrtTimeTenth 13 /* Created time sub-second (1) */ +#define DIR_CrtTime 14 /* Created time (2) */ +#define DIR_CrtDate 16 /* Created date (2) */ +#define DIR_LstAccDate 18 /* Last accessed date (2) */ +#define DIR_FstClusHI 20 /* Higher 16-bit of first cluster (2) */ +#define DIR_WrtTime 22 /* Modified time (2) */ +#define DIR_WrtDate 24 /* Modified date (2) */ +#define DIR_FstClusLO 26 /* Lower 16-bit of first cluster (2) */ +#define DIR_FileSize 28 /* File size (4) */ +#define LDIR_Ord 0 /* LFN entry order and LLE flag (1) */ +#define LDIR_Attr 11 /* LFN attribute (1) */ +#define LDIR_Type 12 /* LFN type (1) */ +#define LDIR_Chksum 13 /* Sum of corresponding SFN entry */ +#define LDIR_FstClusLO 26 /* Must be zero (0) */ +#define SZ_DIRE 32 /* Size of a directory entry */ +#define LLEF 0x40 /* Last long entry flag in LDIR_Ord */ +#define DDEM 0xE5 /* Deleted directory entry mark at DIR_Name[0] */ +#define RDDEM 0x05 /* Replacement of the character collides with DDEM */ + + + + +/*------------------------------------------------------------*/ +/* Module private work area */ +/*------------------------------------------------------------*/ +/* Remark: Uninitialized variables with static duration are +/ guaranteed zero/null at start-up. If not, either the linker +/ or start-up routine being used is out of ANSI-C standard. +*/ + +#if _VOLUMES < 1 || _VOLUMES > 9 +#error Wrong _VOLUMES setting +#endif +static FATFS *FatFs[_VOLUMES]; /* Pointer to the file system objects (logical drives) */ +static WORD Fsid; /* File system mount ID */ + +#if _FS_RPATH && _VOLUMES >= 2 +static BYTE CurrVol; /* Current drive */ +#endif + +#if _FS_LOCK +static FILESEM Files[_FS_LOCK]; /* Open object lock semaphores */ +#endif + +#if _USE_LFN == 0 /* Non LFN feature */ +#define DEFINE_NAMEBUF BYTE sfn[12] +#define INIT_BUF(dobj) (dobj).fn = sfn +#define FREE_BUF() +#else +#if _MAX_LFN < 12 || _MAX_LFN > 255 +#error Wrong _MAX_LFN setting +#endif +#if _USE_LFN == 1 /* LFN feature with static working buffer */ +static WCHAR LfnBuf[_MAX_LFN + 1]; +#define DEFINE_NAMEBUF BYTE sfn[12] +#define INIT_BUF(dobj) { (dobj).fn = sfn; (dobj).lfn = LfnBuf; } +#define FREE_BUF() +#elif _USE_LFN == 2 /* LFN feature with dynamic working buffer on the stack */ +#define DEFINE_NAMEBUF BYTE sfn[12]; WCHAR lbuf[_MAX_LFN + 1] +#define INIT_BUF(dobj) { (dobj).fn = sfn; (dobj).lfn = lbuf; } +#define FREE_BUF() +#elif _USE_LFN == 3 /* LFN feature with dynamic working buffer on the heap */ +#define DEFINE_NAMEBUF BYTE sfn[12]; WCHAR *lfn +#define INIT_BUF(dobj) { lfn = ff_memalloc((_MAX_LFN + 1) * 2); if (!lfn) LEAVE_FF((dobj).fs, FR_NOT_ENOUGH_CORE); (dobj).lfn = lfn; (dobj).fn = sfn; } +#define FREE_BUF() ff_memfree(lfn) +#else +#error Wrong _USE_LFN setting +#endif +#endif + +#ifdef _EXCVT +static const BYTE ExCvt[] = _EXCVT; /* Upper conversion table for extended characters */ +#endif + + + + + + +/*-------------------------------------------------------------------------- + + Module Private Functions + +---------------------------------------------------------------------------*/ +DWORD clust2sect (FATFS* fs, DWORD clst); +DWORD get_fat (FATFS* fs, DWORD clst); + +#if !_FS_READONLY +FRESULT put_fat (FATFS* fs, DWORD clst, DWORD val); +#endif /* !_FS_READONLY */ + +#if _USE_LFN +static void gen_numname (BYTE* dst, const BYTE* src, const WCHAR* lfn, UINT seq); +#endif /* !_USE_LFN */ + + + +/*-----------------------------------------------------------------------*/ +/* String functions */ +/*-----------------------------------------------------------------------*/ + +/* Copy memory to memory */ +static +void mem_cpy (void* dst, const void* src, UINT cnt) { + BYTE *d = (BYTE*)dst; + const BYTE *s = (const BYTE*)src; + +#if _WORD_ACCESS == 1 + while (cnt >= sizeof (int)) { + *(int*)d = *(int*)s; + d += sizeof (int); s += sizeof (int); + cnt -= sizeof (int); + } +#endif + while (cnt--) + *d++ = *s++; +} + +/* Fill memory */ +static +void mem_set (void* dst, int val, UINT cnt) { + BYTE *d = (BYTE*)dst; + + while (cnt--) + *d++ = (BYTE)val; +} + +/* Compare memory to memory */ +static +int mem_cmp (const void* dst, const void* src, UINT cnt) { + const BYTE *d = (const BYTE *)dst, *s = (const BYTE *)src; + int r = 0; + + while (cnt-- && (r = *d++ - *s++) == 0) ; + return r; +} + +/* Check if chr is contained in the string */ +static +int chk_chr (const char* str, int chr) { + while (*str && *str != chr) str++; + return *str; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Request/Release grant to access the volume */ +/*-----------------------------------------------------------------------*/ +#if _FS_REENTRANT +static +int lock_fs ( + FATFS* fs /* File system object */ +) +{ + return ff_req_grant(fs->sobj); +} + + +static +void unlock_fs ( + FATFS* fs, /* File system object */ + FRESULT res /* Result code to be returned */ +) +{ + if (fs && + res != FR_NOT_ENABLED && + res != FR_INVALID_DRIVE && + res != FR_INVALID_OBJECT && + res != FR_TIMEOUT) { + ff_rel_grant(fs->sobj); + } +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* File lock control functions */ +/*-----------------------------------------------------------------------*/ +#if _FS_LOCK + +static +FRESULT chk_lock ( /* Check if the file can be accessed */ + DIR* dp, /* Directory object pointing the file to be checked */ + int acc /* Desired access type (0:Read, 1:Write, 2:Delete/Rename) */ +) +{ + UINT i, be; + + /* Search file semaphore table */ + for (i = be = 0; i < _FS_LOCK; i++) { + if (Files[i].fs) { /* Existing entry */ + if (Files[i].fs == dp->fs && /* Check if the object matched with an open object */ + Files[i].clu == dp->sclust && + Files[i].idx == dp->index) break; + } else { /* Blank entry */ + be = 1; + } + } + if (i == _FS_LOCK) /* The object is not opened */ + return (be || acc == 2) ? FR_OK : FR_TOO_MANY_OPEN_FILES; /* Is there a blank entry for new object? */ + + /* The object has been opened. Reject any open against writing file and all write mode open */ + return (acc || Files[i].ctr == 0x100) ? FR_LOCKED : FR_OK; +} + + +static +int enq_lock (void) /* Check if an entry is available for a new object */ +{ + UINT i; + + for (i = 0; i < _FS_LOCK && Files[i].fs; i++) ; + return (i == _FS_LOCK) ? 0 : 1; +} + + +static +UINT inc_lock ( /* Increment object open counter and returns its index (0:Internal error) */ + DIR* dp, /* Directory object pointing the file to register or increment */ + int acc /* Desired access (0:Read, 1:Write, 2:Delete/Rename) */ +) +{ + UINT i; + + + for (i = 0; i < _FS_LOCK; i++) { /* Find the object */ + if (Files[i].fs == dp->fs && + Files[i].clu == dp->sclust && + Files[i].idx == dp->index) break; + } + + if (i == _FS_LOCK) { /* Not opened. Register it as new. */ + for (i = 0; i < _FS_LOCK && Files[i].fs; i++) ; + if (i == _FS_LOCK) return 0; /* No free entry to register (int err) */ + Files[i].fs = dp->fs; + Files[i].clu = dp->sclust; + Files[i].idx = dp->index; + Files[i].ctr = 0; + } + + if (acc && Files[i].ctr) return 0; /* Access violation (int err) */ + + Files[i].ctr = acc ? 0x100 : Files[i].ctr + 1; /* Set semaphore value */ + + return i + 1; +} + + +static +FRESULT dec_lock ( /* Decrement object open counter */ + UINT i /* Semaphore index (1..) */ +) +{ + WORD n; + FRESULT res; + + + if (--i < _FS_LOCK) { /* Shift index number origin from 0 */ + n = Files[i].ctr; + if (n == 0x100) n = 0; /* If write mode open, delete the entry */ + if (n) n--; /* Decrement read mode open count */ + Files[i].ctr = n; + if (!n) Files[i].fs = 0; /* Delete the entry if open count gets zero */ + res = FR_OK; + } else { + res = FR_INT_ERR; /* Invalid index nunber */ + } + return res; +} + + +static +void clear_lock ( /* Clear lock entries of the volume */ + FATFS *fs +) +{ + UINT i; + + for (i = 0; i < _FS_LOCK; i++) { + if (Files[i].fs == fs) Files[i].fs = 0; + } +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Move/Flush disk access window in the file system object */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY +static +FRESULT sync_window ( + FATFS* fs /* File system object */ +) +{ + DWORD wsect; + UINT nf; + FRESULT res = FR_OK; + + + if (fs->wflag) { /* Write back the sector if it is dirty */ + wsect = fs->winsect; /* Current sector number */ + if (disk_write(fs->drv, fs->win.d8, wsect, 1) != RES_OK) { + res = FR_DISK_ERR; + } else { + fs->wflag = 0; + if (wsect - fs->fatbase < fs->fsize) { /* Is it in the FAT area? */ + for (nf = fs->n_fats; nf >= 2; nf--) { /* Reflect the change to all FAT copies */ + wsect += fs->fsize; + disk_write(fs->drv, fs->win.d8, wsect, 1); + } + } + } + } + return res; +} +#endif + + +static +FRESULT move_window ( + FATFS* fs, /* File system object */ + DWORD sector /* Sector number to make appearance in the fs->win[].d8 */ +) +{ + FRESULT res = FR_OK; + + + if (sector != fs->winsect) { /* Window offset changed? */ +#if !_FS_READONLY + res = sync_window(fs); /* Write-back changes */ +#endif + if (res == FR_OK) { /* Fill sector window with new data */ + if (disk_read(fs->drv, fs->win.d8, sector, 1) != RES_OK) { + sector = 0xFFFFFFFF; /* Invalidate window if data is not reliable */ + res = FR_DISK_ERR; + } + fs->winsect = sector; + } + } + return res; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Synchronize file system and strage device */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY +static +FRESULT sync_fs ( /* FR_OK: successful, FR_DISK_ERR: failed */ + FATFS* fs /* File system object */ +) +{ + FRESULT res; + + + res = sync_window(fs); + if (res == FR_OK) { + /* Update FSINFO sector if needed */ + if (fs->fs_type == FS_FAT32 && fs->fsi_flag == 1) { + /* Create FSINFO structure */ + mem_set(fs->win.d8, 0, SS(fs)); + ST_WORD(fs->win.d8 + BS_55AA, 0xAA55); + ST_DWORD(fs->win.d8 + FSI_LeadSig, 0x41615252); + ST_DWORD(fs->win.d8 + FSI_StrucSig, 0x61417272); + ST_DWORD(fs->win.d8 + FSI_Free_Count, fs->free_clust); + ST_DWORD(fs->win.d8 + FSI_Nxt_Free, fs->last_clust); + /* Write it into the FSINFO sector */ + fs->winsect = fs->volbase + 1; + disk_write(fs->drv, fs->win.d8, fs->winsect, 1); + fs->fsi_flag = 0; + } + /* Make sure that no pending write process in the physical drive */ + if (disk_ioctl(fs->drv, CTRL_SYNC, 0) != RES_OK) + res = FR_DISK_ERR; + } + + return res; +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Get sector# from cluster# */ +/*-----------------------------------------------------------------------*/ +/* Hidden API for hacks and disk tools */ + +DWORD clust2sect ( /* !=0: Sector number, 0: Failed - invalid cluster# */ + FATFS* fs, /* File system object */ + DWORD clst /* Cluster# to be converted */ +) +{ + clst -= 2; + if (clst >= fs->n_fatent - 2) return 0; /* Invalid cluster# */ + return clst * fs->csize + fs->database; +} + + + + +/*-----------------------------------------------------------------------*/ +/* FAT access - Read value of a FAT entry */ +/*-----------------------------------------------------------------------*/ +/* Hidden API for hacks and disk tools */ + +DWORD get_fat ( /* 0xFFFFFFFF:Disk error, 1:Internal error, 2..0x0FFFFFFF:Cluster status */ + FATFS* fs, /* File system object */ + DWORD clst /* FAT index number (cluster number) to get the value */ +) +{ + UINT wc, bc; + BYTE *p; + DWORD val; + + + if (clst < 2 || clst >= fs->n_fatent) { /* Check range */ + val = 1; /* Internal error */ + + } else { + val = 0xFFFFFFFF; /* Default value falls on disk error */ + + switch (fs->fs_type) { + case FS_FAT12 : + bc = (UINT)clst; bc += bc / 2; + if (move_window(fs, fs->fatbase + (bc / SS(fs))) != FR_OK) break; + wc = fs->win.d8[bc++ % SS(fs)]; + if (move_window(fs, fs->fatbase + (bc / SS(fs))) != FR_OK) break; + wc |= fs->win.d8[bc % SS(fs)] << 8; + val = clst & 1 ? wc >> 4 : (wc & 0xFFF); + break; + + case FS_FAT16 : + if (move_window(fs, fs->fatbase + (clst / (SS(fs) / 2))) != FR_OK) break; + p = &fs->win.d8[clst * 2 % SS(fs)]; + val = LD_WORD(p); + break; + + case FS_FAT32 : + if (move_window(fs, fs->fatbase + (clst / (SS(fs) / 4))) != FR_OK) break; + p = &fs->win.d8[clst * 4 % SS(fs)]; + val = LD_DWORD(p) & 0x0FFFFFFF; + break; + + default: + val = 1; /* Internal error */ + } + } + + return val; +} + + + + +/*-----------------------------------------------------------------------*/ +/* FAT access - Change value of a FAT entry */ +/*-----------------------------------------------------------------------*/ +/* Hidden API for hacks and disk tools */ + +#if !_FS_READONLY +FRESULT put_fat ( + FATFS* fs, /* File system object */ + DWORD clst, /* FAT index number (cluster number) to be changed */ + DWORD val /* New value to be set to the entry */ +) +{ + UINT bc; + BYTE *p; + FRESULT res; + + + if (clst < 2 || clst >= fs->n_fatent) { /* Check range */ + res = FR_INT_ERR; + + } else { + switch (fs->fs_type) { + case FS_FAT12 : + bc = (UINT)clst; bc += bc / 2; + res = move_window(fs, fs->fatbase + (bc / SS(fs))); + if (res != FR_OK) break; + p = &fs->win.d8[bc++ % SS(fs)]; + *p = (clst & 1) ? ((*p & 0x0F) | ((BYTE)val << 4)) : (BYTE)val; + fs->wflag = 1; + res = move_window(fs, fs->fatbase + (bc / SS(fs))); + if (res != FR_OK) break; + p = &fs->win.d8[bc % SS(fs)]; + *p = (clst & 1) ? (BYTE)(val >> 4) : ((*p & 0xF0) | ((BYTE)(val >> 8) & 0x0F)); + fs->wflag = 1; + break; + + case FS_FAT16 : + res = move_window(fs, fs->fatbase + (clst / (SS(fs) / 2))); + if (res != FR_OK) break; + p = &fs->win.d8[clst * 2 % SS(fs)]; + ST_WORD(p, (WORD)val); + fs->wflag = 1; + break; + + case FS_FAT32 : + res = move_window(fs, fs->fatbase + (clst / (SS(fs) / 4))); + if (res != FR_OK) break; + p = &fs->win.d8[clst * 4 % SS(fs)]; + val |= LD_DWORD(p) & 0xF0000000; + ST_DWORD(p, val); + fs->wflag = 1; + break; + + default : + res = FR_INT_ERR; + } + } + + return res; +} +#endif /* !_FS_READONLY */ + + + + +/*-----------------------------------------------------------------------*/ +/* FAT handling - Remove a cluster chain */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY +static +FRESULT remove_chain ( + FATFS* fs, /* File system object */ + DWORD clst /* Cluster# to remove a chain from */ +) +{ + FRESULT res; + DWORD nxt; +#if _USE_TRIM + DWORD scl = clst, ecl = clst, rt[2]; +#endif + + if (clst < 2 || clst >= fs->n_fatent) { /* Check range */ + res = FR_INT_ERR; + + } else { + res = FR_OK; + while (clst < fs->n_fatent) { /* Not a last link? */ + nxt = get_fat(fs, clst); /* Get cluster status */ + if (nxt == 0) break; /* Empty cluster? */ + if (nxt == 1) { res = FR_INT_ERR; break; } /* Internal error? */ + if (nxt == 0xFFFFFFFF) { res = FR_DISK_ERR; break; } /* Disk error? */ + res = put_fat(fs, clst, 0); /* Mark the cluster "empty" */ + if (res != FR_OK) break; + if (fs->free_clust != 0xFFFFFFFF) { /* Update FSINFO */ + fs->free_clust++; + fs->fsi_flag |= 1; + } +#if _USE_TRIM + if (ecl + 1 == nxt) { /* Is next cluster contiguous? */ + ecl = nxt; + } else { /* End of contiguous clusters */ + rt[0] = clust2sect(fs, scl); /* Start sector */ + rt[1] = clust2sect(fs, ecl) + fs->csize - 1; /* End sector */ + disk_ioctl(fs->drv, CTRL_TRIM, rt); /* Erase the block */ + scl = ecl = nxt; + } +#endif + clst = nxt; /* Next cluster */ + } + } + + return res; +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* FAT handling - Stretch or Create a cluster chain */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY +static +DWORD create_chain ( /* 0:No free cluster, 1:Internal error, 0xFFFFFFFF:Disk error, >=2:New cluster# */ + FATFS* fs, /* File system object */ + DWORD clst /* Cluster# to stretch. 0 means create a new chain. */ +) +{ + DWORD cs, ncl, scl; + FRESULT res; + + + if (clst == 0) { /* Create a new chain */ + scl = fs->last_clust; /* Get suggested start point */ + if (!scl || scl >= fs->n_fatent) scl = 1; + } + else { /* Stretch the current chain */ + cs = get_fat(fs, clst); /* Check the cluster status */ + if (cs < 2) return 1; /* Invalid value */ + if (cs == 0xFFFFFFFF) return cs; /* A disk error occurred */ + if (cs < fs->n_fatent) return cs; /* It is already followed by next cluster */ + scl = clst; + } + + ncl = scl; /* Start cluster */ + for (;;) { + ncl++; /* Next cluster */ + if (ncl >= fs->n_fatent) { /* Check wrap around */ + ncl = 2; + if (ncl > scl) return 0; /* No free cluster */ + } + cs = get_fat(fs, ncl); /* Get the cluster status */ + if (cs == 0) break; /* Found a free cluster */ + if (cs == 0xFFFFFFFF || cs == 1)/* An error occurred */ + return cs; + if (ncl == scl) return 0; /* No free cluster */ + } + + res = put_fat(fs, ncl, 0x0FFFFFFF); /* Mark the new cluster "last link" */ + if (res == FR_OK && clst != 0) { + res = put_fat(fs, clst, ncl); /* Link it to the previous one if needed */ + } + if (res == FR_OK) { + fs->last_clust = ncl; /* Update FSINFO */ + if (fs->free_clust != 0xFFFFFFFF) { + fs->free_clust--; + fs->fsi_flag |= 1; + } + } else { + ncl = (res == FR_DISK_ERR) ? 0xFFFFFFFF : 1; + } + + return ncl; /* Return new cluster number or error code */ +} +#endif /* !_FS_READONLY */ + + + + +/*-----------------------------------------------------------------------*/ +/* FAT handling - Convert offset into cluster with link map table */ +/*-----------------------------------------------------------------------*/ + +#if _USE_FASTSEEK +static +DWORD clmt_clust ( /* <2:Error, >=2:Cluster number */ + FIL* fp, /* Pointer to the file object */ + DWORD ofs /* File offset to be converted to cluster# */ +) +{ + DWORD cl, ncl, *tbl; + + + tbl = fp->cltbl + 1; /* Top of CLMT */ + cl = ofs / SS(fp->fs) / fp->fs->csize; /* Cluster order from top of the file */ + for (;;) { + ncl = *tbl++; /* Number of cluters in the fragment */ + if (!ncl) return 0; /* End of table? (error) */ + if (cl < ncl) break; /* In this fragment? */ + cl -= ncl; tbl++; /* Next fragment */ + } + return cl + *tbl; /* Return the cluster number */ +} +#endif /* _USE_FASTSEEK */ + + + + +/*-----------------------------------------------------------------------*/ +/* Directory handling - Set directory index */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT dir_sdi ( + DIR* dp, /* Pointer to directory object */ + UINT idx /* Index of directory table */ +) +{ + DWORD clst, sect; + UINT ic; + + + dp->index = (WORD)idx; /* Current index */ + clst = dp->sclust; /* Table start cluster (0:root) */ + if (clst == 1 || clst >= dp->fs->n_fatent) /* Check start cluster range */ + return FR_INT_ERR; + if (!clst && dp->fs->fs_type == FS_FAT32) /* Replace cluster# 0 with root cluster# if in FAT32 */ + clst = dp->fs->dirbase; + + if (clst == 0) { /* Static table (root-directory in FAT12/16) */ + if (idx >= dp->fs->n_rootdir) /* Is index out of range? */ + return FR_INT_ERR; + sect = dp->fs->dirbase; + } + else { /* Dynamic table (root-directory in FAT32 or sub-directory) */ + ic = SS(dp->fs) / SZ_DIRE * dp->fs->csize; /* Entries per cluster */ + while (idx >= ic) { /* Follow cluster chain */ + clst = get_fat(dp->fs, clst); /* Get next cluster */ + if (clst == 0xFFFFFFFF) return FR_DISK_ERR; /* Disk error */ + if (clst < 2 || clst >= dp->fs->n_fatent) /* Reached to end of table or internal error */ + return FR_INT_ERR; + idx -= ic; + } + sect = clust2sect(dp->fs, clst); + } + dp->clust = clst; /* Current cluster# */ + if (!sect) return FR_INT_ERR; + dp->sect = sect + idx / (SS(dp->fs) / SZ_DIRE); /* Sector# of the directory entry */ + dp->dir = dp->fs->win.d8 + (idx % (SS(dp->fs) / SZ_DIRE)) * SZ_DIRE; /* Ptr to the entry in the sector */ + + return FR_OK; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Directory handling - Move directory table index next */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT dir_next ( /* FR_OK:Succeeded, FR_NO_FILE:End of table, FR_DENIED:Could not stretch */ + DIR* dp, /* Pointer to the directory object */ + int stretch /* 0: Do not stretch table, 1: Stretch table if needed */ +) +{ + DWORD clst; + UINT i; +#if !_FS_READONLY + UINT c; +#endif + + + i = dp->index + 1; + if (!(i & 0xFFFF) || !dp->sect) /* Report EOT when index has reached 65535 */ + return FR_NO_FILE; + + if (!(i % (SS(dp->fs) / SZ_DIRE))) { /* Sector changed? */ + dp->sect++; /* Next sector */ + + if (!dp->clust) { /* Static table */ + if (i >= dp->fs->n_rootdir) /* Report EOT if it reached end of static table */ + return FR_NO_FILE; + } + else { /* Dynamic table */ + if (((i / (SS(dp->fs) / SZ_DIRE)) & (dp->fs->csize - 1)) == 0) { /* Cluster changed? */ + clst = get_fat(dp->fs, dp->clust); /* Get next cluster */ + if (clst <= 1) return FR_INT_ERR; + if (clst == 0xFFFFFFFF) return FR_DISK_ERR; + if (clst >= dp->fs->n_fatent) { /* If it reached end of dynamic table, */ +#if !_FS_READONLY + if (!stretch) return FR_NO_FILE; /* If do not stretch, report EOT */ + clst = create_chain(dp->fs, dp->clust); /* Stretch cluster chain */ + if (clst == 0) return FR_DENIED; /* No free cluster */ + if (clst == 1) return FR_INT_ERR; + if (clst == 0xFFFFFFFF) return FR_DISK_ERR; + /* Clean-up stretched table */ + if (sync_window(dp->fs)) return FR_DISK_ERR;/* Flush disk access window */ + mem_set(dp->fs->win.d8, 0, SS(dp->fs)); /* Clear window buffer */ + dp->fs->winsect = clust2sect(dp->fs, clst); /* Cluster start sector */ + for (c = 0; c < dp->fs->csize; c++) { /* Fill the new cluster with 0 */ + dp->fs->wflag = 1; + if (sync_window(dp->fs)) return FR_DISK_ERR; + dp->fs->winsect++; + } + dp->fs->winsect -= c; /* Rewind window offset */ +#else + if (!stretch) return FR_NO_FILE; /* If do not stretch, report EOT (this is to suppress warning) */ + return FR_NO_FILE; /* Report EOT */ +#endif + } + dp->clust = clst; /* Initialize data for new cluster */ + dp->sect = clust2sect(dp->fs, clst); + } + } + } + + dp->index = (WORD)i; /* Current index */ + dp->dir = dp->fs->win.d8 + (i % (SS(dp->fs) / SZ_DIRE)) * SZ_DIRE; /* Current entry in the window */ + + return FR_OK; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Directory handling - Reserve directory entry */ +/*-----------------------------------------------------------------------*/ + +#if !_FS_READONLY +static +FRESULT dir_alloc ( + DIR* dp, /* Pointer to the directory object */ + UINT nent /* Number of contiguous entries to allocate (1-21) */ +) +{ + FRESULT res; + UINT n; + + + res = dir_sdi(dp, 0); + if (res == FR_OK) { + n = 0; + do { + res = move_window(dp->fs, dp->sect); + if (res != FR_OK) break; + if (dp->dir[0] == DDEM || dp->dir[0] == 0) { /* Is it a free entry? */ + if (++n == nent) break; /* A block of contiguous free entries is found */ + } else { + n = 0; /* Not a blank entry. Restart to search */ + } + res = dir_next(dp, 1); /* Next entry with table stretch enabled */ + } while (res == FR_OK); + } + if (res == FR_NO_FILE) res = FR_DENIED; /* No directory entry to allocate */ + return res; +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Directory handling - Load/Store start cluster number */ +/*-----------------------------------------------------------------------*/ + +static +DWORD ld_clust ( + FATFS* fs, /* Pointer to the fs object */ + BYTE* dir /* Pointer to the directory entry */ +) +{ + DWORD cl; + + cl = LD_WORD(dir + DIR_FstClusLO); + if (fs->fs_type == FS_FAT32) + cl |= (DWORD)LD_WORD(dir + DIR_FstClusHI) << 16; + + return cl; +} + + +#if !_FS_READONLY +static +void st_clust ( + BYTE* dir, /* Pointer to the directory entry */ + DWORD cl /* Value to be set */ +) +{ + ST_WORD(dir + DIR_FstClusLO, cl); + ST_WORD(dir + DIR_FstClusHI, cl >> 16); +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* LFN handling - Test/Pick/Fit an LFN segment from/to directory entry */ +/*-----------------------------------------------------------------------*/ +#if _USE_LFN +static +const BYTE LfnOfs[] = {1,3,5,7,9,14,16,18,20,22,24,28,30}; /* Offset of LFN characters in the directory entry */ + + +static +int cmp_lfn ( /* 1:Matched, 0:Not matched */ + WCHAR* lfnbuf, /* Pointer to the LFN to be compared */ + BYTE* dir /* Pointer to the directory entry containing a part of LFN */ +) +{ + UINT i, s; + WCHAR wc, uc; + + + i = ((dir[LDIR_Ord] & ~LLEF) - 1) * 13; /* Get offset in the LFN buffer */ + s = 0; wc = 1; + do { + uc = LD_WORD(dir + LfnOfs[s]); /* Pick an LFN character from the entry */ + if (wc) { /* Last character has not been processed */ + wc = ff_wtoupper(uc); /* Convert it to upper case */ + if (i >= _MAX_LFN || wc != ff_wtoupper(lfnbuf[i++])) /* Compare it */ + return 0; /* Not matched */ + } else { + if (uc != 0xFFFF) return 0; /* Check filler */ + } + } while (++s < 13); /* Repeat until all characters in the entry are checked */ + + if ((dir[LDIR_Ord] & LLEF) && wc && lfnbuf[i]) /* Last segment matched but different length */ + return 0; + + return 1; /* The part of LFN matched */ +} + + + +static +int pick_lfn ( /* 1:Succeeded, 0:Buffer overflow */ + WCHAR* lfnbuf, /* Pointer to the Unicode-LFN buffer */ + BYTE* dir /* Pointer to the directory entry */ +) +{ + UINT i, s; + WCHAR wc, uc; + + + i = ((dir[LDIR_Ord] & 0x3F) - 1) * 13; /* Offset in the LFN buffer */ + + s = 0; wc = 1; + do { + uc = LD_WORD(dir + LfnOfs[s]); /* Pick an LFN character from the entry */ + if (wc) { /* Last character has not been processed */ + if (i >= _MAX_LFN) return 0; /* Buffer overflow? */ + lfnbuf[i++] = wc = uc; /* Store it */ + } else { + if (uc != 0xFFFF) return 0; /* Check filler */ + } + } while (++s < 13); /* Read all character in the entry */ + + if (dir[LDIR_Ord] & LLEF) { /* Put terminator if it is the last LFN part */ + if (i >= _MAX_LFN) return 0; /* Buffer overflow? */ + lfnbuf[i] = 0; + } + + return 1; +} + + +#if !_FS_READONLY +static +void fit_lfn ( + const WCHAR* lfnbuf, /* Pointer to the LFN buffer */ + BYTE* dir, /* Pointer to the directory entry */ + BYTE ord, /* LFN order (1-20) */ + BYTE sum /* SFN sum */ +) +{ + UINT i, s; + WCHAR wc; + + + dir[LDIR_Chksum] = sum; /* Set check sum */ + dir[LDIR_Attr] = AM_LFN; /* Set attribute. LFN entry */ + dir[LDIR_Type] = 0; + ST_WORD(dir + LDIR_FstClusLO, 0); + + i = (ord - 1) * 13; /* Get offset in the LFN buffer */ + s = wc = 0; + do { + if (wc != 0xFFFF) wc = lfnbuf[i++]; /* Get an effective character */ + ST_WORD(dir+LfnOfs[s], wc); /* Put it */ + if (!wc) wc = 0xFFFF; /* Padding characters following last character */ + } while (++s < 13); + if (wc == 0xFFFF || !lfnbuf[i]) ord |= LLEF; /* Bottom LFN part is the start of LFN sequence */ + dir[LDIR_Ord] = ord; /* Set the LFN order */ +} + +#endif +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Create numbered name */ +/*-----------------------------------------------------------------------*/ +#if _USE_LFN +static +void gen_numname ( + BYTE* dst, /* Pointer to the buffer to store numbered SFN */ + const BYTE* src, /* Pointer to SFN */ + const WCHAR* lfn, /* Pointer to LFN */ + UINT seq /* Sequence number */ +) +{ + BYTE ns[8], c; + UINT i, j; + WCHAR wc; + DWORD sr; + + + mem_cpy(dst, src, 11); + + if (seq > 5) { /* On many collisions, generate a hash number instead of sequential number */ + sr = seq; + while (*lfn) { /* Create a CRC */ + wc = *lfn++; + for (i = 0; i < 16; i++) { + sr = (sr << 1) + (wc & 1); + wc >>= 1; + if (sr & 0x10000) sr ^= 0x11021; + } + } + seq = (UINT)sr; + } + + /* itoa (hexdecimal) */ + i = 7; + do { + c = (seq % 16) + '0'; + if (c > '9') c += 7; + ns[i--] = c; + seq /= 16; + } while (seq); + ns[i] = '~'; + + /* Append the number */ + for (j = 0; j < i && dst[j] != ' '; j++) { + if (IsDBCS1(dst[j])) { + if (j == i - 1) break; + j++; + } + } + do { + dst[j++] = (i < 8) ? ns[i++] : ' '; + } while (j < 8); +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Calculate sum of an SFN */ +/*-----------------------------------------------------------------------*/ +#if _USE_LFN +static +BYTE sum_sfn ( + const BYTE* dir /* Pointer to the SFN entry */ +) +{ + BYTE sum = 0; + UINT n = 11; + + do sum = (sum >> 1) + (sum << 7) + *dir++; while (--n); + return sum; +} +#endif + + + + +/*-----------------------------------------------------------------------*/ +/* Directory handling - Find an object in the directory */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT dir_find ( + DIR* dp /* Pointer to the directory object linked to the file name */ +) +{ + FRESULT res; + BYTE c, *dir; +#if _USE_LFN + BYTE a, ord, sum; +#endif + + res = dir_sdi(dp, 0); /* Rewind directory object */ + if (res != FR_OK) return res; + +#if _USE_LFN + ord = sum = 0xFF; dp->lfn_idx = 0xFFFF; /* Reset LFN sequence */ +#endif + do { + res = move_window(dp->fs, dp->sect); + if (res != FR_OK) break; + dir = dp->dir; /* Ptr to the directory entry of current index */ + c = dir[DIR_Name]; + if (c == 0) { res = FR_NO_FILE; break; } /* Reached to end of table */ +#if _USE_LFN /* LFN configuration */ + a = dir[DIR_Attr] & AM_MASK; + if (c == DDEM || ((a & AM_VOL) && a != AM_LFN)) { /* An entry without valid data */ + ord = 0xFF; dp->lfn_idx = 0xFFFF; /* Reset LFN sequence */ + } else { + if (a == AM_LFN) { /* An LFN entry is found */ + if (dp->lfn) { + if (c & LLEF) { /* Is it start of LFN sequence? */ + sum = dir[LDIR_Chksum]; + c &= ~LLEF; ord = c; /* LFN start order */ + dp->lfn_idx = dp->index; /* Start index of LFN */ + } + /* Check validity of the LFN entry and compare it with given name */ + ord = (c == ord && sum == dir[LDIR_Chksum] && cmp_lfn(dp->lfn, dir)) ? ord - 1 : 0xFF; + } + } else { /* An SFN entry is found */ + if (!ord && sum == sum_sfn(dir)) break; /* LFN matched? */ + if (!(dp->fn[NSFLAG] & NS_LOSS) && !mem_cmp(dir, dp->fn, 11)) break; /* SFN matched? */ + ord = 0xFF; dp->lfn_idx = 0xFFFF; /* Reset LFN sequence */ + } + } +#else /* Non LFN configuration */ + if (!(dir[DIR_Attr] & AM_VOL) && !mem_cmp(dir, dp->fn, 11)) /* Is it a valid entry? */ + break; +#endif + res = dir_next(dp, 0); /* Next entry */ + } while (res == FR_OK); + + return res; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Read an object from the directory */ +/*-----------------------------------------------------------------------*/ +#if _FS_MINIMIZE <= 1 || _USE_LABEL || _FS_RPATH >= 2 +static +FRESULT dir_read ( + DIR* dp, /* Pointer to the directory object */ + int vol /* Filtered by 0:file/directory or 1:volume label */ +) +{ + FRESULT res; + BYTE a, c, *dir; +#if _USE_LFN + BYTE ord = 0xFF, sum = 0xFF; +#endif + + res = FR_NO_FILE; + while (dp->sect) { + res = move_window(dp->fs, dp->sect); + if (res != FR_OK) break; + dir = dp->dir; /* Ptr to the directory entry of current index */ + c = dir[DIR_Name]; + if (c == 0) { res = FR_NO_FILE; break; } /* Reached to end of table */ + a = dir[DIR_Attr] & AM_MASK; +#if _USE_LFN /* LFN configuration */ + if (c == DDEM || (!_FS_RPATH && c == '.') || (int)((a & ~AM_ARC) == AM_VOL) != vol) { /* An entry without valid data */ + ord = 0xFF; + } else { + if (a == AM_LFN) { /* An LFN entry is found */ + if (c & LLEF) { /* Is it start of LFN sequence? */ + sum = dir[LDIR_Chksum]; + c &= ~LLEF; ord = c; + dp->lfn_idx = dp->index; + } + /* Check LFN validity and capture it */ + ord = (c == ord && sum == dir[LDIR_Chksum] && pick_lfn(dp->lfn, dir)) ? ord - 1 : 0xFF; + } else { /* An SFN entry is found */ + if (ord || sum != sum_sfn(dir)) /* Is there a valid LFN? */ + dp->lfn_idx = 0xFFFF; /* It has no LFN. */ + break; + } + } +#else /* Non LFN configuration */ + if (c != DDEM && (_FS_RPATH || c != '.') && a != AM_LFN && (int)((a & ~AM_ARC) == AM_VOL) == vol) /* Is it a valid entry? */ + break; +#endif + res = dir_next(dp, 0); /* Next entry */ + if (res != FR_OK) break; + } + + if (res != FR_OK) dp->sect = 0; + + return res; +} +#endif /* _FS_MINIMIZE <= 1 || _USE_LABEL || _FS_RPATH >= 2 */ + + + + +/*-----------------------------------------------------------------------*/ +/* Register an object to the directory */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY +static +FRESULT dir_register ( /* FR_OK:Successful, FR_DENIED:No free entry or too many SFN collision, FR_DISK_ERR:Disk error */ + DIR* dp /* Target directory with object name to be created */ +) +{ + FRESULT res; +#if _USE_LFN /* LFN configuration */ + UINT n, nent; + BYTE sn[12], *fn, sum; + WCHAR *lfn; + + + fn = dp->fn; lfn = dp->lfn; + mem_cpy(sn, fn, 12); + + if (_FS_RPATH && (sn[NSFLAG] & NS_DOT)) /* Cannot create dot entry */ + return FR_INVALID_NAME; + + if (sn[NSFLAG] & NS_LOSS) { /* When LFN is out of 8.3 format, generate a numbered name */ + fn[NSFLAG] = 0; dp->lfn = 0; /* Find only SFN */ + for (n = 1; n < 100; n++) { + gen_numname(fn, sn, lfn, n); /* Generate a numbered name */ + res = dir_find(dp); /* Check if the name collides with existing SFN */ + if (res != FR_OK) break; + } + if (n == 100) return FR_DENIED; /* Abort if too many collisions */ + if (res != FR_NO_FILE) return res; /* Abort if the result is other than 'not collided' */ + fn[NSFLAG] = sn[NSFLAG]; dp->lfn = lfn; + } + + if (sn[NSFLAG] & NS_LFN) { /* When LFN is to be created, allocate entries for an SFN + LFNs. */ + for (n = 0; lfn[n]; n++) ; + nent = (n + 25) / 13; + } else { /* Otherwise allocate an entry for an SFN */ + nent = 1; + } + res = dir_alloc(dp, nent); /* Allocate entries */ + + if (res == FR_OK && --nent) { /* Set LFN entry if needed */ + res = dir_sdi(dp, dp->index - nent); + if (res == FR_OK) { + sum = sum_sfn(dp->fn); /* Sum value of the SFN tied to the LFN */ + do { /* Store LFN entries in bottom first */ + res = move_window(dp->fs, dp->sect); + if (res != FR_OK) break; + fit_lfn(dp->lfn, dp->dir, (BYTE)nent, sum); + dp->fs->wflag = 1; + res = dir_next(dp, 0); /* Next entry */ + } while (res == FR_OK && --nent); + } + } +#else /* Non LFN configuration */ + res = dir_alloc(dp, 1); /* Allocate an entry for SFN */ +#endif + + if (res == FR_OK) { /* Set SFN entry */ + res = move_window(dp->fs, dp->sect); + if (res == FR_OK) { + mem_set(dp->dir, 0, SZ_DIRE); /* Clean the entry */ + mem_cpy(dp->dir, dp->fn, 11); /* Put SFN */ +#if _USE_LFN + dp->dir[DIR_NTres] = dp->fn[NSFLAG] & (NS_BODY | NS_EXT); /* Put NT flag */ +#endif + dp->fs->wflag = 1; + } + } + + return res; +} +#endif /* !_FS_READONLY */ + + + + +/*-----------------------------------------------------------------------*/ +/* Remove an object from the directory */ +/*-----------------------------------------------------------------------*/ +#if !_FS_READONLY && !_FS_MINIMIZE +static +FRESULT dir_remove ( /* FR_OK: Successful, FR_DISK_ERR: A disk error */ + DIR* dp /* Directory object pointing the entry to be removed */ +) +{ + FRESULT res; +#if _USE_LFN /* LFN configuration */ + UINT i; + + i = dp->index; /* SFN index */ + res = dir_sdi(dp, (dp->lfn_idx == 0xFFFF) ? i : dp->lfn_idx); /* Goto the SFN or top of the LFN entries */ + if (res == FR_OK) { + do { + res = move_window(dp->fs, dp->sect); + if (res != FR_OK) break; + mem_set(dp->dir, 0, SZ_DIRE); /* Clear and mark the entry "deleted" */ + *dp->dir = DDEM; + dp->fs->wflag = 1; + if (dp->index >= i) break; /* When reached SFN, all entries of the object has been deleted. */ + res = dir_next(dp, 0); /* Next entry */ + } while (res == FR_OK); + if (res == FR_NO_FILE) res = FR_INT_ERR; + } + +#else /* Non LFN configuration */ + res = dir_sdi(dp, dp->index); + if (res == FR_OK) { + res = move_window(dp->fs, dp->sect); + if (res == FR_OK) { + mem_set(dp->dir, 0, SZ_DIRE); /* Clear and mark the entry "deleted" */ + *dp->dir = DDEM; + dp->fs->wflag = 1; + } + } +#endif + + return res; +} +#endif /* !_FS_READONLY */ + + + + +/*-----------------------------------------------------------------------*/ +/* Get file information from directory entry */ +/*-----------------------------------------------------------------------*/ +#if _FS_MINIMIZE <= 1 || _FS_RPATH >= 2 +static +void get_fileinfo ( /* No return code */ + DIR* dp, /* Pointer to the directory object */ + FILINFO* fno /* Pointer to the file information to be filled */ +) +{ + UINT i; + TCHAR *p, c; + BYTE *dir; +#if _USE_LFN + WCHAR w, *lfn; +#endif + + p = fno->fname; + if (dp->sect) { /* Get SFN */ + dir = dp->dir; + i = 0; + while (i < 11) { /* Copy name body and extension */ + c = (TCHAR)dir[i++]; + if (c == ' ') continue; /* Skip padding spaces */ + if (c == RDDEM) c = (TCHAR)DDEM; /* Restore replaced DDEM character */ + if (i == 9) *p++ = '.'; /* Insert a . if extension is exist */ +#if _USE_LFN + if (IsUpper(c) && (dir[DIR_NTres] & (i >= 9 ? NS_EXT : NS_BODY))) + c += 0x20; /* To lower */ +#if _LFN_UNICODE + if (IsDBCS1(c) && i != 8 && i != 11 && IsDBCS2(dir[i])) + c = c << 8 | dir[i++]; + c = ff_convert(c, 1); /* OEM -> Unicode */ + if (!c) c = '?'; +#endif +#endif + *p++ = c; + } + fno->fattrib = dir[DIR_Attr]; /* Attribute */ + fno->fsize = LD_DWORD(dir + DIR_FileSize); /* Size */ + fno->fdate = LD_WORD(dir + DIR_WrtDate); /* Date */ + fno->ftime = LD_WORD(dir + DIR_WrtTime); /* Time */ + } + *p = 0; /* Terminate SFN string by a \0 */ + +#if _USE_LFN + if (fno->lfname) { + i = 0; p = fno->lfname; + if (dp->sect && fno->lfsize && dp->lfn_idx != 0xFFFF) { /* Get LFN if available */ + lfn = dp->lfn; + while ((w = *lfn++) != 0) { /* Get an LFN character */ +#if !_LFN_UNICODE + w = ff_convert(w, 0); /* Unicode -> OEM */ + if (!w) { i = 0; break; } /* No LFN if it could not be converted */ + if (_DF1S && w >= 0x100) /* Put 1st byte if it is a DBC (always false on SBCS cfg) */ + p[i++] = (TCHAR)(w >> 8); +#endif + if (i >= fno->lfsize - 1) { i = 0; break; } /* No LFN if buffer overflow */ + p[i++] = (TCHAR)w; + } + } + p[i] = 0; /* Terminate LFN string by a \0 */ + } +#endif +} +#endif /* _FS_MINIMIZE <= 1 || _FS_RPATH >= 2 */ + + + + +/*-----------------------------------------------------------------------*/ +/* Pattern matching */ +/*-----------------------------------------------------------------------*/ +#if _USE_FIND && _FS_MINIMIZE <= 1 +static +WCHAR get_achar ( /* Get a character and advances ptr 1 or 2 */ + const TCHAR** ptr /* Pointer to pointer to the SBCS/DBCS/Unicode string */ +) +{ + WCHAR chr; + +#if !_LFN_UNICODE + chr = (BYTE)*(*ptr)++; /* Get a byte */ + if (IsLower(chr)) chr -= 0x20; /* To upper ASCII char */ + if (IsDBCS1(chr) && IsDBCS2(**ptr)) /* Get DBC 2nd byte if needed */ + chr = chr << 8 | (BYTE)*(*ptr)++; +#ifdef _EXCVT + if (chr >= 0x80) chr = ExCvt[chr - 0x80]; /* To upper SBCS extended char */ +#endif +#else + chr = ff_wtoupper(*(*ptr)++); /* Get a word and to upper */ +#endif + return chr; +} + + +static +int pattern_matching ( /* Return value: 0:mismatched, 1:matched */ + const TCHAR* pat, /* Matching pattern */ + const TCHAR* nam, /* String to be tested */ + int skip, /* Number of pre-skip chars (number of ?s) */ + int inf /* Infinite search (* specified) */ +) +{ + const TCHAR *pp, *np; + WCHAR pc, nc; + int nm, nx; + + + while (skip--) { /* Pre-skip name chars */ + if (!get_achar(&nam)) return 0; /* Branch mismatched if less name chars */ + } + if (!*pat && inf) return 1; /* (short circuit) */ + + do { + pp = pat; np = nam; /* Top of pattern and name to match */ + for (;;) { + if (*pp == '?' || *pp == '*') { /* Wildcard? */ + nm = nx = 0; + do { /* Analyze the wildcard chars */ + if (*pp++ == '?') nm++; else nx = 1; + } while (*pp == '?' || *pp == '*'); + if (pattern_matching(pp, np, nm, nx)) return 1; /* Test new branch (recurs upto number of wildcard blocks in the pattern) */ + nc = *np; break; /* Branch mismatched */ + } + pc = get_achar(&pp); /* Get a pattern char */ + nc = get_achar(&np); /* Get a name char */ + if (pc != nc) break; /* Branch mismatched? */ + if (!pc) return 1; /* Branch matched? (matched at end of both strings) */ + } + get_achar(&nam); /* nam++ */ + } while (inf && nc); /* Retry until end of name if infinite search is specified */ + + return 0; +} +#endif /* _USE_FIND && _FS_MINIMIZE <= 1 */ + + + + +/*-----------------------------------------------------------------------*/ +/* Pick a segment and create the object name in directory form */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT create_name ( + DIR* dp, /* Pointer to the directory object */ + const TCHAR** path /* Pointer to pointer to the segment in the path string */ +) +{ +#if _USE_LFN /* LFN configuration */ + BYTE b, cf; + WCHAR w, *lfn; + UINT i, ni, si, di; + const TCHAR *p; + + /* Create LFN in Unicode */ + for (p = *path; *p == '/' || *p == '\\'; p++) ; /* Strip duplicated separator */ + lfn = dp->lfn; + si = di = 0; + for (;;) { + w = p[si++]; /* Get a character */ + if (w < ' ' || w == '/' || w == '\\') break; /* Break on end of segment */ + if (di >= _MAX_LFN) /* Reject too long name */ + return FR_INVALID_NAME; +#if !_LFN_UNICODE + w &= 0xFF; + if (IsDBCS1(w)) { /* Check if it is a DBC 1st byte (always false on SBCS cfg) */ +#if _DF1S + b = (BYTE)p[si++]; /* Get 2nd byte */ + w = (w << 8) + b; /* Create a DBC */ + if (!IsDBCS2(b)) + return FR_INVALID_NAME; /* Reject invalid sequence */ +#endif + } + w = ff_convert(w, 1); /* Convert ANSI/OEM to Unicode */ + if (!w) return FR_INVALID_NAME; /* Reject invalid code */ +#endif + if (w < 0x80 && chk_chr("\"*:<>\?|\x7F", w)) /* Reject illegal characters for LFN */ + return FR_INVALID_NAME; + lfn[di++] = w; /* Store the Unicode character */ + } + *path = &p[si]; /* Return pointer to the next segment */ + cf = (w < ' ') ? NS_LAST : 0; /* Set last segment flag if end of path */ +#if _FS_RPATH + if ((di == 1 && lfn[di - 1] == '.') || /* Is this a dot entry? */ + (di == 2 && lfn[di - 1] == '.' && lfn[di - 2] == '.')) { + lfn[di] = 0; + for (i = 0; i < 11; i++) + dp->fn[i] = (i < di) ? '.' : ' '; + dp->fn[i] = cf | NS_DOT; /* This is a dot entry */ + return FR_OK; + } +#endif + while (di) { /* Strip trailing spaces and dots */ + w = lfn[di - 1]; + if (w != ' ' && w != '.') break; + di--; + } + if (!di) return FR_INVALID_NAME; /* Reject nul string */ + + lfn[di] = 0; /* LFN is created */ + + /* Create SFN in directory form */ + mem_set(dp->fn, ' ', 11); + for (si = 0; lfn[si] == ' ' || lfn[si] == '.'; si++) ; /* Strip leading spaces and dots */ + if (si) cf |= NS_LOSS | NS_LFN; + while (di && lfn[di - 1] != '.') di--; /* Find extension (di<=si: no extension) */ + + b = i = 0; ni = 8; + for (;;) { + w = lfn[si++]; /* Get an LFN character */ + if (!w) break; /* Break on end of the LFN */ + if (w == ' ' || (w == '.' && si != di)) { /* Remove spaces and dots */ + cf |= NS_LOSS | NS_LFN; continue; + } + + if (i >= ni || si == di) { /* Extension or end of SFN */ + if (ni == 11) { /* Long extension */ + cf |= NS_LOSS | NS_LFN; break; + } + if (si != di) cf |= NS_LOSS | NS_LFN; /* Out of 8.3 format */ + if (si > di) break; /* No extension */ + si = di; i = 8; ni = 11; /* Enter extension section */ + b <<= 2; continue; + } + + if (w >= 0x80) { /* Non ASCII character */ +#ifdef _EXCVT + w = ff_convert(w, 0); /* Unicode -> OEM code */ + if (w) w = ExCvt[w - 0x80]; /* Convert extended character to upper (SBCS) */ +#else + w = ff_convert(ff_wtoupper(w), 0); /* Upper converted Unicode -> OEM code */ +#endif + cf |= NS_LFN; /* Force create LFN entry */ + } + + if (_DF1S && w >= 0x100) { /* DBC (always false at SBCS cfg) */ + if (i >= ni - 1) { + cf |= NS_LOSS | NS_LFN; i = ni; continue; + } + dp->fn[i++] = (BYTE)(w >> 8); + } else { /* SBC */ + if (!w || chk_chr("+,;=[]", w)) { /* Replace illegal characters for SFN */ + w = '_'; cf |= NS_LOSS | NS_LFN;/* Lossy conversion */ + } else { + if (IsUpper(w)) { /* ASCII large capital */ + b |= 2; + } else { + if (IsLower(w)) { /* ASCII small capital */ + b |= 1; w -= 0x20; + } + } + } + } + dp->fn[i++] = (BYTE)w; + } + + if (dp->fn[0] == DDEM) dp->fn[0] = RDDEM; /* If the first character collides with deleted mark, replace it with RDDEM */ + + if (ni == 8) b <<= 2; + if ((b & 0x0C) == 0x0C || (b & 0x03) == 0x03) /* Create LFN entry when there are composite capitals */ + cf |= NS_LFN; + if (!(cf & NS_LFN)) { /* When LFN is in 8.3 format without extended character, NT flags are created */ + if ((b & 0x03) == 0x01) cf |= NS_EXT; /* NT flag (Extension has only small capital) */ + if ((b & 0x0C) == 0x04) cf |= NS_BODY; /* NT flag (Filename has only small capital) */ + } + + dp->fn[NSFLAG] = cf; /* SFN is created */ + + return FR_OK; + + +#else /* Non-LFN configuration */ + BYTE b, c, d, *sfn; + UINT ni, si, i; + const char *p; + + /* Create file name in directory form */ + for (p = *path; *p == '/' || *p == '\\'; p++) ; /* Strip duplicated separator */ + sfn = dp->fn; + mem_set(sfn, ' ', 11); + si = i = b = 0; ni = 8; +#if _FS_RPATH + if (p[si] == '.') { /* Is this a dot entry? */ + for (;;) { + c = (BYTE)p[si++]; + if (c != '.' || si >= 3) break; + sfn[i++] = c; + } + if (c != '/' && c != '\\' && c > ' ') return FR_INVALID_NAME; + *path = &p[si]; /* Return pointer to the next segment */ + sfn[NSFLAG] = (c <= ' ') ? NS_LAST | NS_DOT : NS_DOT; /* Set last segment flag if end of path */ + return FR_OK; + } +#endif + for (;;) { + c = (BYTE)p[si++]; + if (c <= ' ' || c == '/' || c == '\\') break; /* Break on end of segment */ + if (c == '.' || i >= ni) { + if (ni != 8 || c != '.') return FR_INVALID_NAME; + i = 8; ni = 11; + b <<= 2; continue; + } + if (c >= 0x80) { /* Extended character? */ + b |= 3; /* Eliminate NT flag */ +#ifdef _EXCVT + c = ExCvt[c - 0x80]; /* To upper extended characters (SBCS cfg) */ +#else +#if !_DF1S + return FR_INVALID_NAME; /* Reject extended characters (ASCII cfg) */ +#endif +#endif + } + if (IsDBCS1(c)) { /* Check if it is a DBC 1st byte (always false on SBCS cfg) */ + d = (BYTE)p[si++]; /* Get 2nd byte */ + if (!IsDBCS2(d) || i >= ni - 1) /* Reject invalid DBC */ + return FR_INVALID_NAME; + sfn[i++] = c; + sfn[i++] = d; + } else { /* SBC */ + if (chk_chr("\"*+,:;<=>\?[]|\x7F", c)) /* Reject illegal chrs for SFN */ + return FR_INVALID_NAME; + if (IsUpper(c)) { /* ASCII large capital? */ + b |= 2; + } else { + if (IsLower(c)) { /* ASCII small capital? */ + b |= 1; c -= 0x20; + } + } + sfn[i++] = c; + } + } + *path = &p[si]; /* Return pointer to the next segment */ + c = (c <= ' ') ? NS_LAST : 0; /* Set last segment flag if end of path */ + + if (!i) return FR_INVALID_NAME; /* Reject nul string */ + if (sfn[0] == DDEM) sfn[0] = RDDEM; /* When first character collides with DDEM, replace it with RDDEM */ + + if (ni == 8) b <<= 2; + if ((b & 0x03) == 0x01) c |= NS_EXT; /* NT flag (Name extension has only small capital) */ + if ((b & 0x0C) == 0x04) c |= NS_BODY; /* NT flag (Name body has only small capital) */ + + sfn[NSFLAG] = c; /* Store NT flag, File name is created */ + + return FR_OK; +#endif +} + + + + +/*-----------------------------------------------------------------------*/ +/* Follow a file path */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT follow_path ( /* FR_OK(0): successful, !=0: error code */ + DIR* dp, /* Directory object to return last directory and found object */ + const TCHAR* path /* Full-path string to find a file or directory */ +) +{ + FRESULT res; + BYTE *dir, ns; + + +#if _FS_RPATH + if (*path == '/' || *path == '\\') { /* There is a heading separator */ + path++; dp->sclust = 0; /* Strip it and start from the root directory */ + } else { /* No heading separator */ + dp->sclust = dp->fs->cdir; /* Start from the current directory */ + } +#else + if (*path == '/' || *path == '\\') /* Strip heading separator if exist */ + path++; + dp->sclust = 0; /* Always start from the root directory */ +#endif + + if ((UINT)*path < ' ') { /* Null path name is the origin directory itself */ + res = dir_sdi(dp, 0); + dp->dir = 0; + } else { /* Follow path */ + for (;;) { + res = create_name(dp, &path); /* Get a segment name of the path */ + if (res != FR_OK) break; + res = dir_find(dp); /* Find an object with the sagment name */ + ns = dp->fn[NSFLAG]; + if (res != FR_OK) { /* Failed to find the object */ + if (res == FR_NO_FILE) { /* Object is not found */ + if (_FS_RPATH && (ns & NS_DOT)) { /* If dot entry is not exist, */ + dp->sclust = 0; dp->dir = 0; /* it is the root directory and stay there */ + if (!(ns & NS_LAST)) continue; /* Continue to follow if not last segment */ + res = FR_OK; /* Ended at the root directroy. Function completed. */ + } else { /* Could not find the object */ + if (!(ns & NS_LAST)) res = FR_NO_PATH; /* Adjust error code if not last segment */ + } + } + break; + } + if (ns & NS_LAST) break; /* Last segment matched. Function completed. */ + dir = dp->dir; /* Follow the sub-directory */ + if (!(dir[DIR_Attr] & AM_DIR)) { /* It is not a sub-directory and cannot follow */ + res = FR_NO_PATH; break; + } + dp->sclust = ld_clust(dp->fs, dir); + } + } + + return res; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Get logical drive number from path name */ +/*-----------------------------------------------------------------------*/ + +static +int get_ldnumber ( /* Returns logical drive number (-1:invalid drive) */ + const TCHAR** path /* Pointer to pointer to the path name */ +) +{ + const TCHAR *tp, *tt; + UINT i; + int vol = -1; +#if _STR_VOLUME_ID /* Find string drive id */ + static const char* const str[] = {_VOLUME_STRS}; + const char *sp; + char c; + TCHAR tc; +#endif + + + if (*path) { /* If the pointer is not a null */ + for (tt = *path; (UINT)*tt >= (_USE_LFN ? ' ' : '!') && *tt != ':'; tt++) ; /* Find ':' in the path */ + if (*tt == ':') { /* If a ':' is exist in the path name */ + tp = *path; + i = *tp++ - '0'; + if (i < 10 && tp == tt) { /* Is there a numeric drive id? */ + if (i < _VOLUMES) { /* If a drive id is found, get the value and strip it */ + vol = (int)i; + *path = ++tt; + } + } +#if _STR_VOLUME_ID + else { /* No numeric drive number, find string drive id */ + i = 0; tt++; + do { + sp = str[i]; tp = *path; + do { /* Compare a string drive id with path name */ + c = *sp++; tc = *tp++; + if (IsLower(tc)) tc -= 0x20; + } while (c && (TCHAR)c == tc); + } while ((c || tp != tt) && ++i < _VOLUMES); /* Repeat for each id until pattern match */ + if (i < _VOLUMES) { /* If a drive id is found, get the value and strip it */ + vol = (int)i; + *path = tt; + } + } +#endif + return vol; + } +#if _FS_RPATH && _VOLUMES >= 2 + vol = CurrVol; /* Current drive */ +#else + vol = 0; /* Drive 0 */ +#endif + } + return vol; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Load a sector and check if it is an FAT boot sector */ +/*-----------------------------------------------------------------------*/ + +static +BYTE check_fs ( /* 0:FAT boor sector, 1:Valid boor sector but not FAT, 2:Not a boot sector, 3:Disk error */ + FATFS* fs, /* File system object */ + DWORD sect /* Sector# (lba) to check if it is an FAT boot record or not */ +) +{ + fs->wflag = 0; fs->winsect = 0xFFFFFFFF; /* Invaidate window */ + if (move_window(fs, sect) != FR_OK) /* Load boot record */ + return 3; + + if (LD_WORD(&fs->win.d8[BS_55AA]) != 0xAA55) /* Check boot record signature (always placed at offset 510 even if the sector size is >512) */ + return 2; + + if ((LD_DWORD(&fs->win.d8[BS_FilSysType]) & 0xFFFFFF) == 0x544146) /* Check "FAT" string */ + return 0; + if ((LD_DWORD(&fs->win.d8[BS_FilSysType32]) & 0xFFFFFF) == 0x544146) /* Check "FAT" string */ + return 0; + + return 1; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Find logical drive and check if the volume is mounted */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT find_volume ( /* FR_OK(0): successful, !=0: any error occurred */ + FATFS** rfs, /* Pointer to pointer to the found file system object */ + const TCHAR** path, /* Pointer to pointer to the path name (drive number) */ + BYTE wmode /* !=0: Check write protection for write access */ +) +{ + BYTE fmt, *pt; + int vol; + DSTATUS stat; + DWORD bsect, fasize, tsect, sysect, nclst, szbfat, br[4]; + WORD nrsv; + FATFS *fs; + UINT i; + + + /* Get logical drive number from the path name */ + *rfs = 0; + vol = get_ldnumber(path); + if (vol < 0) return FR_INVALID_DRIVE; + + /* Check if the file system object is valid or not */ + fs = FatFs[vol]; /* Get pointer to the file system object */ + if (!fs) return FR_NOT_ENABLED; /* Is the file system object available? */ + + ENTER_FF(fs); /* Lock the volume */ + *rfs = fs; /* Return pointer to the file system object */ + + if (fs->fs_type) { /* If the volume has been mounted */ + stat = disk_status(fs->drv); + if (!(stat & STA_NOINIT)) { /* and the physical drive is kept initialized */ + if (!_FS_READONLY && wmode && (stat & STA_PROTECT)) /* Check write protection if needed */ + return FR_WRITE_PROTECTED; + return FR_OK; /* The file system object is valid */ + } + } + + /* The file system object is not valid. */ + /* Following code attempts to mount the volume. (analyze BPB and initialize the fs object) */ + + fs->fs_type = 0; /* Clear the file system object */ + fs->drv = LD2PD(vol); /* Bind the logical drive and a physical drive */ + stat = disk_initialize(fs->drv); /* Initialize the physical drive */ + if (stat & STA_NOINIT) /* Check if the initialization succeeded */ + return FR_NOT_READY; /* Failed to initialize due to no medium or hard error */ + if (!_FS_READONLY && wmode && (stat & STA_PROTECT)) /* Check disk write protection if needed */ + return FR_WRITE_PROTECTED; +#if _MAX_SS != _MIN_SS /* Get sector size (multiple sector size cfg only) */ + if (disk_ioctl(fs->drv, GET_SECTOR_SIZE, &SS(fs)) != RES_OK + || SS(fs) < _MIN_SS || SS(fs) > _MAX_SS) return FR_DISK_ERR; +#endif + /* Find an FAT partition on the drive. Supports only generic partitioning, FDISK and SFD. */ + bsect = 0; + fmt = check_fs(fs, bsect); /* Load sector 0 and check if it is an FAT boot sector as SFD */ + if (fmt == 1 || (!fmt && (LD2PT(vol)))) { /* Not an FAT boot sector or forced partition number */ + for (i = 0; i < 4; i++) { /* Get partition offset */ + pt = fs->win.d8 + MBR_Table + i * SZ_PTE; + br[i] = pt[4] ? LD_DWORD(&pt[8]) : 0; + } + i = LD2PT(vol); /* Partition number: 0:auto, 1-4:forced */ + if (i) i--; + do { /* Find an FAT volume */ + bsect = br[i]; + fmt = bsect ? check_fs(fs, bsect) : 2; /* Check the partition */ + } while (!LD2PT(vol) && fmt && ++i < 4); + } + if (fmt == 3) return FR_DISK_ERR; /* An error occured in the disk I/O layer */ + if (fmt) return FR_NO_FILESYSTEM; /* No FAT volume is found */ + + /* An FAT volume is found. Following code initializes the file system object */ + + if (LD_WORD(fs->win.d8 + BPB_BytsPerSec) != SS(fs)) /* (BPB_BytsPerSec must be equal to the physical sector size) */ + return FR_NO_FILESYSTEM; + + fasize = LD_WORD(fs->win.d8 + BPB_FATSz16); /* Number of sectors per FAT */ + if (!fasize) fasize = LD_DWORD(fs->win.d8 + BPB_FATSz32); + fs->fsize = fasize; + + fs->n_fats = fs->win.d8[BPB_NumFATs]; /* Number of FAT copies */ + if (fs->n_fats != 1 && fs->n_fats != 2) /* (Must be 1 or 2) */ + return FR_NO_FILESYSTEM; + fasize *= fs->n_fats; /* Number of sectors for FAT area */ + + fs->csize = fs->win.d8[BPB_SecPerClus]; /* Number of sectors per cluster */ + if (!fs->csize || (fs->csize & (fs->csize - 1))) /* (Must be power of 2) */ + return FR_NO_FILESYSTEM; + + fs->n_rootdir = LD_WORD(fs->win.d8 + BPB_RootEntCnt); /* Number of root directory entries */ + if (fs->n_rootdir % (SS(fs) / SZ_DIRE)) /* (Must be sector aligned) */ + return FR_NO_FILESYSTEM; + + tsect = LD_WORD(fs->win.d8 + BPB_TotSec16); /* Number of sectors on the volume */ + if (!tsect) tsect = LD_DWORD(fs->win.d8 + BPB_TotSec32); + + nrsv = LD_WORD(fs->win.d8 + BPB_RsvdSecCnt); /* Number of reserved sectors */ + if (!nrsv) return FR_NO_FILESYSTEM; /* (Must not be 0) */ + + /* Determine the FAT sub type */ + sysect = nrsv + fasize + fs->n_rootdir / (SS(fs) / SZ_DIRE); /* RSV + FAT + DIR */ + if (tsect < sysect) return FR_NO_FILESYSTEM; /* (Invalid volume size) */ + nclst = (tsect - sysect) / fs->csize; /* Number of clusters */ + if (!nclst) return FR_NO_FILESYSTEM; /* (Invalid volume size) */ + fmt = FS_FAT12; + if (nclst >= MIN_FAT16) fmt = FS_FAT16; + if (nclst >= MIN_FAT32) fmt = FS_FAT32; + + /* Boundaries and Limits */ + fs->n_fatent = nclst + 2; /* Number of FAT entries */ + fs->volbase = bsect; /* Volume start sector */ + fs->fatbase = bsect + nrsv; /* FAT start sector */ + fs->database = bsect + sysect; /* Data start sector */ + if (fmt == FS_FAT32) { + if (fs->n_rootdir) return FR_NO_FILESYSTEM; /* (BPB_RootEntCnt must be 0) */ + fs->dirbase = LD_DWORD(fs->win.d8 + BPB_RootClus); /* Root directory start cluster */ + szbfat = fs->n_fatent * 4; /* (Needed FAT size) */ + } else { + if (!fs->n_rootdir) return FR_NO_FILESYSTEM; /* (BPB_RootEntCnt must not be 0) */ + fs->dirbase = fs->fatbase + fasize; /* Root directory start sector */ + szbfat = (fmt == FS_FAT16) ? /* (Needed FAT size) */ + fs->n_fatent * 2 : fs->n_fatent * 3 / 2 + (fs->n_fatent & 1); + } + if (fs->fsize < (szbfat + (SS(fs) - 1)) / SS(fs)) /* (BPB_FATSz must not be less than the size needed) */ + return FR_NO_FILESYSTEM; + +#if !_FS_READONLY + /* Initialize cluster allocation information */ + fs->last_clust = fs->free_clust = 0xFFFFFFFF; + + /* Get fsinfo if available */ + fs->fsi_flag = 0x80; +#if (_FS_NOFSINFO & 3) != 3 + if (fmt == FS_FAT32 /* Enable FSINFO only if FAT32 and BPB_FSInfo is 1 */ + && LD_WORD(fs->win.d8 + BPB_FSInfo) == 1 + && move_window(fs, bsect + 1) == FR_OK) + { + fs->fsi_flag = 0; + if (LD_WORD(fs->win.d8 + BS_55AA) == 0xAA55 /* Load FSINFO data if available */ + && LD_DWORD(fs->win.d8 + FSI_LeadSig) == 0x41615252 + && LD_DWORD(fs->win.d8 + FSI_StrucSig) == 0x61417272) + { +#if (_FS_NOFSINFO & 1) == 0 + fs->free_clust = LD_DWORD(fs->win.d8 + FSI_Free_Count); +#endif +#if (_FS_NOFSINFO & 2) == 0 + fs->last_clust = LD_DWORD(fs->win.d8 + FSI_Nxt_Free); +#endif + } + } +#endif +#endif + fs->fs_type = fmt; /* FAT sub-type */ + fs->id = ++Fsid; /* File system mount ID */ +#if _FS_RPATH + fs->cdir = 0; /* Set current directory to root */ +#endif +#if _FS_LOCK /* Clear file lock semaphores */ + clear_lock(fs); +#endif + + return FR_OK; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Check if the file/directory object is valid or not */ +/*-----------------------------------------------------------------------*/ + +static +FRESULT validate ( /* FR_OK(0): The object is valid, !=0: Invalid */ + void* obj /* Pointer to the object FIL/DIR to check validity */ +) +{ + FIL *fil = (FIL*)obj; /* Assuming offset of .fs and .id in the FIL/DIR structure is identical */ + + + if (!fil || !fil->fs || !fil->fs->fs_type || fil->fs->id != fil->id || (disk_status(fil->fs->drv) & STA_NOINIT)) + return FR_INVALID_OBJECT; + + ENTER_FF(fil->fs); /* Lock file system */ + + return FR_OK; +} + + + + +/*-------------------------------------------------------------------------- + + Public Functions + +--------------------------------------------------------------------------*/ + + + +/*-----------------------------------------------------------------------*/ +/* Mount/Unmount a Logical Drive */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_mount ( + FATFS* fs, /* Pointer to the file system object (NULL:unmount)*/ + const TCHAR* path, /* Logical drive number to be mounted/unmounted */ + BYTE opt /* 0:Do not mount (delayed mount), 1:Mount immediately */ +) +{ + FATFS *cfs; + int vol; + FRESULT res; + const TCHAR *rp = path; + + + vol = get_ldnumber(&rp); + if (vol < 0) return FR_INVALID_DRIVE; + cfs = FatFs[vol]; /* Pointer to fs object */ + + if (cfs) { +#if _FS_LOCK + clear_lock(cfs); +#endif +#if _FS_REENTRANT /* Discard sync object of the current volume */ + if (!ff_del_syncobj(cfs->sobj)) return FR_INT_ERR; +#endif + cfs->fs_type = 0; /* Clear old fs object */ + } + + if (fs) { + fs->fs_type = 0; /* Clear new fs object */ +#if _FS_REENTRANT /* Create sync object for the new volume */ + if (!ff_cre_syncobj((BYTE)vol, &fs->sobj)) return FR_INT_ERR; +#endif + } + FatFs[vol] = fs; /* Register new fs object */ + + if (!fs || opt != 1) return FR_OK; /* Do not mount now, it will be mounted later */ + + res = find_volume(&fs, &path, 0); /* Force mounted the volume */ + LEAVE_FF(fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Open or Create a File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_open ( + FIL* fp, /* Pointer to the blank file object */ + const TCHAR* path, /* Pointer to the file name */ + BYTE mode /* Access mode and file open mode flags */ +) +{ + FRESULT res; + DIR dj; + BYTE *dir; + DEFINE_NAMEBUF; +#if !_FS_READONLY + DWORD dw, cl; +#endif + + + if (!fp) return FR_INVALID_OBJECT; + fp->fs = 0; /* Clear file object */ + + /* Get logical drive number */ +#if !_FS_READONLY + mode &= FA_READ | FA_WRITE | FA_CREATE_ALWAYS | FA_OPEN_ALWAYS | FA_CREATE_NEW; + res = find_volume(&dj.fs, &path, (BYTE)(mode & ~FA_READ)); +#else + mode &= FA_READ; + res = find_volume(&dj.fs, &path, 0); +#endif + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + dir = dj.dir; +#if !_FS_READONLY /* R/W configuration */ + if (res == FR_OK) { + if (!dir) /* Default directory itself */ + res = FR_INVALID_NAME; +#if _FS_LOCK + else + res = chk_lock(&dj, (mode & ~FA_READ) ? 1 : 0); +#endif + } + /* Create or Open a file */ + if (mode & (FA_CREATE_ALWAYS | FA_OPEN_ALWAYS | FA_CREATE_NEW)) { + if (res != FR_OK) { /* No file, create new */ + if (res == FR_NO_FILE) /* There is no file to open, create a new entry */ +#if _FS_LOCK + res = enq_lock() ? dir_register(&dj) : FR_TOO_MANY_OPEN_FILES; +#else + res = dir_register(&dj); +#endif + mode |= FA_CREATE_ALWAYS; /* File is created */ + dir = dj.dir; /* New entry */ + } + else { /* Any object is already existing */ + if (dir[DIR_Attr] & (AM_RDO | AM_DIR)) { /* Cannot overwrite it (R/O or DIR) */ + res = FR_DENIED; + } else { + if (mode & FA_CREATE_NEW) /* Cannot create as new file */ + res = FR_EXIST; + } + } + if (res == FR_OK && (mode & FA_CREATE_ALWAYS)) { /* Truncate it if overwrite mode */ + dw = GET_FATTIME(); /* Created time */ + ST_DWORD(dir + DIR_CrtTime, dw); + dir[DIR_Attr] = 0; /* Reset attribute */ + ST_DWORD(dir + DIR_FileSize, 0);/* size = 0 */ + cl = ld_clust(dj.fs, dir); /* Get start cluster */ + st_clust(dir, 0); /* cluster = 0 */ + dj.fs->wflag = 1; + if (cl) { /* Remove the cluster chain if exist */ + dw = dj.fs->winsect; + res = remove_chain(dj.fs, cl); + if (res == FR_OK) { + dj.fs->last_clust = cl - 1; /* Reuse the cluster hole */ + res = move_window(dj.fs, dw); + } + } + } + } + else { /* Open an existing file */ + if (res == FR_OK) { /* Follow succeeded */ + if (dir[DIR_Attr] & AM_DIR) { /* It is a directory */ + res = FR_NO_FILE; + } else { + if ((mode & FA_WRITE) && (dir[DIR_Attr] & AM_RDO)) /* R/O violation */ + res = FR_DENIED; + } + } + } + if (res == FR_OK) { + if (mode & FA_CREATE_ALWAYS) /* Set file change flag if created or overwritten */ + mode |= FA__WRITTEN; + fp->dir_sect = dj.fs->winsect; /* Pointer to the directory entry */ + fp->dir_ptr = dir; +#if _FS_LOCK + fp->lockid = inc_lock(&dj, (mode & ~FA_READ) ? 1 : 0); + if (!fp->lockid) res = FR_INT_ERR; +#endif + } + +#else /* R/O configuration */ + if (res == FR_OK) { /* Follow succeeded */ + dir = dj.dir; + if (!dir) { /* Current directory itself */ + res = FR_INVALID_NAME; + } else { + if (dir[DIR_Attr] & AM_DIR) /* It is a directory */ + res = FR_NO_FILE; + } + } +#endif + FREE_BUF(); + + if (res == FR_OK) { + fp->flag = mode; /* File access mode */ + fp->err = 0; /* Clear error flag */ + fp->sclust = ld_clust(dj.fs, dir); /* File start cluster */ + fp->fsize = LD_DWORD(dir + DIR_FileSize); /* File size */ + fp->fptr = 0; /* File pointer */ + fp->dsect = 0; +#if _USE_FASTSEEK + fp->cltbl = 0; /* Normal seek mode */ +#endif + fp->fs = dj.fs; /* Validate file object */ + fp->id = fp->fs->id; + } + } + + LEAVE_FF(dj.fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Read File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_read ( + FIL* fp, /* Pointer to the file object */ + void* buff, /* Pointer to data buffer */ + UINT btr, /* Number of bytes to read */ + UINT* br /* Pointer to number of bytes read */ +) +{ + FRESULT res; + DWORD clst, sect, remain; + UINT rcnt, cc; + BYTE csect, *rbuff = (BYTE*)buff; + + + *br = 0; /* Clear read byte counter */ + + res = validate(fp); /* Check validity */ + if (res != FR_OK) LEAVE_FF(fp->fs, res); + if (fp->err) /* Check error */ + LEAVE_FF(fp->fs, (FRESULT)fp->err); + if (!(fp->flag & FA_READ)) /* Check access mode */ + LEAVE_FF(fp->fs, FR_DENIED); + remain = fp->fsize - fp->fptr; + if (btr > remain) btr = (UINT)remain; /* Truncate btr by remaining bytes */ + + for ( ; btr; /* Repeat until all data read */ + rbuff += rcnt, fp->fptr += rcnt, *br += rcnt, btr -= rcnt) { + if ((fp->fptr % SS(fp->fs)) == 0) { /* On the sector boundary? */ + csect = (BYTE)(fp->fptr / SS(fp->fs) & (fp->fs->csize - 1)); /* Sector offset in the cluster */ + if (!csect) { /* On the cluster boundary? */ + if (fp->fptr == 0) { /* On the top of the file? */ + clst = fp->sclust; /* Follow from the origin */ + } else { /* Middle or end of the file */ +#if _USE_FASTSEEK + if (fp->cltbl) + clst = clmt_clust(fp, fp->fptr); /* Get cluster# from the CLMT */ + else +#endif + clst = get_fat(fp->fs, fp->clust); /* Follow cluster chain on the FAT */ + } + if (clst < 2) ABORT(fp->fs, FR_INT_ERR); + if (clst == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + fp->clust = clst; /* Update current cluster */ + } + sect = clust2sect(fp->fs, fp->clust); /* Get current sector */ + if (!sect) ABORT(fp->fs, FR_INT_ERR); + sect += csect; + cc = btr / SS(fp->fs); /* When remaining bytes >= sector size, */ + if (cc) { /* Read maximum contiguous sectors directly */ + if (csect + cc > fp->fs->csize) /* Clip at cluster boundary */ + cc = fp->fs->csize - csect; + if (disk_read(fp->fs->drv, rbuff, sect, cc) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); +#if !_FS_READONLY && _FS_MINIMIZE <= 2 /* Replace one of the read sectors with cached data if it contains a dirty sector */ +#if _FS_TINY + if (fp->fs->wflag && fp->fs->winsect - sect < cc) + mem_cpy(rbuff + ((fp->fs->winsect - sect) * SS(fp->fs)), fp->fs->win.d8, SS(fp->fs)); +#else + if ((fp->flag & FA__DIRTY) && fp->dsect - sect < cc) + mem_cpy(rbuff + ((fp->dsect - sect) * SS(fp->fs)), fp->buf.d8, SS(fp->fs)); +#endif +#endif + rcnt = SS(fp->fs) * cc; /* Number of bytes transferred */ + continue; + } +#if !_FS_TINY + if (fp->dsect != sect) { /* Load data sector if not in cache */ +#if !_FS_READONLY + if (fp->flag & FA__DIRTY) { /* Write-back dirty sector cache */ + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); + fp->flag &= ~FA__DIRTY; + } +#endif + if (disk_read(fp->fs->drv, fp->buf.d8, sect, 1) != RES_OK) /* Fill sector cache */ + ABORT(fp->fs, FR_DISK_ERR); + } +#endif + fp->dsect = sect; + } + rcnt = SS(fp->fs) - ((UINT)fp->fptr % SS(fp->fs)); /* Get partial sector data from sector buffer */ + if (rcnt > btr) rcnt = btr; +#if _FS_TINY + if (move_window(fp->fs, fp->dsect) != FR_OK) /* Move sector window */ + ABORT(fp->fs, FR_DISK_ERR); + mem_cpy(rbuff, &fp->fs->win.d8[fp->fptr % SS(fp->fs)], rcnt); /* Pick partial sector */ +#else + mem_cpy(rbuff, &fp->buf.d8[fp->fptr % SS(fp->fs)], rcnt); /* Pick partial sector */ +#endif + } + + LEAVE_FF(fp->fs, FR_OK); +} + + + + +#if !_FS_READONLY +/*-----------------------------------------------------------------------*/ +/* Write File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_write ( + FIL* fp, /* Pointer to the file object */ + const void *buff, /* Pointer to the data to be written */ + UINT btw, /* Number of bytes to write */ + UINT* bw /* Pointer to number of bytes written */ +) +{ + FRESULT res; + DWORD clst, sect; + UINT wcnt, cc; + const BYTE *wbuff = (const BYTE*)buff; + BYTE csect; + + + *bw = 0; /* Clear write byte counter */ + + res = validate(fp); /* Check validity */ + if (res != FR_OK) LEAVE_FF(fp->fs, res); + if (fp->err) /* Check error */ + LEAVE_FF(fp->fs, (FRESULT)fp->err); + if (!(fp->flag & FA_WRITE)) /* Check access mode */ + LEAVE_FF(fp->fs, FR_DENIED); + if (fp->fptr + btw < fp->fptr) btw = 0; /* File size cannot reach 4GB */ + + for ( ; btw; /* Repeat until all data written */ + wbuff += wcnt, fp->fptr += wcnt, *bw += wcnt, btw -= wcnt) { + if ((fp->fptr % SS(fp->fs)) == 0) { /* On the sector boundary? */ + csect = (BYTE)(fp->fptr / SS(fp->fs) & (fp->fs->csize - 1)); /* Sector offset in the cluster */ + if (!csect) { /* On the cluster boundary? */ + if (fp->fptr == 0) { /* On the top of the file? */ + clst = fp->sclust; /* Follow from the origin */ + if (clst == 0) /* When no cluster is allocated, */ + clst = create_chain(fp->fs, 0); /* Create a new cluster chain */ + } else { /* Middle or end of the file */ +#if _USE_FASTSEEK + if (fp->cltbl) + clst = clmt_clust(fp, fp->fptr); /* Get cluster# from the CLMT */ + else +#endif + clst = create_chain(fp->fs, fp->clust); /* Follow or stretch cluster chain on the FAT */ + } + if (clst == 0) break; /* Could not allocate a new cluster (disk full) */ + if (clst == 1) ABORT(fp->fs, FR_INT_ERR); + if (clst == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + fp->clust = clst; /* Update current cluster */ + if (fp->sclust == 0) fp->sclust = clst; /* Set start cluster if the first write */ + } +#if _FS_TINY + if (fp->fs->winsect == fp->dsect && sync_window(fp->fs)) /* Write-back sector cache */ + ABORT(fp->fs, FR_DISK_ERR); +#else + if (fp->flag & FA__DIRTY) { /* Write-back sector cache */ + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); + fp->flag &= ~FA__DIRTY; + } +#endif + sect = clust2sect(fp->fs, fp->clust); /* Get current sector */ + if (!sect) ABORT(fp->fs, FR_INT_ERR); + sect += csect; + cc = btw / SS(fp->fs); /* When remaining bytes >= sector size, */ + if (cc) { /* Write maximum contiguous sectors directly */ + if (csect + cc > fp->fs->csize) /* Clip at cluster boundary */ + cc = fp->fs->csize - csect; + if (disk_write(fp->fs->drv, wbuff, sect, cc) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); +#if _FS_MINIMIZE <= 2 +#if _FS_TINY + if (fp->fs->winsect - sect < cc) { /* Refill sector cache if it gets invalidated by the direct write */ + mem_cpy(fp->fs->win.d8, wbuff + ((fp->fs->winsect - sect) * SS(fp->fs)), SS(fp->fs)); + fp->fs->wflag = 0; + } +#else + if (fp->dsect - sect < cc) { /* Refill sector cache if it gets invalidated by the direct write */ + mem_cpy(fp->buf.d8, wbuff + ((fp->dsect - sect) * SS(fp->fs)), SS(fp->fs)); + fp->flag &= ~FA__DIRTY; + } +#endif +#endif + wcnt = SS(fp->fs) * cc; /* Number of bytes transferred */ + continue; + } +#if _FS_TINY + if (fp->fptr >= fp->fsize) { /* Avoid silly cache filling at growing edge */ + if (sync_window(fp->fs)) ABORT(fp->fs, FR_DISK_ERR); + fp->fs->winsect = sect; + } +#else + if (fp->dsect != sect) { /* Fill sector cache with file data */ + if (fp->fptr < fp->fsize && + disk_read(fp->fs->drv, fp->buf.d8, sect, 1) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); + } +#endif + fp->dsect = sect; + } + wcnt = SS(fp->fs) - ((UINT)fp->fptr % SS(fp->fs));/* Put partial sector into file I/O buffer */ + if (wcnt > btw) wcnt = btw; +#if _FS_TINY + if (move_window(fp->fs, fp->dsect) != FR_OK) /* Move sector window */ + ABORT(fp->fs, FR_DISK_ERR); + mem_cpy(&fp->fs->win.d8[fp->fptr % SS(fp->fs)], wbuff, wcnt); /* Fit partial sector */ + fp->fs->wflag = 1; +#else + mem_cpy(&fp->buf.d8[fp->fptr % SS(fp->fs)], wbuff, wcnt); /* Fit partial sector */ + fp->flag |= FA__DIRTY; +#endif + } + + if (fp->fptr > fp->fsize) fp->fsize = fp->fptr; /* Update file size if needed */ + fp->flag |= FA__WRITTEN; /* Set file change flag */ + + LEAVE_FF(fp->fs, FR_OK); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Synchronize the File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_sync ( + FIL* fp /* Pointer to the file object */ +) +{ + FRESULT res; + DWORD tm; + BYTE *dir; + + + res = validate(fp); /* Check validity of the object */ + if (res == FR_OK) { + if (fp->flag & FA__WRITTEN) { /* Has the file been written? */ + /* Write-back dirty buffer */ +#if !_FS_TINY + if (fp->flag & FA__DIRTY) { + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + LEAVE_FF(fp->fs, FR_DISK_ERR); + fp->flag &= ~FA__DIRTY; + } +#endif + /* Update the directory entry */ + res = move_window(fp->fs, fp->dir_sect); + if (res == FR_OK) { + dir = fp->dir_ptr; + dir[DIR_Attr] |= AM_ARC; /* Set archive bit */ + ST_DWORD(dir + DIR_FileSize, fp->fsize); /* Update file size */ + st_clust(dir, fp->sclust); /* Update start cluster */ + tm = GET_FATTIME(); /* Update updated time */ + ST_DWORD(dir + DIR_WrtTime, tm); + ST_WORD(dir + DIR_LstAccDate, 0); + fp->flag &= ~FA__WRITTEN; + fp->fs->wflag = 1; + res = sync_fs(fp->fs); + } + } + } + + LEAVE_FF(fp->fs, res); +} + +#endif /* !_FS_READONLY */ + + + + +/*-----------------------------------------------------------------------*/ +/* Close File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_close ( + FIL *fp /* Pointer to the file object to be closed */ +) +{ + FRESULT res; + + +#if !_FS_READONLY + res = f_sync(fp); /* Flush cached data */ + if (res == FR_OK) +#endif + { + res = validate(fp); /* Lock volume */ + if (res == FR_OK) { +#if _FS_REENTRANT + FATFS *fs = fp->fs; +#endif +#if _FS_LOCK + res = dec_lock(fp->lockid); /* Decrement file open counter */ + if (res == FR_OK) +#endif + fp->fs = 0; /* Invalidate file object */ +#if _FS_REENTRANT + unlock_fs(fs, FR_OK); /* Unlock volume */ +#endif + } + } + return res; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Change Current Directory or Current Drive, Get Current Directory */ +/*-----------------------------------------------------------------------*/ + +#if _FS_RPATH >= 1 +#if _VOLUMES >= 2 +FRESULT f_chdrive ( + const TCHAR* path /* Drive number */ +) +{ + int vol; + + + vol = get_ldnumber(&path); + if (vol < 0) return FR_INVALID_DRIVE; + + CurrVol = (BYTE)vol; + + return FR_OK; +} +#endif + + +FRESULT f_chdir ( + const TCHAR* path /* Pointer to the directory path */ +) +{ + FRESULT res; + DIR dj; + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 0); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the path */ + FREE_BUF(); + if (res == FR_OK) { /* Follow completed */ + if (!dj.dir) { + dj.fs->cdir = dj.sclust; /* Start directory itself */ + } else { + if (dj.dir[DIR_Attr] & AM_DIR) /* Reached to the directory */ + dj.fs->cdir = ld_clust(dj.fs, dj.dir); + else + res = FR_NO_PATH; /* Reached but a file */ + } + } + if (res == FR_NO_FILE) res = FR_NO_PATH; + } + + LEAVE_FF(dj.fs, res); +} + + +#if _FS_RPATH >= 2 +FRESULT f_getcwd ( + TCHAR* buff, /* Pointer to the directory path */ + UINT len /* Size of path */ +) +{ + FRESULT res; + DIR dj; + UINT i, n; + DWORD ccl; + TCHAR *tp; + FILINFO fno; + DEFINE_NAMEBUF; + + + *buff = 0; + /* Get logical drive number */ + res = find_volume(&dj.fs, (const TCHAR**)&buff, 0); /* Get current volume */ + if (res == FR_OK) { + INIT_BUF(dj); + i = len; /* Bottom of buffer (directory stack base) */ + dj.sclust = dj.fs->cdir; /* Start to follow upper directory from current directory */ + while ((ccl = dj.sclust) != 0) { /* Repeat while current directory is a sub-directory */ + res = dir_sdi(&dj, 1); /* Get parent directory */ + if (res != FR_OK) break; + res = dir_read(&dj, 0); + if (res != FR_OK) break; + dj.sclust = ld_clust(dj.fs, dj.dir); /* Goto parent directory */ + res = dir_sdi(&dj, 0); + if (res != FR_OK) break; + do { /* Find the entry links to the child directory */ + res = dir_read(&dj, 0); + if (res != FR_OK) break; + if (ccl == ld_clust(dj.fs, dj.dir)) break; /* Found the entry */ + res = dir_next(&dj, 0); + } while (res == FR_OK); + if (res == FR_NO_FILE) res = FR_INT_ERR;/* It cannot be 'not found'. */ + if (res != FR_OK) break; +#if _USE_LFN + fno.lfname = buff; + fno.lfsize = i; +#endif + get_fileinfo(&dj, &fno); /* Get the directory name and push it to the buffer */ + tp = fno.fname; +#if _USE_LFN + if (*buff) tp = buff; +#endif + for (n = 0; tp[n]; n++) ; + if (i < n + 3) { + res = FR_NOT_ENOUGH_CORE; break; + } + while (n) buff[--i] = tp[--n]; + buff[--i] = '/'; + } + tp = buff; + if (res == FR_OK) { +#if _VOLUMES >= 2 + *tp++ = '0' + CurrVol; /* Put drive number */ + *tp++ = ':'; +#endif + if (i == len) { /* Root-directory */ + *tp++ = '/'; + } else { /* Sub-directroy */ + do /* Add stacked path str */ + *tp++ = buff[i++]; + while (i < len); + } + } + *tp = 0; + FREE_BUF(); + } + + LEAVE_FF(dj.fs, res); +} +#endif /* _FS_RPATH >= 2 */ +#endif /* _FS_RPATH >= 1 */ + + + +#if _FS_MINIMIZE <= 2 +/*-----------------------------------------------------------------------*/ +/* Seek File R/W Pointer */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_lseek ( + FIL* fp, /* Pointer to the file object */ + DWORD ofs /* File pointer from top of file */ +) +{ + FRESULT res; + DWORD clst, bcs, nsect, ifptr; +#if _USE_FASTSEEK + DWORD cl, pcl, ncl, tcl, dsc, tlen, ulen, *tbl; +#endif + + + res = validate(fp); /* Check validity of the object */ + if (res != FR_OK) LEAVE_FF(fp->fs, res); + if (fp->err) /* Check error */ + LEAVE_FF(fp->fs, (FRESULT)fp->err); + +#if _USE_FASTSEEK + if (fp->cltbl) { /* Fast seek */ + if (ofs == CREATE_LINKMAP) { /* Create CLMT */ + tbl = fp->cltbl; + tlen = *tbl++; ulen = 2; /* Given table size and required table size */ + cl = fp->sclust; /* Top of the chain */ + if (cl) { + do { + /* Get a fragment */ + tcl = cl; ncl = 0; ulen += 2; /* Top, length and used items */ + do { + pcl = cl; ncl++; + cl = get_fat(fp->fs, cl); + if (cl <= 1) ABORT(fp->fs, FR_INT_ERR); + if (cl == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + } while (cl == pcl + 1); + if (ulen <= tlen) { /* Store the length and top of the fragment */ + *tbl++ = ncl; *tbl++ = tcl; + } + } while (cl < fp->fs->n_fatent); /* Repeat until end of chain */ + } + *fp->cltbl = ulen; /* Number of items used */ + if (ulen <= tlen) + *tbl = 0; /* Terminate table */ + else + res = FR_NOT_ENOUGH_CORE; /* Given table size is smaller than required */ + + } else { /* Fast seek */ + if (ofs > fp->fsize) /* Clip offset at the file size */ + ofs = fp->fsize; + fp->fptr = ofs; /* Set file pointer */ + if (ofs) { + fp->clust = clmt_clust(fp, ofs - 1); + dsc = clust2sect(fp->fs, fp->clust); + if (!dsc) ABORT(fp->fs, FR_INT_ERR); + dsc += (ofs - 1) / SS(fp->fs) & (fp->fs->csize - 1); + if (fp->fptr % SS(fp->fs) && dsc != fp->dsect) { /* Refill sector cache if needed */ +#if !_FS_TINY +#if !_FS_READONLY + if (fp->flag & FA__DIRTY) { /* Write-back dirty sector cache */ + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); + fp->flag &= ~FA__DIRTY; + } +#endif + if (disk_read(fp->fs->drv, fp->buf.d8, dsc, 1) != RES_OK) /* Load current sector */ + ABORT(fp->fs, FR_DISK_ERR); +#endif + fp->dsect = dsc; + } + } + } + } else +#endif + + /* Normal Seek */ + { + if (ofs > fp->fsize /* In read-only mode, clip offset with the file size */ +#if !_FS_READONLY + && !(fp->flag & FA_WRITE) +#endif + ) ofs = fp->fsize; + + ifptr = fp->fptr; + fp->fptr = nsect = 0; + if (ofs) { + bcs = (DWORD)fp->fs->csize * SS(fp->fs); /* Cluster size (byte) */ + if (ifptr > 0 && + (ofs - 1) / bcs >= (ifptr - 1) / bcs) { /* When seek to same or following cluster, */ + fp->fptr = (ifptr - 1) & ~(bcs - 1); /* start from the current cluster */ + ofs -= fp->fptr; + clst = fp->clust; + } else { /* When seek to back cluster, */ + clst = fp->sclust; /* start from the first cluster */ +#if !_FS_READONLY + if (clst == 0) { /* If no cluster chain, create a new chain */ + clst = create_chain(fp->fs, 0); + if (clst == 1) ABORT(fp->fs, FR_INT_ERR); + if (clst == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + fp->sclust = clst; + } +#endif + fp->clust = clst; + } + if (clst != 0) { + while (ofs > bcs) { /* Cluster following loop */ +#if !_FS_READONLY + if (fp->flag & FA_WRITE) { /* Check if in write mode or not */ + clst = create_chain(fp->fs, clst); /* Force stretch if in write mode */ + if (clst == 0) { /* When disk gets full, clip file size */ + ofs = bcs; break; + } + } else +#endif + clst = get_fat(fp->fs, clst); /* Follow cluster chain if not in write mode */ + if (clst == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + if (clst <= 1 || clst >= fp->fs->n_fatent) ABORT(fp->fs, FR_INT_ERR); + fp->clust = clst; + fp->fptr += bcs; + ofs -= bcs; + } + fp->fptr += ofs; + if (ofs % SS(fp->fs)) { + nsect = clust2sect(fp->fs, clst); /* Current sector */ + if (!nsect) ABORT(fp->fs, FR_INT_ERR); + nsect += ofs / SS(fp->fs); + } + } + } + if (fp->fptr % SS(fp->fs) && nsect != fp->dsect) { /* Fill sector cache if needed */ +#if !_FS_TINY +#if !_FS_READONLY + if (fp->flag & FA__DIRTY) { /* Write-back dirty sector cache */ + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + ABORT(fp->fs, FR_DISK_ERR); + fp->flag &= ~FA__DIRTY; + } +#endif + if (disk_read(fp->fs->drv, fp->buf.d8, nsect, 1) != RES_OK) /* Fill sector cache */ + ABORT(fp->fs, FR_DISK_ERR); +#endif + fp->dsect = nsect; + } +#if !_FS_READONLY + if (fp->fptr > fp->fsize) { /* Set file change flag if the file size is extended */ + fp->fsize = fp->fptr; + fp->flag |= FA__WRITTEN; + } +#endif + } + + LEAVE_FF(fp->fs, res); +} + + + +#if _FS_MINIMIZE <= 1 +/*-----------------------------------------------------------------------*/ +/* Create a Directory Object */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_opendir ( + DIR* dp, /* Pointer to directory object to create */ + const TCHAR* path /* Pointer to the directory path */ +) +{ + FRESULT res; + FATFS* fs; + DEFINE_NAMEBUF; + + + if (!dp) return FR_INVALID_OBJECT; + + /* Get logical drive number */ + res = find_volume(&fs, &path, 0); + if (res == FR_OK) { + dp->fs = fs; + INIT_BUF(*dp); + res = follow_path(dp, path); /* Follow the path to the directory */ + FREE_BUF(); + if (res == FR_OK) { /* Follow completed */ + if (dp->dir) { /* It is not the origin directory itself */ + if (dp->dir[DIR_Attr] & AM_DIR) /* The object is a sub directory */ + dp->sclust = ld_clust(fs, dp->dir); + else /* The object is a file */ + res = FR_NO_PATH; + } + if (res == FR_OK) { + dp->id = fs->id; + res = dir_sdi(dp, 0); /* Rewind directory */ +#if _FS_LOCK + if (res == FR_OK) { + if (dp->sclust) { + dp->lockid = inc_lock(dp, 0); /* Lock the sub directory */ + if (!dp->lockid) + res = FR_TOO_MANY_OPEN_FILES; + } else { + dp->lockid = 0; /* Root directory need not to be locked */ + } + } +#endif + } + } + if (res == FR_NO_FILE) res = FR_NO_PATH; + } + if (res != FR_OK) dp->fs = 0; /* Invalidate the directory object if function faild */ + + LEAVE_FF(fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Close Directory */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_closedir ( + DIR *dp /* Pointer to the directory object to be closed */ +) +{ + FRESULT res; + + + res = validate(dp); + if (res == FR_OK) { +#if _FS_REENTRANT + FATFS *fs = dp->fs; +#endif +#if _FS_LOCK + if (dp->lockid) /* Decrement sub-directory open counter */ + res = dec_lock(dp->lockid); + if (res == FR_OK) +#endif + dp->fs = 0; /* Invalidate directory object */ +#if _FS_REENTRANT + unlock_fs(fs, FR_OK); /* Unlock volume */ +#endif + } + return res; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Read Directory Entries in Sequence */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_readdir ( + DIR* dp, /* Pointer to the open directory object */ + FILINFO* fno /* Pointer to file information to return */ +) +{ + FRESULT res; + DEFINE_NAMEBUF; + + + res = validate(dp); /* Check validity of the object */ + if (res == FR_OK) { + if (!fno) { + res = dir_sdi(dp, 0); /* Rewind the directory object */ + } else { + INIT_BUF(*dp); + res = dir_read(dp, 0); /* Read an item */ + if (res == FR_NO_FILE) { /* Reached end of directory */ + dp->sect = 0; + res = FR_OK; + } + if (res == FR_OK) { /* A valid entry is found */ + get_fileinfo(dp, fno); /* Get the object information */ + res = dir_next(dp, 0); /* Increment index for next */ + if (res == FR_NO_FILE) { + dp->sect = 0; + res = FR_OK; + } + } + FREE_BUF(); + } + } + + LEAVE_FF(dp->fs, res); +} + + + +#if _USE_FIND +/*-----------------------------------------------------------------------*/ +/* Find next file */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_findnext ( + DIR* dp, /* Pointer to the open directory object */ + FILINFO* fno /* Pointer to the file information structure */ +) +{ + FRESULT res; + + + for (;;) { + res = f_readdir(dp, fno); /* Get a directory item */ + if (res != FR_OK || !fno || !fno->fname[0]) break; /* Terminate if any error or end of directory */ +#if _USE_LFN + if (fno->lfname && pattern_matching(dp->pat, fno->lfname, 0, 0)) break; /* Test for LFN if exist */ +#endif + if (pattern_matching(dp->pat, fno->fname, 0, 0)) break; /* Test for SFN */ + } + return res; + +} + + + +/*-----------------------------------------------------------------------*/ +/* Find first file */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_findfirst ( + DIR* dp, /* Pointer to the blank directory object */ + FILINFO* fno, /* Pointer to the file information structure */ + const TCHAR* path, /* Pointer to the directory to open */ + const TCHAR* pattern /* Pointer to the matching pattern */ +) +{ + FRESULT res; + + + dp->pat = pattern; /* Save pointer to pattern string */ + res = f_opendir(dp, path); /* Open the target directory */ + if (res == FR_OK) + res = f_findnext(dp, fno); /* Find the first item */ + return res; +} + +#endif /* _USE_FIND */ + + + +#if _FS_MINIMIZE == 0 +/*-----------------------------------------------------------------------*/ +/* Get File Status */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_stat ( + const TCHAR* path, /* Pointer to the file path */ + FILINFO* fno /* Pointer to file information to return */ +) +{ + FRESULT res; + DIR dj; + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 0); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + if (res == FR_OK) { /* Follow completed */ + if (dj.dir) { /* Found an object */ + if (fno) get_fileinfo(&dj, fno); + } else { /* It is root directory */ + res = FR_INVALID_NAME; + } + } + FREE_BUF(); + } + + LEAVE_FF(dj.fs, res); +} + + + +#if !_FS_READONLY +/*-----------------------------------------------------------------------*/ +/* Get Number of Free Clusters */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_getfree ( + const TCHAR* path, /* Path name of the logical drive number */ + DWORD* nclst, /* Pointer to a variable to return number of free clusters */ + FATFS** fatfs /* Pointer to return pointer to corresponding file system object */ +) +{ + FRESULT res; + FATFS *fs; + DWORD n, clst, sect, stat; + UINT i; + BYTE fat, *p; + + + /* Get logical drive number */ + res = find_volume(fatfs, &path, 0); + fs = *fatfs; + if (res == FR_OK) { + /* If free_clust is valid, return it without full cluster scan */ + if (fs->free_clust <= fs->n_fatent - 2) { + *nclst = fs->free_clust; + } else { + /* Get number of free clusters */ + fat = fs->fs_type; + n = 0; + if (fat == FS_FAT12) { + clst = 2; + do { + stat = get_fat(fs, clst); + if (stat == 0xFFFFFFFF) { res = FR_DISK_ERR; break; } + if (stat == 1) { res = FR_INT_ERR; break; } + if (stat == 0) n++; + } while (++clst < fs->n_fatent); + } else { + clst = fs->n_fatent; + sect = fs->fatbase; + i = 0; p = 0; + do { + if (!i) { + res = move_window(fs, sect++); + if (res != FR_OK) break; + p = fs->win.d8; + i = SS(fs); + } + if (fat == FS_FAT16) { + if (LD_WORD(p) == 0) n++; + p += 2; i -= 2; + } else { + if ((LD_DWORD(p) & 0x0FFFFFFF) == 0) n++; + p += 4; i -= 4; + } + } while (--clst); + } + fs->free_clust = n; + fs->fsi_flag |= 1; + *nclst = n; + } + } + LEAVE_FF(fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Truncate File */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_truncate ( + FIL* fp /* Pointer to the file object */ +) +{ + FRESULT res; + DWORD ncl; + + + res = validate(fp); /* Check validity of the object */ + if (res == FR_OK) { + if (fp->err) { /* Check error */ + res = (FRESULT)fp->err; + } else { + if (!(fp->flag & FA_WRITE)) /* Check access mode */ + res = FR_DENIED; + } + } + if (res == FR_OK) { + if (fp->fsize > fp->fptr) { + fp->fsize = fp->fptr; /* Set file size to current R/W point */ + fp->flag |= FA__WRITTEN; + if (fp->fptr == 0) { /* When set file size to zero, remove entire cluster chain */ + res = remove_chain(fp->fs, fp->sclust); + fp->sclust = 0; + } else { /* When truncate a part of the file, remove remaining clusters */ + ncl = get_fat(fp->fs, fp->clust); + res = FR_OK; + if (ncl == 0xFFFFFFFF) res = FR_DISK_ERR; + if (ncl == 1) res = FR_INT_ERR; + if (res == FR_OK && ncl < fp->fs->n_fatent) { + res = put_fat(fp->fs, fp->clust, 0x0FFFFFFF); + if (res == FR_OK) res = remove_chain(fp->fs, ncl); + } + } +#if !_FS_TINY + if (res == FR_OK && (fp->flag & FA__DIRTY)) { + if (disk_write(fp->fs->drv, fp->buf.d8, fp->dsect, 1) != RES_OK) + res = FR_DISK_ERR; + else + fp->flag &= ~FA__DIRTY; + } +#endif + } + if (res != FR_OK) fp->err = (FRESULT)res; + } + + LEAVE_FF(fp->fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Delete a File or Directory */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_unlink ( + const TCHAR* path /* Pointer to the file or directory path */ +) +{ + FRESULT res; + DIR dj, sdj; + BYTE *dir; + DWORD dclst = 0; + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 1); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + if (_FS_RPATH && res == FR_OK && (dj.fn[NSFLAG] & NS_DOT)) + res = FR_INVALID_NAME; /* Cannot remove dot entry */ +#if _FS_LOCK + if (res == FR_OK) res = chk_lock(&dj, 2); /* Cannot remove open object */ +#endif + if (res == FR_OK) { /* The object is accessible */ + dir = dj.dir; + if (!dir) { + res = FR_INVALID_NAME; /* Cannot remove the origin directory */ + } else { + if (dir[DIR_Attr] & AM_RDO) + res = FR_DENIED; /* Cannot remove R/O object */ + } + if (res == FR_OK) { + dclst = ld_clust(dj.fs, dir); + if (dclst && (dir[DIR_Attr] & AM_DIR)) { /* Is it a sub-directory ? */ +#if _FS_RPATH + if (dclst == dj.fs->cdir) { /* Is it the current directory? */ + res = FR_DENIED; + } else +#endif + { + mem_cpy(&sdj, &dj, sizeof (DIR)); /* Open the sub-directory */ + sdj.sclust = dclst; + res = dir_sdi(&sdj, 2); + if (res == FR_OK) { + res = dir_read(&sdj, 0); /* Read an item (excluding dot entries) */ + if (res == FR_OK) res = FR_DENIED; /* Not empty? (cannot remove) */ + if (res == FR_NO_FILE) res = FR_OK; /* Empty? (can remove) */ + } + } + } + } + if (res == FR_OK) { + res = dir_remove(&dj); /* Remove the directory entry */ + if (res == FR_OK && dclst) /* Remove the cluster chain if exist */ + res = remove_chain(dj.fs, dclst); + if (res == FR_OK) res = sync_fs(dj.fs); + } + } + FREE_BUF(); + } + + LEAVE_FF(dj.fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Create a Directory */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_mkdir ( + const TCHAR* path /* Pointer to the directory path */ +) +{ + FRESULT res; + DIR dj; + BYTE *dir, n; + DWORD dsc, dcl, pcl, tm = GET_FATTIME(); + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 1); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + if (res == FR_OK) res = FR_EXIST; /* Any object with same name is already existing */ + if (_FS_RPATH && res == FR_NO_FILE && (dj.fn[NSFLAG] & NS_DOT)) + res = FR_INVALID_NAME; + if (res == FR_NO_FILE) { /* Can create a new directory */ + dcl = create_chain(dj.fs, 0); /* Allocate a cluster for the new directory table */ + res = FR_OK; + if (dcl == 0) res = FR_DENIED; /* No space to allocate a new cluster */ + if (dcl == 1) res = FR_INT_ERR; + if (dcl == 0xFFFFFFFF) res = FR_DISK_ERR; + if (res == FR_OK) /* Flush FAT */ + res = sync_window(dj.fs); + if (res == FR_OK) { /* Initialize the new directory table */ + dsc = clust2sect(dj.fs, dcl); + dir = dj.fs->win.d8; + mem_set(dir, 0, SS(dj.fs)); + mem_set(dir + DIR_Name, ' ', 11); /* Create "." entry */ + dir[DIR_Name] = '.'; + dir[DIR_Attr] = AM_DIR; + ST_DWORD(dir + DIR_WrtTime, tm); + st_clust(dir, dcl); + mem_cpy(dir + SZ_DIRE, dir, SZ_DIRE); /* Create ".." entry */ + dir[SZ_DIRE + 1] = '.'; pcl = dj.sclust; + if (dj.fs->fs_type == FS_FAT32 && pcl == dj.fs->dirbase) + pcl = 0; + st_clust(dir + SZ_DIRE, pcl); + for (n = dj.fs->csize; n; n--) { /* Write dot entries and clear following sectors */ + dj.fs->winsect = dsc++; + dj.fs->wflag = 1; + res = sync_window(dj.fs); + if (res != FR_OK) break; + mem_set(dir, 0, SS(dj.fs)); + } + } + if (res == FR_OK) res = dir_register(&dj); /* Register the object to the directoy */ + if (res != FR_OK) { + remove_chain(dj.fs, dcl); /* Could not register, remove cluster chain */ + } else { + dir = dj.dir; + dir[DIR_Attr] = AM_DIR; /* Attribute */ + ST_DWORD(dir + DIR_WrtTime, tm); /* Created time */ + st_clust(dir, dcl); /* Table start cluster */ + dj.fs->wflag = 1; + res = sync_fs(dj.fs); + } + } + FREE_BUF(); + } + + LEAVE_FF(dj.fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Change Attribute */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_chmod ( + const TCHAR* path, /* Pointer to the file path */ + BYTE attr, /* Attribute bits */ + BYTE mask /* Attribute mask to change */ +) +{ + FRESULT res; + DIR dj; + BYTE *dir; + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 1); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + FREE_BUF(); + if (_FS_RPATH && res == FR_OK && (dj.fn[NSFLAG] & NS_DOT)) + res = FR_INVALID_NAME; + if (res == FR_OK) { + dir = dj.dir; + if (!dir) { /* Is it a root directory? */ + res = FR_INVALID_NAME; + } else { /* File or sub directory */ + mask &= AM_RDO|AM_HID|AM_SYS|AM_ARC; /* Valid attribute mask */ + dir[DIR_Attr] = (attr & mask) | (dir[DIR_Attr] & (BYTE)~mask); /* Apply attribute change */ + dj.fs->wflag = 1; + res = sync_fs(dj.fs); + } + } + } + + LEAVE_FF(dj.fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Rename File/Directory */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_rename ( + const TCHAR* path_old, /* Pointer to the object to be renamed */ + const TCHAR* path_new /* Pointer to the new name */ +) +{ + FRESULT res; + DIR djo, djn; + BYTE buf[21], *dir; + DWORD dw; + DEFINE_NAMEBUF; + + + /* Get logical drive number of the source object */ + res = find_volume(&djo.fs, &path_old, 1); + if (res == FR_OK) { + djn.fs = djo.fs; + INIT_BUF(djo); + res = follow_path(&djo, path_old); /* Check old object */ + if (_FS_RPATH && res == FR_OK && (djo.fn[NSFLAG] & NS_DOT)) + res = FR_INVALID_NAME; +#if _FS_LOCK + if (res == FR_OK) res = chk_lock(&djo, 2); +#endif + if (res == FR_OK) { /* Old object is found */ + if (!djo.dir) { /* Is root dir? */ + res = FR_NO_FILE; + } else { + mem_cpy(buf, djo.dir + DIR_Attr, 21); /* Save information about object except name */ + mem_cpy(&djn, &djo, sizeof (DIR)); /* Duplicate the directory object */ + if (get_ldnumber(&path_new) >= 0) /* Snip drive number off and ignore it */ + res = follow_path(&djn, path_new); /* and make sure if new object name is not conflicting */ + else + res = FR_INVALID_DRIVE; + if (res == FR_OK) res = FR_EXIST; /* The new object name is already existing */ + if (res == FR_NO_FILE) { /* It is a valid path and no name collision */ + res = dir_register(&djn); /* Register the new entry */ + if (res == FR_OK) { +/* Start of critical section where any interruption can cause a cross-link */ + dir = djn.dir; /* Copy information about object except name */ + mem_cpy(dir + 13, buf + 2, 19); + dir[DIR_Attr] = buf[0] | AM_ARC; + djo.fs->wflag = 1; + if ((dir[DIR_Attr] & AM_DIR) && djo.sclust != djn.sclust) { /* Update .. entry in the sub-directory if needed */ + dw = clust2sect(djo.fs, ld_clust(djo.fs, dir)); + if (!dw) { + res = FR_INT_ERR; + } else { + res = move_window(djo.fs, dw); + dir = djo.fs->win.d8 + SZ_DIRE * 1; /* Ptr to .. entry */ + if (res == FR_OK && dir[1] == '.') { + st_clust(dir, djn.sclust); + djo.fs->wflag = 1; + } + } + } + if (res == FR_OK) { + res = dir_remove(&djo); /* Remove old entry */ + if (res == FR_OK) + res = sync_fs(djo.fs); + } +/* End of critical section */ + } + } + } + } + FREE_BUF(); + } + + LEAVE_FF(djo.fs, res); +} + + + + +/*-----------------------------------------------------------------------*/ +/* Change Timestamp */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_utime ( + const TCHAR* path, /* Pointer to the file/directory name */ + const FILINFO* fno /* Pointer to the time stamp to be set */ +) +{ + FRESULT res; + DIR dj; + BYTE *dir; + DEFINE_NAMEBUF; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 1); + if (res == FR_OK) { + INIT_BUF(dj); + res = follow_path(&dj, path); /* Follow the file path */ + FREE_BUF(); + if (_FS_RPATH && res == FR_OK && (dj.fn[NSFLAG] & NS_DOT)) + res = FR_INVALID_NAME; + if (res == FR_OK) { + dir = dj.dir; + if (!dir) { /* Root directory */ + res = FR_INVALID_NAME; + } else { /* File or sub-directory */ + ST_WORD(dir + DIR_WrtTime, fno->ftime); + ST_WORD(dir + DIR_WrtDate, fno->fdate); + dj.fs->wflag = 1; + res = sync_fs(dj.fs); + } + } + } + + LEAVE_FF(dj.fs, res); +} + +#endif /* !_FS_READONLY */ +#endif /* _FS_MINIMIZE == 0 */ +#endif /* _FS_MINIMIZE <= 1 */ +#endif /* _FS_MINIMIZE <= 2 */ + + + + +#if _USE_LABEL +/*-----------------------------------------------------------------------*/ +/* Get volume label */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_getlabel ( + const TCHAR* path, /* Path name of the logical drive number */ + TCHAR* label, /* Pointer to a buffer to return the volume label */ + DWORD* vsn /* Pointer to a variable to return the volume serial number */ +) +{ + FRESULT res; + DIR dj; + UINT i, j; +#if _USE_LFN && _LFN_UNICODE + WCHAR w; +#endif + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &path, 0); + + /* Get volume label */ + if (res == FR_OK && label) { + dj.sclust = 0; /* Open root directory */ + res = dir_sdi(&dj, 0); + if (res == FR_OK) { + res = dir_read(&dj, 1); /* Get an entry with AM_VOL */ + if (res == FR_OK) { /* A volume label is exist */ +#if _USE_LFN && _LFN_UNICODE + i = j = 0; + do { + w = (i < 11) ? dj.dir[i++] : ' '; + if (IsDBCS1(w) && i < 11 && IsDBCS2(dj.dir[i])) + w = w << 8 | dj.dir[i++]; + label[j++] = ff_convert(w, 1); /* OEM -> Unicode */ + } while (j < 11); +#else + mem_cpy(label, dj.dir, 11); +#endif + j = 11; + do { + label[j] = 0; + if (!j) break; + } while (label[--j] == ' '); + } + if (res == FR_NO_FILE) { /* No label, return nul string */ + label[0] = 0; + res = FR_OK; + } + } + } + + /* Get volume serial number */ + if (res == FR_OK && vsn) { + res = move_window(dj.fs, dj.fs->volbase); + if (res == FR_OK) { + i = dj.fs->fs_type == FS_FAT32 ? BS_VolID32 : BS_VolID; + *vsn = LD_DWORD(&dj.fs->win.d8[i]); + } + } + + LEAVE_FF(dj.fs, res); +} + + + +#if !_FS_READONLY +/*-----------------------------------------------------------------------*/ +/* Set volume label */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_setlabel ( + const TCHAR* label /* Pointer to the volume label to set */ +) +{ + FRESULT res; + DIR dj; + BYTE vn[11]; + UINT i, j, sl; + WCHAR w; + DWORD tm; + + + /* Get logical drive number */ + res = find_volume(&dj.fs, &label, 1); + if (res) LEAVE_FF(dj.fs, res); + + /* Create a volume label in directory form */ + vn[0] = 0; + for (sl = 0; label[sl]; sl++) ; /* Get name length */ + for ( ; sl && label[sl - 1] == ' '; sl--) ; /* Remove trailing spaces */ + if (sl) { /* Create volume label in directory form */ + i = j = 0; + do { +#if _USE_LFN && _LFN_UNICODE + w = ff_convert(ff_wtoupper(label[i++]), 0); +#else + w = (BYTE)label[i++]; + if (IsDBCS1(w)) + w = (j < 10 && i < sl && IsDBCS2(label[i])) ? w << 8 | (BYTE)label[i++] : 0; +#if _USE_LFN + w = ff_convert(ff_wtoupper(ff_convert(w, 1)), 0); +#else + if (IsLower(w)) w -= 0x20; /* To upper ASCII characters */ +#ifdef _EXCVT + if (w >= 0x80) w = ExCvt[w - 0x80]; /* To upper extended characters (SBCS cfg) */ +#else + if (!_DF1S && w >= 0x80) w = 0; /* Reject extended characters (ASCII cfg) */ +#endif +#endif +#endif + if (!w || chk_chr("\"*+,.:;<=>\?[]|\x7F", w) || j >= (UINT)((w >= 0x100) ? 10 : 11)) /* Reject invalid characters for volume label */ + LEAVE_FF(dj.fs, FR_INVALID_NAME); + if (w >= 0x100) vn[j++] = (BYTE)(w >> 8); + vn[j++] = (BYTE)w; + } while (i < sl); + while (j < 11) vn[j++] = ' '; /* Fill remaining name field */ + if (vn[0] == DDEM) LEAVE_FF(dj.fs, FR_INVALID_NAME); /* Reject illegal name (heading DDEM) */ + } + + /* Set volume label */ + dj.sclust = 0; /* Open root directory */ + res = dir_sdi(&dj, 0); + if (res == FR_OK) { + res = dir_read(&dj, 1); /* Get an entry with AM_VOL */ + if (res == FR_OK) { /* A volume label is found */ + if (vn[0]) { + mem_cpy(dj.dir, vn, 11); /* Change the volume label name */ + tm = GET_FATTIME(); + ST_DWORD(dj.dir + DIR_WrtTime, tm); + } else { + dj.dir[0] = DDEM; /* Remove the volume label */ + } + dj.fs->wflag = 1; + res = sync_fs(dj.fs); + } else { /* No volume label is found or error */ + if (res == FR_NO_FILE) { + res = FR_OK; + if (vn[0]) { /* Create volume label as new */ + res = dir_alloc(&dj, 1); /* Allocate an entry for volume label */ + if (res == FR_OK) { + mem_set(dj.dir, 0, SZ_DIRE); /* Set volume label */ + mem_cpy(dj.dir, vn, 11); + dj.dir[DIR_Attr] = AM_VOL; + tm = GET_FATTIME(); + ST_DWORD(dj.dir + DIR_WrtTime, tm); + dj.fs->wflag = 1; + res = sync_fs(dj.fs); + } + } + } + } + } + + LEAVE_FF(dj.fs, res); +} + +#endif /* !_FS_READONLY */ +#endif /* _USE_LABEL */ + + + +/*-----------------------------------------------------------------------*/ +/* Forward data to the stream directly (available on only tiny cfg) */ +/*-----------------------------------------------------------------------*/ +#if _USE_FORWARD && _FS_TINY + +FRESULT f_forward ( + FIL* fp, /* Pointer to the file object */ + UINT (*func)(const BYTE*,UINT), /* Pointer to the streaming function */ + UINT btf, /* Number of bytes to forward */ + UINT* bf /* Pointer to number of bytes forwarded */ +) +{ + FRESULT res; + DWORD remain, clst, sect; + UINT rcnt; + BYTE csect; + + + *bf = 0; /* Clear transfer byte counter */ + + res = validate(fp); /* Check validity of the object */ + if (res != FR_OK) LEAVE_FF(fp->fs, res); + if (fp->err) /* Check error */ + LEAVE_FF(fp->fs, (FRESULT)fp->err); + if (!(fp->flag & FA_READ)) /* Check access mode */ + LEAVE_FF(fp->fs, FR_DENIED); + + remain = fp->fsize - fp->fptr; + if (btf > remain) btf = (UINT)remain; /* Truncate btf by remaining bytes */ + + for ( ; btf && (*func)(0, 0); /* Repeat until all data transferred or stream becomes busy */ + fp->fptr += rcnt, *bf += rcnt, btf -= rcnt) { + csect = (BYTE)(fp->fptr / SS(fp->fs) & (fp->fs->csize - 1)); /* Sector offset in the cluster */ + if ((fp->fptr % SS(fp->fs)) == 0) { /* On the sector boundary? */ + if (!csect) { /* On the cluster boundary? */ + clst = (fp->fptr == 0) ? /* On the top of the file? */ + fp->sclust : get_fat(fp->fs, fp->clust); + if (clst <= 1) ABORT(fp->fs, FR_INT_ERR); + if (clst == 0xFFFFFFFF) ABORT(fp->fs, FR_DISK_ERR); + fp->clust = clst; /* Update current cluster */ + } + } + sect = clust2sect(fp->fs, fp->clust); /* Get current data sector */ + if (!sect) ABORT(fp->fs, FR_INT_ERR); + sect += csect; + if (move_window(fp->fs, sect) != FR_OK) /* Move sector window */ + ABORT(fp->fs, FR_DISK_ERR); + fp->dsect = sect; + rcnt = SS(fp->fs) - (WORD)(fp->fptr % SS(fp->fs)); /* Forward data from sector window */ + if (rcnt > btf) rcnt = btf; + rcnt = (*func)(&fp->fs->win.d8[(WORD)fp->fptr % SS(fp->fs)], rcnt); + if (!rcnt) ABORT(fp->fs, FR_INT_ERR); + } + + LEAVE_FF(fp->fs, FR_OK); +} +#endif /* _USE_FORWARD */ + + + +#if _USE_MKFS && !_FS_READONLY +/*-----------------------------------------------------------------------*/ +/* Create file system on the logical drive */ +/*-----------------------------------------------------------------------*/ +#define N_ROOTDIR 512 /* Number of root directory entries for FAT12/16 */ +#define N_FATS 1 /* Number of FATs (1 or 2) */ + + +FRESULT f_mkfs ( + const TCHAR* path, /* Logical drive number */ + BYTE sfd, /* Partitioning rule 0:FDISK, 1:SFD */ + UINT au /* Size of allocation unit in unit of byte or sector */ +) +{ + static const WORD vst[] = { 1024, 512, 256, 128, 64, 32, 16, 8, 4, 2, 0}; + static const WORD cst[] = {32768, 16384, 8192, 4096, 2048, 16384, 8192, 4096, 2048, 1024, 512}; + int vol; + BYTE fmt, md, sys, *tbl, pdrv, part; + DWORD n_clst, vs, n, wsect; + UINT i; + DWORD b_vol, b_fat, b_dir, b_data; /* LBA */ + DWORD n_vol, n_rsv, n_fat, n_dir; /* Size */ + FATFS *fs; + DSTATUS stat; +#if _USE_TRIM + DWORD eb[2]; +#endif + + + /* Check mounted drive and clear work area */ + if (sfd > 1) return FR_INVALID_PARAMETER; + vol = get_ldnumber(&path); + if (vol < 0) return FR_INVALID_DRIVE; + fs = FatFs[vol]; + if (!fs) return FR_NOT_ENABLED; + fs->fs_type = 0; + pdrv = LD2PD(vol); /* Physical drive */ + part = LD2PT(vol); /* Partition (0:auto detect, 1-4:get from partition table)*/ + + /* Get disk statics */ + stat = disk_initialize(pdrv); + if (stat & STA_NOINIT) return FR_NOT_READY; + if (stat & STA_PROTECT) return FR_WRITE_PROTECTED; +#if _MAX_SS != _MIN_SS /* Get disk sector size */ + if (disk_ioctl(pdrv, GET_SECTOR_SIZE, &SS(fs)) != RES_OK || SS(fs) > _MAX_SS || SS(fs) < _MIN_SS) + return FR_DISK_ERR; +#endif + if (_MULTI_PARTITION && part) { + /* Get partition information from partition table in the MBR */ + if (disk_read(pdrv, fs->win.d8, 0, 1) != RES_OK) return FR_DISK_ERR; + if (LD_WORD(fs->win.d8 + BS_55AA) != 0xAA55) return FR_MKFS_ABORTED; + tbl = &fs->win.d8[MBR_Table + (part - 1) * SZ_PTE]; + if (!tbl[4]) return FR_MKFS_ABORTED; /* No partition? */ + b_vol = LD_DWORD(tbl + 8); /* Volume start sector */ + n_vol = LD_DWORD(tbl + 12); /* Volume size */ + } else { + /* Create a partition in this function */ + if (disk_ioctl(pdrv, GET_SECTOR_COUNT, &n_vol) != RES_OK || n_vol < 128) + return FR_DISK_ERR; + b_vol = (sfd) ? 0 : 63; /* Volume start sector */ + n_vol -= b_vol; /* Volume size */ + } + + if (au & (au - 1)) au = 0; + if (!au) { /* AU auto selection */ + vs = n_vol / (2000 / (SS(fs) / 512)); + for (i = 0; vs < vst[i]; i++) ; + au = cst[i]; + } + if (au >= _MIN_SS) au /= SS(fs); /* Number of sectors per cluster */ + if (!au) au = 1; + if (au > 128) au = 128; + + /* Pre-compute number of clusters and FAT sub-type */ + n_clst = n_vol / au; + fmt = FS_FAT12; + if (n_clst >= MIN_FAT16) fmt = FS_FAT16; + if (n_clst >= MIN_FAT32) fmt = FS_FAT32; + + /* Determine offset and size of FAT structure */ + if (fmt == FS_FAT32) { + n_fat = ((n_clst * 4) + 8 + SS(fs) - 1) / SS(fs); + n_rsv = 32; + n_dir = 0; + } else { + n_fat = (fmt == FS_FAT12) ? (n_clst * 3 + 1) / 2 + 3 : (n_clst * 2) + 4; + n_fat = (n_fat + SS(fs) - 1) / SS(fs); + n_rsv = 1; + n_dir = (DWORD)N_ROOTDIR * SZ_DIRE / SS(fs); + } + b_fat = b_vol + n_rsv; /* FAT area start sector */ + b_dir = b_fat + n_fat * N_FATS; /* Directory area start sector */ + b_data = b_dir + n_dir; /* Data area start sector */ + if (n_vol < b_data + au - b_vol) return FR_MKFS_ABORTED; /* Too small volume */ + + /* Align data start sector to erase block boundary (for flash memory media) */ + if (disk_ioctl(pdrv, GET_BLOCK_SIZE, &n) != RES_OK || !n || n > 32768) n = 1; + n = (b_data + n - 1) & ~(n - 1); /* Next nearest erase block from current data start */ + n = (n - b_data) / N_FATS; + if (fmt == FS_FAT32) { /* FAT32: Move FAT offset */ + n_rsv += n; + b_fat += n; + } else { /* FAT12/16: Expand FAT size */ + n_fat += n; + } + + /* Determine number of clusters and final check of validity of the FAT sub-type */ + n_clst = (n_vol - n_rsv - n_fat * N_FATS - n_dir) / au; + if ( (fmt == FS_FAT16 && n_clst < MIN_FAT16) + || (fmt == FS_FAT32 && n_clst < MIN_FAT32)) + return FR_MKFS_ABORTED; + + /* Determine system ID in the partition table */ + if (fmt == FS_FAT32) { + sys = 0x0C; /* FAT32X */ + } else { + if (fmt == FS_FAT12 && n_vol < 0x10000) { + sys = 0x01; /* FAT12(<65536) */ + } else { + sys = (n_vol < 0x10000) ? 0x04 : 0x06; /* FAT16(<65536) : FAT12/16(>=65536) */ + } + } + + if (_MULTI_PARTITION && part) { + /* Update system ID in the partition table */ + tbl = &fs->win.d8[MBR_Table + (part - 1) * SZ_PTE]; + tbl[4] = sys; + if (disk_write(pdrv, fs->win.d8, 0, 1) != RES_OK) /* Write it to teh MBR */ + return FR_DISK_ERR; + md = 0xF8; + } else { + if (sfd) { /* No partition table (SFD) */ + md = 0xF0; + } else { /* Create partition table (FDISK) */ + mem_set(fs->win.d8, 0, SS(fs)); + tbl = fs->win.d8 + MBR_Table; /* Create partition table for single partition in the drive */ + tbl[1] = 1; /* Partition start head */ + tbl[2] = 1; /* Partition start sector */ + tbl[3] = 0; /* Partition start cylinder */ + tbl[4] = sys; /* System type */ + tbl[5] = 254; /* Partition end head */ + n = (b_vol + n_vol) / 63 / 255; + tbl[6] = (BYTE)(n >> 2 | 63); /* Partition end sector */ + tbl[7] = (BYTE)n; /* End cylinder */ + ST_DWORD(tbl + 8, 63); /* Partition start in LBA */ + ST_DWORD(tbl + 12, n_vol); /* Partition size in LBA */ + ST_WORD(fs->win.d8 + BS_55AA, 0xAA55); /* MBR signature */ + if (disk_write(pdrv, fs->win.d8, 0, 1) != RES_OK) /* Write it to the MBR */ + return FR_DISK_ERR; + md = 0xF8; + } + } + + /* Create BPB in the VBR */ + tbl = fs->win.d8; /* Clear sector */ + mem_set(tbl, 0, SS(fs)); + mem_cpy(tbl, "\xEB\xFE\x90" "MSDOS5.0", 11);/* Boot jump code, OEM name */ + i = SS(fs); /* Sector size */ + ST_WORD(tbl + BPB_BytsPerSec, i); + tbl[BPB_SecPerClus] = (BYTE)au; /* Sectors per cluster */ + ST_WORD(tbl + BPB_RsvdSecCnt, n_rsv); /* Reserved sectors */ + tbl[BPB_NumFATs] = N_FATS; /* Number of FATs */ + i = (fmt == FS_FAT32) ? 0 : N_ROOTDIR; /* Number of root directory entries */ + ST_WORD(tbl + BPB_RootEntCnt, i); + if (n_vol < 0x10000) { /* Number of total sectors */ + ST_WORD(tbl + BPB_TotSec16, n_vol); + } else { + ST_DWORD(tbl + BPB_TotSec32, n_vol); + } + tbl[BPB_Media] = md; /* Media descriptor */ + ST_WORD(tbl + BPB_SecPerTrk, 63); /* Number of sectors per track */ + ST_WORD(tbl + BPB_NumHeads, 255); /* Number of heads */ + ST_DWORD(tbl + BPB_HiddSec, b_vol); /* Hidden sectors */ + n = GET_FATTIME(); /* Use current time as VSN */ + if (fmt == FS_FAT32) { + ST_DWORD(tbl + BS_VolID32, n); /* VSN */ + ST_DWORD(tbl + BPB_FATSz32, n_fat); /* Number of sectors per FAT */ + ST_DWORD(tbl + BPB_RootClus, 2); /* Root directory start cluster (2) */ + ST_WORD(tbl + BPB_FSInfo, 1); /* FSINFO record offset (VBR + 1) */ + ST_WORD(tbl + BPB_BkBootSec, 6); /* Backup boot record offset (VBR + 6) */ + tbl[BS_DrvNum32] = 0x80; /* Drive number */ + tbl[BS_BootSig32] = 0x29; /* Extended boot signature */ + mem_cpy(tbl + BS_VolLab32, "NO NAME " "FAT32 ", 19); /* Volume label, FAT signature */ + } else { + ST_DWORD(tbl + BS_VolID, n); /* VSN */ + ST_WORD(tbl + BPB_FATSz16, n_fat); /* Number of sectors per FAT */ + tbl[BS_DrvNum] = 0x80; /* Drive number */ + tbl[BS_BootSig] = 0x29; /* Extended boot signature */ + mem_cpy(tbl + BS_VolLab, "NO NAME " "FAT ", 19); /* Volume label, FAT signature */ + } + ST_WORD(tbl + BS_55AA, 0xAA55); /* Signature (Offset is fixed here regardless of sector size) */ + if (disk_write(pdrv, tbl, b_vol, 1) != RES_OK) /* Write it to the VBR sector */ + return FR_DISK_ERR; + if (fmt == FS_FAT32) /* Write backup VBR if needed (VBR + 6) */ + disk_write(pdrv, tbl, b_vol + 6, 1); + + /* Initialize FAT area */ + wsect = b_fat; + for (i = 0; i < N_FATS; i++) { /* Initialize each FAT copy */ + mem_set(tbl, 0, SS(fs)); /* 1st sector of the FAT */ + n = md; /* Media descriptor byte */ + if (fmt != FS_FAT32) { + n |= (fmt == FS_FAT12) ? 0x00FFFF00 : 0xFFFFFF00; + ST_DWORD(tbl + 0, n); /* Reserve cluster #0-1 (FAT12/16) */ + } else { + n |= 0xFFFFFF00; + ST_DWORD(tbl + 0, n); /* Reserve cluster #0-1 (FAT32) */ + ST_DWORD(tbl + 4, 0xFFFFFFFF); + ST_DWORD(tbl + 8, 0x0FFFFFFF); /* Reserve cluster #2 for root directory */ + } + if (disk_write(pdrv, tbl, wsect++, 1) != RES_OK) + return FR_DISK_ERR; + mem_set(tbl, 0, SS(fs)); /* Fill following FAT entries with zero */ + for (n = 1; n < n_fat; n++) { /* This loop may take a time on FAT32 volume due to many single sector writes */ + if (disk_write(pdrv, tbl, wsect++, 1) != RES_OK) + return FR_DISK_ERR; + } + } + + /* Initialize root directory */ + i = (fmt == FS_FAT32) ? au : (UINT)n_dir; + do { + if (disk_write(pdrv, tbl, wsect++, 1) != RES_OK) + return FR_DISK_ERR; + } while (--i); + +#if _USE_TRIM /* Erase data area if needed */ + { + eb[0] = wsect; eb[1] = wsect + (n_clst - ((fmt == FS_FAT32) ? 1 : 0)) * au - 1; + disk_ioctl(pdrv, CTRL_TRIM, eb); + } +#endif + + /* Create FSINFO if needed */ + if (fmt == FS_FAT32) { + ST_DWORD(tbl + FSI_LeadSig, 0x41615252); + ST_DWORD(tbl + FSI_StrucSig, 0x61417272); + ST_DWORD(tbl + FSI_Free_Count, n_clst - 1); /* Number of free clusters */ + ST_DWORD(tbl + FSI_Nxt_Free, 2); /* Last allocated cluster# */ + ST_WORD(tbl + BS_55AA, 0xAA55); + disk_write(pdrv, tbl, b_vol + 1, 1); /* Write original (VBR + 1) */ + disk_write(pdrv, tbl, b_vol + 7, 1); /* Write backup (VBR + 7) */ + } + + return (disk_ioctl(pdrv, CTRL_SYNC, 0) == RES_OK) ? FR_OK : FR_DISK_ERR; +} + + + +#if _MULTI_PARTITION +/*-----------------------------------------------------------------------*/ +/* Create partition table on the physical drive */ +/*-----------------------------------------------------------------------*/ + +FRESULT f_fdisk ( + BYTE pdrv, /* Physical drive number */ + const DWORD szt[], /* Pointer to the size table for each partitions */ + void* work /* Pointer to the working buffer */ +) +{ + UINT i, n, sz_cyl, tot_cyl, b_cyl, e_cyl, p_cyl; + BYTE s_hd, e_hd, *p, *buf = (BYTE*)work; + DSTATUS stat; + DWORD sz_disk, sz_part, s_part; + + + stat = disk_initialize(pdrv); + if (stat & STA_NOINIT) return FR_NOT_READY; + if (stat & STA_PROTECT) return FR_WRITE_PROTECTED; + if (disk_ioctl(pdrv, GET_SECTOR_COUNT, &sz_disk)) return FR_DISK_ERR; + + /* Determine CHS in the table regardless of the drive geometry */ + for (n = 16; n < 256 && sz_disk / n / 63 > 1024; n *= 2) ; + if (n == 256) n--; + e_hd = n - 1; + sz_cyl = 63 * n; + tot_cyl = sz_disk / sz_cyl; + + /* Create partition table */ + mem_set(buf, 0, _MAX_SS); + p = buf + MBR_Table; b_cyl = 0; + for (i = 0; i < 4; i++, p += SZ_PTE) { + p_cyl = (szt[i] <= 100U) ? (DWORD)tot_cyl * szt[i] / 100 : szt[i] / sz_cyl; + if (!p_cyl) continue; + s_part = (DWORD)sz_cyl * b_cyl; + sz_part = (DWORD)sz_cyl * p_cyl; + if (i == 0) { /* Exclude first track of cylinder 0 */ + s_hd = 1; + s_part += 63; sz_part -= 63; + } else { + s_hd = 0; + } + e_cyl = b_cyl + p_cyl - 1; + if (e_cyl >= tot_cyl) return FR_INVALID_PARAMETER; + + /* Set partition table */ + p[1] = s_hd; /* Start head */ + p[2] = (BYTE)((b_cyl >> 2) + 1); /* Start sector */ + p[3] = (BYTE)b_cyl; /* Start cylinder */ + p[4] = 0x06; /* System type (temporary setting) */ + p[5] = e_hd; /* End head */ + p[6] = (BYTE)((e_cyl >> 2) + 63); /* End sector */ + p[7] = (BYTE)e_cyl; /* End cylinder */ + ST_DWORD(p + 8, s_part); /* Start sector in LBA */ + ST_DWORD(p + 12, sz_part); /* Partition size */ + + /* Next partition */ + b_cyl += p_cyl; + } + ST_WORD(p, 0xAA55); + + /* Write it to the MBR */ + return (disk_write(pdrv, buf, 0, 1) != RES_OK || disk_ioctl(pdrv, CTRL_SYNC, 0) != RES_OK) ? FR_DISK_ERR : FR_OK; +} + + +#endif /* _MULTI_PARTITION */ +#endif /* _USE_MKFS && !_FS_READONLY */ + + + + +#if _USE_STRFUNC +/*-----------------------------------------------------------------------*/ +/* Get a string from the file */ +/*-----------------------------------------------------------------------*/ + +TCHAR* f_gets ( + TCHAR* buff, /* Pointer to the string buffer to read */ + int len, /* Size of string buffer (characters) */ + FIL* fp /* Pointer to the file object */ +) +{ + int n = 0; + TCHAR c, *p = buff; + BYTE s[2]; + UINT rc; + + + while (n < len - 1) { /* Read characters until buffer gets filled */ +#if _USE_LFN && _LFN_UNICODE +#if _STRF_ENCODE == 3 /* Read a character in UTF-8 */ + f_read(fp, s, 1, &rc); + if (rc != 1) break; + c = s[0]; + if (c >= 0x80) { + if (c < 0xC0) continue; /* Skip stray trailer */ + if (c < 0xE0) { /* Two-byte sequence */ + f_read(fp, s, 1, &rc); + if (rc != 1) break; + c = (c & 0x1F) << 6 | (s[0] & 0x3F); + if (c < 0x80) c = '?'; + } else { + if (c < 0xF0) { /* Three-byte sequence */ + f_read(fp, s, 2, &rc); + if (rc != 2) break; + c = c << 12 | (s[0] & 0x3F) << 6 | (s[1] & 0x3F); + if (c < 0x800) c = '?'; + } else { /* Reject four-byte sequence */ + c = '?'; + } + } + } +#elif _STRF_ENCODE == 2 /* Read a character in UTF-16BE */ + f_read(fp, s, 2, &rc); + if (rc != 2) break; + c = s[1] + (s[0] << 8); +#elif _STRF_ENCODE == 1 /* Read a character in UTF-16LE */ + f_read(fp, s, 2, &rc); + if (rc != 2) break; + c = s[0] + (s[1] << 8); +#else /* Read a character in ANSI/OEM */ + f_read(fp, s, 1, &rc); + if (rc != 1) break; + c = s[0]; + if (IsDBCS1(c)) { + f_read(fp, s, 1, &rc); + if (rc != 1) break; + c = (c << 8) + s[0]; + } + c = ff_convert(c, 1); /* OEM -> Unicode */ + if (!c) c = '?'; +#endif +#else /* Read a character without conversion */ + f_read(fp, s, 1, &rc); + if (rc != 1) break; + c = s[0]; +#endif + if (_USE_STRFUNC == 2 && c == '\r') continue; /* Strip '\r' */ + *p++ = c; + n++; + if (c == '\n') break; /* Break on EOL */ + } + *p = 0; + return n ? buff : 0; /* When no data read (eof or error), return with error. */ +} + + + + +#if !_FS_READONLY +#include +/*-----------------------------------------------------------------------*/ +/* Put a character to the file */ +/*-----------------------------------------------------------------------*/ + +typedef struct { + FIL* fp; + int idx, nchr; + BYTE buf[64]; +} putbuff; + + +static +void putc_bfd ( + putbuff* pb, + TCHAR c +) +{ + UINT bw; + int i; + + + if (_USE_STRFUNC == 2 && c == '\n') /* LF -> CRLF conversion */ + putc_bfd(pb, '\r'); + + i = pb->idx; /* Buffer write index (-1:error) */ + if (i < 0) return; + +#if _USE_LFN && _LFN_UNICODE +#if _STRF_ENCODE == 3 /* Write a character in UTF-8 */ + if (c < 0x80) { /* 7-bit */ + pb->buf[i++] = (BYTE)c; + } else { + if (c < 0x800) { /* 11-bit */ + pb->buf[i++] = (BYTE)(0xC0 | c >> 6); + } else { /* 16-bit */ + pb->buf[i++] = (BYTE)(0xE0 | c >> 12); + pb->buf[i++] = (BYTE)(0x80 | (c >> 6 & 0x3F)); + } + pb->buf[i++] = (BYTE)(0x80 | (c & 0x3F)); + } +#elif _STRF_ENCODE == 2 /* Write a character in UTF-16BE */ + pb->buf[i++] = (BYTE)(c >> 8); + pb->buf[i++] = (BYTE)c; +#elif _STRF_ENCODE == 1 /* Write a character in UTF-16LE */ + pb->buf[i++] = (BYTE)c; + pb->buf[i++] = (BYTE)(c >> 8); +#else /* Write a character in ANSI/OEM */ + c = ff_convert(c, 0); /* Unicode -> OEM */ + if (!c) c = '?'; + if (c >= 0x100) + pb->buf[i++] = (BYTE)(c >> 8); + pb->buf[i++] = (BYTE)c; +#endif +#else /* Write a character without conversion */ + pb->buf[i++] = (BYTE)c; +#endif + + if (i >= (int)(sizeof pb->buf) - 3) { /* Write buffered characters to the file */ + f_write(pb->fp, pb->buf, (UINT)i, &bw); + i = (bw == (UINT)i) ? 0 : -1; + } + pb->idx = i; + pb->nchr++; +} + + + +int f_putc ( + TCHAR c, /* A character to be output */ + FIL* fp /* Pointer to the file object */ +) +{ + putbuff pb; + UINT nw; + + + pb.fp = fp; /* Initialize output buffer */ + pb.nchr = pb.idx = 0; + + putc_bfd(&pb, c); /* Put a character */ + + if ( pb.idx >= 0 /* Flush buffered characters to the file */ + && f_write(pb.fp, pb.buf, (UINT)pb.idx, &nw) == FR_OK + && (UINT)pb.idx == nw) return pb.nchr; + return EOF; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Put a string to the file */ +/*-----------------------------------------------------------------------*/ + +int f_puts ( + const TCHAR* str, /* Pointer to the string to be output */ + FIL* fp /* Pointer to the file object */ +) +{ + putbuff pb; + UINT nw; + + + pb.fp = fp; /* Initialize output buffer */ + pb.nchr = pb.idx = 0; + + while (*str) /* Put the string */ + putc_bfd(&pb, *str++); + + if ( pb.idx >= 0 /* Flush buffered characters to the file */ + && f_write(pb.fp, pb.buf, (UINT)pb.idx, &nw) == FR_OK + && (UINT)pb.idx == nw) return pb.nchr; + return EOF; +} + + + + +/*-----------------------------------------------------------------------*/ +/* Put a formatted string to the file */ +/*-----------------------------------------------------------------------*/ + +int f_printf ( + FIL* fp, /* Pointer to the file object */ + const TCHAR* fmt, /* Pointer to the format string */ + ... /* Optional arguments... */ +) +{ + va_list arp; + BYTE f, r; + UINT nw, i, j, w; + DWORD v; + TCHAR c, d, s[16], *p; + putbuff pb; + + + pb.fp = fp; /* Initialize output buffer */ + pb.nchr = pb.idx = 0; + + va_start(arp, fmt); + + for (;;) { + c = *fmt++; + if (c == 0) break; /* End of string */ + if (c != '%') { /* Non escape character */ + putc_bfd(&pb, c); + continue; + } + w = f = 0; + c = *fmt++; + if (c == '0') { /* Flag: '0' padding */ + f = 1; c = *fmt++; + } else { + if (c == '-') { /* Flag: left justified */ + f = 2; c = *fmt++; + } + } + while (IsDigit(c)) { /* Precision */ + w = w * 10 + c - '0'; + c = *fmt++; + } + if (c == 'l' || c == 'L') { /* Prefix: Size is long int */ + f |= 4; c = *fmt++; + } + if (!c) break; + d = c; + if (IsLower(d)) d -= 0x20; + switch (d) { /* Type is... */ + case 'S' : /* String */ + p = va_arg(arp, TCHAR*); + for (j = 0; p[j]; j++) ; + if (!(f & 2)) { + while (j++ < w) putc_bfd(&pb, ' '); + } + while (*p) putc_bfd(&pb, *p++); + while (j++ < w) putc_bfd(&pb, ' '); + continue; + case 'C' : /* Character */ + putc_bfd(&pb, (TCHAR)va_arg(arp, int)); continue; + case 'B' : /* Binary */ + r = 2; break; + case 'O' : /* Octal */ + r = 8; break; + case 'D' : /* Signed decimal */ + case 'U' : /* Unsigned decimal */ + r = 10; break; + case 'X' : /* Hexdecimal */ + r = 16; break; + default: /* Unknown type (pass-through) */ + putc_bfd(&pb, c); continue; + } + + /* Get an argument and put it in numeral */ + v = (f & 4) ? (DWORD)va_arg(arp, long) : ((d == 'D') ? (DWORD)(long)va_arg(arp, int) : (DWORD)va_arg(arp, unsigned int)); + if (d == 'D' && (v & 0x80000000)) { + v = 0 - v; + f |= 8; + } + i = 0; + do { + d = (TCHAR)(v % r); v /= r; + if (d > 9) d += (c == 'x') ? 0x27 : 0x07; + s[i++] = d + '0'; + } while (v && i < sizeof s / sizeof s[0]); + if (f & 8) s[i++] = '-'; + j = i; d = (f & 1) ? '0' : ' '; + while (!(f & 2) && j++ < w) putc_bfd(&pb, d); + do putc_bfd(&pb, s[--i]); while (i); + while (j++ < w) putc_bfd(&pb, d); + } + + va_end(arp); + + if ( pb.idx >= 0 /* Flush buffered characters to the file */ + && f_write(pb.fp, pb.buf, (UINT)pb.idx, &nw) == FR_OK + && (UINT)pb.idx == nw) return pb.nchr; + return EOF; +} + +#endif /* !_FS_READONLY */ +#endif /* _USE_STRFUNC */ diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/ff.h b/Project/Application/Middlewares/Third_Party/FatFs/src/ff.h new file mode 100644 index 0000000..6d18b12 --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/ff.h @@ -0,0 +1,364 @@ +/*---------------------------------------------------------------------------/ +/ FatFs - FAT file system module include R0.11 (C)ChaN, 2015 +/----------------------------------------------------------------------------/ +/ FatFs module is a free software that opened under license policy of +/ following conditions. +/ +/ Copyright (C) 2015, ChaN, all right reserved. +/ +/ 1. Redistributions of source code must retain the above copyright notice, +/ this condition and the following disclaimer. +/ +/ This software is provided by the copyright holder and contributors "AS IS" +/ and any warranties related to this software are DISCLAIMED. +/ The copyright owner or contributors be NOT LIABLE for any damages caused +/ by use of this software. +/---------------------------------------------------------------------------*/ + + +#ifndef _FATFS +#define _FATFS 32020 /* Revision ID */ + +#ifdef __cplusplus +extern "C" { +#endif + +#include "integer.h" /* Basic integer types */ +#include "ffconf.h" /* FatFs configuration options */ +#if _FATFS != _FFCONF +#error Wrong configuration file (ffconf.h). +#endif + + + +/* Definitions of volume management */ + +#if _MULTI_PARTITION /* Multiple partition configuration */ +typedef struct { + BYTE pd; /* Physical drive number */ + BYTE pt; /* Partition: 0:Auto detect, 1-4:Forced partition) */ +} PARTITION; +extern PARTITION VolToPart[]; /* Volume - Partition resolution table */ +#define LD2PD(vol) (VolToPart[vol].pd) /* Get physical drive number */ +#define LD2PT(vol) (VolToPart[vol].pt) /* Get partition index */ + +#else /* Single partition configuration */ +#define LD2PD(vol) (BYTE)(vol) /* Each logical drive is bound to the same physical drive number */ +#define LD2PT(vol) 0 /* Find first valid partition or in SFD */ + +#endif + + + +/* Type of path name strings on FatFs API */ + +#if _LFN_UNICODE /* Unicode string */ +#if !_USE_LFN +#error _LFN_UNICODE must be 0 at non-LFN cfg. +#endif +#ifndef _INC_TCHAR +typedef WCHAR TCHAR; +#define _T(x) L ## x +#define _TEXT(x) L ## x +#endif + +#else /* ANSI/OEM string */ +#ifndef _INC_TCHAR +typedef char TCHAR; +#define _T(x) x +#define _TEXT(x) x +#endif + +#endif + + + +/* File system object structure (FATFS) */ + +typedef struct { + union{ + UINT d32[_MAX_SS/4]; /* Force 32bits alignement */ + BYTE d8[_MAX_SS]; /* Disk access window for Directory, FAT (and file data at tiny cfg) */ + }win; + BYTE fs_type; /* FAT sub-type (0:Not mounted) */ + BYTE drv; /* Physical drive number */ + BYTE csize; /* Sectors per cluster (1,2,4...128) */ + BYTE n_fats; /* Number of FAT copies (1 or 2) */ + BYTE wflag; /* win[] flag (b0:dirty) */ + BYTE fsi_flag; /* FSINFO flags (b7:disabled, b0:dirty) */ + WORD id; /* File system mount ID */ + WORD n_rootdir; /* Number of root directory entries (FAT12/16) */ +#if _MAX_SS != _MIN_SS + WORD ssize; /* Bytes per sector (512, 1024, 2048 or 4096) */ +#endif +#if _FS_REENTRANT + _SYNC_t sobj; /* Identifier of sync object */ +#endif +#if !_FS_READONLY + DWORD last_clust; /* Last allocated cluster */ + DWORD free_clust; /* Number of free clusters */ +#endif +#if _FS_RPATH + DWORD cdir; /* Current directory start cluster (0:root) */ +#endif + DWORD n_fatent; /* Number of FAT entries, = number of clusters + 2 */ + DWORD fsize; /* Sectors per FAT */ + DWORD volbase; /* Volume start sector */ + DWORD fatbase; /* FAT start sector */ + DWORD dirbase; /* Root directory start sector (FAT32:Cluster#) */ + DWORD database; /* Data start sector */ + DWORD winsect; /* Current sector appearing in the win[] */ + +} FATFS; + + + +/* File object structure (FIL) */ + +typedef struct { +#if !_FS_TINY + union{ + UINT d32[_MAX_SS/4]; /* Force 32bits alignement */ + BYTE d8[_MAX_SS]; /* File data read/write buffer */ + }buf; +#endif + FATFS* fs; /* Pointer to the related file system object (**do not change order**) */ + WORD id; /* Owner file system mount ID (**do not change order**) */ + BYTE flag; /* Status flags */ + BYTE err; /* Abort flag (error code) */ + DWORD fptr; /* File read/write pointer (Zeroed on file open) */ + DWORD fsize; /* File size */ + DWORD sclust; /* File start cluster (0:no cluster chain, always 0 when fsize is 0) */ + DWORD clust; /* Current cluster of fpter (not valid when fprt is 0) */ + DWORD dsect; /* Sector number appearing in buf[] (0:invalid) */ +#if !_FS_READONLY + DWORD dir_sect; /* Sector number containing the directory entry */ + BYTE* dir_ptr; /* Pointer to the directory entry in the win[] */ +#endif +#if _USE_FASTSEEK + DWORD* cltbl; /* Pointer to the cluster link map table (Nulled on file open) */ +#endif +#if _FS_LOCK + UINT lockid; /* File lock ID origin from 1 (index of file semaphore table Files[]) */ +#endif + +} FIL; + + + +/* Directory object structure (DIR) */ + +typedef struct { +#if !_FS_TINY + union{ + UINT d32[_MAX_SS/4]; /* Force 32bits alignement */ + BYTE d8[_MAX_SS]; /* File data read/write buffer */ + }buf; +#endif + FATFS* fs; /* Pointer to the owner file system object (**do not change order**) */ + WORD id; /* Owner file system mount ID (**do not change order**) */ + WORD index; /* Current read/write index number */ + DWORD sclust; /* Table start cluster (0:Root dir) */ + DWORD clust; /* Current cluster */ + DWORD sect; /* Current sector */ + BYTE* dir; /* Pointer to the current SFN entry in the win[] */ + BYTE* fn; /* Pointer to the SFN (in/out) {file[8],ext[3],status[1]} */ +#if _FS_LOCK + UINT lockid; /* File lock ID (index of file semaphore table Files[]) */ +#endif +#if _USE_LFN + WCHAR* lfn; /* Pointer to the LFN working buffer */ + WORD lfn_idx; /* Last matched LFN index number (0xFFFF:No LFN) */ +#endif +#if _USE_FIND + const TCHAR* pat; /* Pointer to the name matching pattern */ +#endif +} DIR; + + + +/* File information structure (FILINFO) */ + +typedef struct { + DWORD fsize; /* File size */ + WORD fdate; /* Last modified date */ + WORD ftime; /* Last modified time */ + BYTE fattrib; /* Attribute */ + TCHAR fname[13]; /* Short file name (8.3 format) */ +#if _USE_LFN + TCHAR* lfname; /* Pointer to the LFN buffer */ + UINT lfsize; /* Size of LFN buffer in TCHAR */ +#endif +} FILINFO; + + + +/* File function return code (FRESULT) */ + +typedef enum { + FR_OK = 0, /* (0) Succeeded */ + FR_DISK_ERR, /* (1) A hard error occurred in the low level disk I/O layer */ + FR_INT_ERR, /* (2) Assertion failed */ + FR_NOT_READY, /* (3) The physical drive cannot work */ + FR_NO_FILE, /* (4) Could not find the file */ + FR_NO_PATH, /* (5) Could not find the path */ + FR_INVALID_NAME, /* (6) The path name format is invalid */ + FR_DENIED, /* (7) Access denied due to prohibited access or directory full */ + FR_EXIST, /* (8) Access denied due to prohibited access */ + FR_INVALID_OBJECT, /* (9) The file/directory object is invalid */ + FR_WRITE_PROTECTED, /* (10) The physical drive is write protected */ + FR_INVALID_DRIVE, /* (11) The logical drive number is invalid */ + FR_NOT_ENABLED, /* (12) The volume has no work area */ + FR_NO_FILESYSTEM, /* (13) There is no valid FAT volume */ + FR_MKFS_ABORTED, /* (14) The f_mkfs() aborted due to any parameter error */ + FR_TIMEOUT, /* (15) Could not get a grant to access the volume within defined period */ + FR_LOCKED, /* (16) The operation is rejected according to the file sharing policy */ + FR_NOT_ENOUGH_CORE, /* (17) LFN working buffer could not be allocated */ + FR_TOO_MANY_OPEN_FILES, /* (18) Number of open files > _FS_SHARE */ + FR_INVALID_PARAMETER /* (19) Given parameter is invalid */ +} FRESULT; + + + +/*--------------------------------------------------------------*/ +/* FatFs module application interface */ + +FRESULT f_open (FIL* fp, const TCHAR* path, BYTE mode); /* Open or create a file */ +FRESULT f_close (FIL* fp); /* Close an open file object */ +FRESULT f_read (FIL* fp, void* buff, UINT btr, UINT* br); /* Read data from a file */ +FRESULT f_write (FIL* fp, const void* buff, UINT btw, UINT* bw); /* Write data to a file */ +FRESULT f_forward (FIL* fp, UINT(*func)(const BYTE*,UINT), UINT btf, UINT* bf); /* Forward data to the stream */ +FRESULT f_lseek (FIL* fp, DWORD ofs); /* Move file pointer of a file object */ +FRESULT f_truncate (FIL* fp); /* Truncate file */ +FRESULT f_sync (FIL* fp); /* Flush cached data of a writing file */ +FRESULT f_opendir (DIR* dp, const TCHAR* path); /* Open a directory */ +FRESULT f_closedir (DIR* dp); /* Close an open directory */ +FRESULT f_readdir (DIR* dp, FILINFO* fno); /* Read a directory item */ +FRESULT f_findfirst (DIR* dp, FILINFO* fno, const TCHAR* path, const TCHAR* pattern); /* Find first file */ +FRESULT f_findnext (DIR* dp, FILINFO* fno); /* Find next file */ +FRESULT f_mkdir (const TCHAR* path); /* Create a sub directory */ +FRESULT f_unlink (const TCHAR* path); /* Delete an existing file or directory */ +FRESULT f_rename (const TCHAR* path_old, const TCHAR* path_new); /* Rename/Move a file or directory */ +FRESULT f_stat (const TCHAR* path, FILINFO* fno); /* Get file status */ +FRESULT f_chmod (const TCHAR* path, BYTE attr, BYTE mask); /* Change attribute of the file/dir */ +FRESULT f_utime (const TCHAR* path, const FILINFO* fno); /* Change times-tamp of the file/dir */ +FRESULT f_chdir (const TCHAR* path); /* Change current directory */ +FRESULT f_chdrive (const TCHAR* path); /* Change current drive */ +FRESULT f_getcwd (TCHAR* buff, UINT len); /* Get current directory */ +FRESULT f_getfree (const TCHAR* path, DWORD* nclst, FATFS** fatfs); /* Get number of free clusters on the drive */ +FRESULT f_getlabel (const TCHAR* path, TCHAR* label, DWORD* vsn); /* Get volume label */ +FRESULT f_setlabel (const TCHAR* label); /* Set volume label */ +FRESULT f_mount (FATFS* fs, const TCHAR* path, BYTE opt); /* Mount/Unmount a logical drive */ +FRESULT f_mkfs (const TCHAR* path, BYTE sfd, UINT au); /* Create a file system on the volume */ +FRESULT f_fdisk (BYTE pdrv, const DWORD szt[], void* work); /* Divide a physical drive into some partitions */ +int f_putc (TCHAR c, FIL* fp); /* Put a character to the file */ +int f_puts (const TCHAR* str, FIL* cp); /* Put a string to the file */ +int f_printf (FIL* fp, const TCHAR* str, ...); /* Put a formatted string to the file */ +TCHAR* f_gets (TCHAR* buff, int len, FIL* fp); /* Get a string from the file */ + +#define f_eof(fp) ((int)((fp)->fptr == (fp)->fsize)) +#define f_error(fp) ((fp)->err) +#define f_tell(fp) ((fp)->fptr) +#define f_size(fp) ((fp)->fsize) +#define f_rewind(fp) f_lseek((fp), 0) +#define f_rewinddir(dp) f_readdir((dp), 0) + +#ifndef EOF +#define EOF (-1) +#endif + + + + +/*--------------------------------------------------------------*/ +/* Additional user defined functions */ + +/* RTC function */ +#if !_FS_READONLY && !_FS_NORTC +DWORD get_fattime (void); +#endif + +/* Unicode support functions */ +#if _USE_LFN /* Unicode - OEM code conversion */ +WCHAR ff_convert (WCHAR chr, UINT dir); /* OEM-Unicode bidirectional conversion */ +WCHAR ff_wtoupper (WCHAR chr); /* Unicode upper-case conversion */ +#if _USE_LFN == 3 /* Memory functions */ +void* ff_memalloc (UINT msize); /* Allocate memory block */ +void ff_memfree (void* mblock); /* Free memory block */ +#endif +#endif + +/* Sync functions */ +#if _FS_REENTRANT +int ff_cre_syncobj (BYTE vol, _SYNC_t* sobj); /* Create a sync object */ +int ff_req_grant (_SYNC_t sobj); /* Lock sync object */ +void ff_rel_grant (_SYNC_t sobj); /* Unlock sync object */ +int ff_del_syncobj (_SYNC_t sobj); /* Delete a sync object */ +#endif + + + + +/*--------------------------------------------------------------*/ +/* Flags and offset address */ + + +/* File access control and file status flags (FIL.flag) */ + +#define FA_READ 0x01 +#define FA_OPEN_EXISTING 0x00 + +#if !_FS_READONLY +#define FA_WRITE 0x02 +#define FA_CREATE_NEW 0x04 +#define FA_CREATE_ALWAYS 0x08 +#define FA_OPEN_ALWAYS 0x10 +#define FA__WRITTEN 0x20 +#define FA__DIRTY 0x40 +#endif + + +/* FAT sub type (FATFS.fs_type) */ + +#define FS_FAT12 1 +#define FS_FAT16 2 +#define FS_FAT32 3 + + +/* File attribute bits for directory entry */ + +#define AM_RDO 0x01 /* Read only */ +#define AM_HID 0x02 /* Hidden */ +#define AM_SYS 0x04 /* System */ +#define AM_VOL 0x08 /* Volume label */ +#define AM_LFN 0x0F /* LFN entry */ +#define AM_DIR 0x10 /* Directory */ +#define AM_ARC 0x20 /* Archive */ +#define AM_MASK 0x3F /* Mask of defined bits */ + + +/* Fast seek feature */ +#define CREATE_LINKMAP 0xFFFFFFFF + + + +/*--------------------------------*/ +/* Multi-byte word access macros */ + +#if _WORD_ACCESS == 1 /* Enable word access to the FAT structure */ +#define LD_WORD(ptr) (WORD)(*(WORD*)(BYTE*)(ptr)) +#define LD_DWORD(ptr) (DWORD)(*(DWORD*)(BYTE*)(ptr)) +#define ST_WORD(ptr,val) *(WORD*)(BYTE*)(ptr)=(WORD)(val) +#define ST_DWORD(ptr,val) *(DWORD*)(BYTE*)(ptr)=(DWORD)(val) +#else /* Use byte-by-byte access to the FAT structure */ +#define LD_WORD(ptr) (WORD)(((WORD)*((BYTE*)(ptr)+1)<<8)|(WORD)*(BYTE*)(ptr)) +#define LD_DWORD(ptr) (DWORD)(((DWORD)*((BYTE*)(ptr)+3)<<24)|((DWORD)*((BYTE*)(ptr)+2)<<16)|((WORD)*((BYTE*)(ptr)+1)<<8)|*(BYTE*)(ptr)) +#define ST_WORD(ptr,val) *(BYTE*)(ptr)=(BYTE)(val); *((BYTE*)(ptr)+1)=(BYTE)((WORD)(val)>>8) +#define ST_DWORD(ptr,val) *(BYTE*)(ptr)=(BYTE)(val); *((BYTE*)(ptr)+1)=(BYTE)((WORD)(val)>>8); *((BYTE*)(ptr)+2)=(BYTE)((DWORD)(val)>>16); *((BYTE*)(ptr)+3)=(BYTE)((DWORD)(val)>>24) +#endif + +#ifdef __cplusplus +} +#endif + +#endif /* _FATFS */ diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.c b/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.c new file mode 100644 index 0000000..984e9eb --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.c @@ -0,0 +1,132 @@ +/** + ****************************************************************************** + * @file ff_gen_drv.c + * @author MCD Application Team + * @version V1.3.0 + * @date 08-May-2015 + * @brief FatFs generic low level driver. + ****************************************************************************** + * @attention + * + *

© COPYRIGHT 2015 STMicroelectronics

+ * + * Licensed under MCD-ST Liberty SW License Agreement V2, (the "License"); + * You may not use this file except in compliance with the License. + * You may obtain a copy of the License at: + * + * http://www.st.com/software_license_agreement_liberty_v2 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + ****************************************************************************** + */ + +/* Includes ------------------------------------------------------------------*/ +#include "ff_gen_drv.h" + +/* Private typedef -----------------------------------------------------------*/ +/* Private define ------------------------------------------------------------*/ +/* Private variables ---------------------------------------------------------*/ +Disk_drvTypeDef disk = {{0},{0},{0},0}; + +/* Private function prototypes -----------------------------------------------*/ +/* Private functions ---------------------------------------------------------*/ + +/** + * @brief Links a compatible diskio driver/lun id and increments the number of active + * linked drivers. + * @note The number of linked drivers (volumes) is up to 10 due to FatFs limits. + * @param drv: pointer to the disk IO Driver structure + * @param path: pointer to the logical drive path + * @param lun : only used for USB Key Disk to add multi-lun management + else the paramter must be equal to 0 + * @retval Returns 0 in case of success, otherwise 1. + */ +uint8_t FATFS_LinkDriverEx(Diskio_drvTypeDef *drv, char *path, uint8_t lun) +{ + uint8_t ret = 1; + uint8_t DiskNum = 0; + + if(disk.nbr <= _VOLUMES) + { + disk.is_initialized[disk.nbr] = 0; + disk.drv[disk.nbr] = drv; + disk.lun[disk.nbr] = lun; + DiskNum = disk.nbr++; + path[0] = DiskNum + '0'; + path[1] = ':'; + path[2] = '/'; + path[3] = 0; + ret = 0; + } + + return ret; +} + +/** + * @brief Links a compatible diskio driver and increments the number of active + * linked drivers. + * @note The number of linked drivers (volumes) is up to 10 due to FatFs limits + * @param drv: pointer to the disk IO Driver structure + * @param path: pointer to the logical drive path + * @retval Returns 0 in case of success, otherwise 1. + */ +uint8_t FATFS_LinkDriver(Diskio_drvTypeDef *drv, char *path) +{ + return FATFS_LinkDriverEx(drv, path, 0); +} + +/** + * @brief Unlinks a diskio driver and decrements the number of active linked + * drivers. + * @param path: pointer to the logical drive path + * @param lun : not used + * @retval Returns 0 in case of success, otherwise 1. + */ +uint8_t FATFS_UnLinkDriverEx(char *path, uint8_t lun) +{ + uint8_t DiskNum = 0; + uint8_t ret = 1; + + if(disk.nbr >= 1) + { + DiskNum = path[0] - '0'; + if(disk.drv[DiskNum] != 0) + { + disk.drv[DiskNum] = 0; + disk.lun[DiskNum] = 0; + disk.nbr--; + ret = 0; + } + } + + return ret; +} + +/** + * @brief Unlinks a diskio driver and decrements the number of active linked + * drivers. + * @param path: pointer to the logical drive path + * @retval Returns 0 in case of success, otherwise 1. + */ +uint8_t FATFS_UnLinkDriver(char *path) +{ + return FATFS_UnLinkDriverEx(path, 0); +} + +/** + * @brief Gets number of linked drivers to the FatFs module. + * @param None + * @retval Number of attached drivers. + */ +uint8_t FATFS_GetAttachedDriversNbr(void) +{ + return disk.nbr; +} + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ + diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.h b/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.h new file mode 100644 index 0000000..d1c7a08 --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/ff_gen_drv.h @@ -0,0 +1,88 @@ +/** + ****************************************************************************** + * @file ff_gen_drv.h + * @author MCD Application Team + * @version V1.3.0 + * @date 08-May-2015 + * @brief Header for ff_gen_drv.c module. + ****************************************************************************** + * @attention + * + *

© COPYRIGHT 2015 STMicroelectronics

+ * + * Licensed under MCD-ST Liberty SW License Agreement V2, (the "License"); + * You may not use this file except in compliance with the License. + * You may obtain a copy of the License at: + * + * http://www.st.com/software_license_agreement_liberty_v2 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an "AS IS" BASIS, + * WITHOUT WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + * + ****************************************************************************** + */ + +/* Define to prevent recursive inclusion -------------------------------------*/ +#ifndef __FF_GEN_DRV_H +#define __FF_GEN_DRV_H + +#ifdef __cplusplus + extern "C" { +#endif + +/* Includes ------------------------------------------------------------------*/ +#include "diskio.h" +#include "ff.h" + +/* Exported types ------------------------------------------------------------*/ + +/** + * @brief Disk IO Driver structure definition + */ +typedef struct +{ + DSTATUS (*disk_initialize) (BYTE); /*!< Initialize Disk Drive */ + DSTATUS (*disk_status) (BYTE); /*!< Get Disk Status */ + DRESULT (*disk_read) (BYTE, BYTE*, DWORD, UINT); /*!< Read Sector(s) */ +#if _USE_WRITE == 1 + DRESULT (*disk_write) (BYTE, const BYTE*, DWORD, UINT); /*!< Write Sector(s) when _USE_WRITE = 0 */ +#endif /* _USE_WRITE == 1 */ +#if _USE_IOCTL == 1 + DRESULT (*disk_ioctl) (BYTE, BYTE, void*); /*!< I/O control operation when _USE_IOCTL = 1 */ +#endif /* _USE_IOCTL == 1 */ + +}Diskio_drvTypeDef; + +/** + * @brief Global Disk IO Drivers structure definition + */ +typedef struct +{ + uint8_t is_initialized[_VOLUMES]; + Diskio_drvTypeDef *drv[_VOLUMES]; + uint8_t lun[_VOLUMES]; + __IO uint8_t nbr; + +}Disk_drvTypeDef; + +/* Exported constants --------------------------------------------------------*/ +/* Exported macro ------------------------------------------------------------*/ +/* Exported functions ------------------------------------------------------- */ +uint8_t FATFS_LinkDriverEx(Diskio_drvTypeDef *drv, char *path, uint8_t lun); +uint8_t FATFS_LinkDriver(Diskio_drvTypeDef *drv, char *path); +uint8_t FATFS_UnLinkDriver(char *path); +uint8_t FATFS_LinkDriverEx(Diskio_drvTypeDef *drv, char *path, BYTE lun); +uint8_t FATFS_UnLinkDriverEx(char *path, BYTE lun); +uint8_t FATFS_GetAttachedDriversNbr(void); + +#ifdef __cplusplus +} +#endif + +#endif /* __FF_GEN_DRV_H */ + +/************************ (C) COPYRIGHT STMicroelectronics *****END OF FILE****/ + diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/integer.h b/Project/Application/Middlewares/Third_Party/FatFs/src/integer.h new file mode 100644 index 0000000..f254b2a --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/integer.h @@ -0,0 +1,33 @@ +/*-------------------------------------------*/ +/* Integer type definitions for FatFs module */ +/*-------------------------------------------*/ + +#ifndef _FF_INTEGER +#define _FF_INTEGER + +#ifdef _WIN32 /* FatFs development platform */ + +#include +#include + +#else /* Embedded platform */ + +/* This type MUST be 8 bit */ +typedef unsigned char BYTE; + +/* These types MUST be 16 bit */ +typedef short SHORT; +typedef unsigned short WORD; +typedef unsigned short WCHAR; + +/* These types MUST be 16 bit or 32 bit */ +typedef int INT; +typedef unsigned int UINT; + +/* These types MUST be 32 bit */ +typedef long LONG; +typedef unsigned long DWORD; + +#endif + +#endif diff --git a/Project/Application/Middlewares/Third_Party/FatFs/src/option/syscall.c b/Project/Application/Middlewares/Third_Party/FatFs/src/option/syscall.c new file mode 100644 index 0000000..bf1870f --- /dev/null +++ b/Project/Application/Middlewares/Third_Party/FatFs/src/option/syscall.c @@ -0,0 +1,119 @@ +/*------------------------------------------------------------------------*/ +/* Sample code of OS dependent controls for FatFs */ +/* (C)ChaN, 2014 */ +/*------------------------------------------------------------------------*/ + +#include /* ANSI memory controls */ +#include "../ff.h" + +#if _FS_REENTRANT +/*----------------------------------------------------------------------- + Create a Synchronization Object +------------------------------------------------------------------------ + This function is called in f_mount function to create a new + synchronization object, such as semaphore and mutex. When a zero is + returned, the f_mount function fails with FR_INT_ERR. +*/ + +int ff_cre_syncobj ( /* TRUE:Function succeeded, FALSE:Could not create due to any error */ + BYTE vol, /* Corresponding logical drive being processed */ + _SYNC_t *sobj /* Pointer to return the created sync object */ +) +{ + int ret; + + osSemaphoreDef(SEM); + *sobj = osSemaphoreCreate(osSemaphore(SEM), 1); + ret = (*sobj != NULL); + + return ret; +} + + + +/*------------------------------------------------------------------------*/ +/* Delete a Synchronization Object */ +/*------------------------------------------------------------------------*/ +/* This function is called in f_mount function to delete a synchronization +/ object that created with ff_cre_syncobj function. When a zero is +/ returned, the f_mount function fails with FR_INT_ERR. +*/ + +int ff_del_syncobj ( /* TRUE:Function succeeded, FALSE:Could not delete due to any error */ + _SYNC_t sobj /* Sync object tied to the logical drive to be deleted */ +) +{ + osSemaphoreDelete (sobj); + return 1; +} + + + +/*------------------------------------------------------------------------*/ +/* Request Grant to Access the Volume */ +/*------------------------------------------------------------------------*/ +/* This function is called on entering file functions to lock the volume. +/ When a zero is returned, the file function fails with FR_TIMEOUT. +*/ + +int ff_req_grant ( /* TRUE:Got a grant to access the volume, FALSE:Could not get a grant */ + _SYNC_t sobj /* Sync object to wait */ +) +{ + int ret = 0; + + if(osSemaphoreWait(sobj, _FS_TIMEOUT) == osOK) + { + ret = 1; + } + + return ret; +} + + + +/*------------------------------------------------------------------------*/ +/* Release Grant to Access the Volume */ +/*------------------------------------------------------------------------*/ +/* This function is called on leaving file functions to unlock the volume. +*/ + +void ff_rel_grant ( + _SYNC_t sobj /* Sync object to be signaled */ +) +{ + osSemaphoreRelease(sobj); +} + +#endif + + + + +#if _USE_LFN == 3 /* LFN with a working buffer on the heap */ +/*------------------------------------------------------------------------*/ +/* Allocate a memory block */ +/*------------------------------------------------------------------------*/ +/* If a NULL is returned, the file function fails with FR_NOT_ENOUGH_CORE. +*/ + +void* ff_memalloc ( /* Returns pointer to the allocated memory block */ + UINT msize /* Number of bytes to allocate */ +) +{ + return malloc(msize); /* Allocate a new memory block with POSIX API */ +} + + +/*------------------------------------------------------------------------*/ +/* Free a memory block */ +/*------------------------------------------------------------------------*/ + +void ff_memfree ( + void* mblock /* Pointer to the memory block to free */ +) +{ + free(mblock); /* Discard the memory block with POSIX API */ +} + +#endif diff --git a/Project/Application/action_process.c b/Project/Application/action_process.c new file mode 100644 index 0000000..ad690e6 --- /dev/null +++ b/Project/Application/action_process.c @@ -0,0 +1,50 @@ +#include "action_process.h" +#include "sw_timer.h" +#include "gpio_switch.h" +#include "gpio_state_led.h" +#include "gpio_sensor.h" +#include "eeprom.h" +#include "buzzer.h" +#include "segment.h" +#include "rtc_process.h" +#include "save_file.h" + +typedef struct _control_info +{ + bool isActionRun; + uint32_t Co2_MaxValue; + uint32_t Co2_MinValue; +}CONTROL_INFO; + + +static CONTROL_INFO Control_Info; + + + + + +static void Action_Process(void); + +static void Action_PowerKey_Push(void); +static void Action_PowerKey_LongPush(void); +static void Action_Sensor_Read_Process(void); + +void Action_Initialization(void) +{ + + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 1, Action_Process); +} + + +static void Action_Process(void) +{ + +} + + + + + + + + diff --git a/Project/Application/action_process.h b/Project/Application/action_process.h new file mode 100644 index 0000000..cbc9f38 --- /dev/null +++ b/Project/Application/action_process.h @@ -0,0 +1,17 @@ +/** \file action_process.h */ +#if !defined(ACTION_PROCESS_H__793AF4F0_9732_4285_BF6C_F708ACCE969B__INCLUDED_) +#define ACTION_PROCESS_H__793AF4F0_9732_4285_BF6C_F708ACCE969B__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + + + + +void Action_Initialization(void); + + + + +#endif diff --git a/Project/Application/board_config.h b/Project/Application/board_config.h new file mode 100644 index 0000000..39b5d7c --- /dev/null +++ b/Project/Application/board_config.h @@ -0,0 +1,70 @@ +/** \file board_config.h */ +#if !defined(BOARD_CONFIG_H__8269C71E_6C81_429B_B9F6_2CA6AC082DCC__INCLUDED_) +#define BOARD_CONFIG_H__8269C71E_6C81_429B_B9F6_2CA6AC082DCC__INCLUDED_ + +#include "define.h" + +#define FW_VERSION_MAJOR 0 +#define FW_VERSION_MINOR 1 + + + +////////////////////////////////////////////////////////////// +#define FALSE 0 +#define TRUE (!FALSE) + + + +////////////////////////////////////////////////////////////// +// dbg_print Config +////////////////////////////////////////////////////////////// +#define DEBUG_PRINT + +#if defined(DEBUG_PRINT) + #define dbg_printf(fmt,args...) printf( fmt, ## args ) +#if 0 + #define SW_TIMER_DBG_PRINT +#endif + +#else + #define dbg_printf(fmt,args...) +#endif + + + + + +#if 0 +////////////////////////////////////////////////////////////// +// MODBUS Config +////////////////////////////////////////////////////////////// +#define MODBUS_BAUDRATE 9600 +#define MODBUS_DATA_BIT UARTn_DATA_BIT_8 +#define MODBUS_STOP_BIT UARTn_STOP_BIT_1 +#define MODBUS_PARITY_BIT UARTn_PARITY_BIT_EVEN +#define MODBUS_RX_TIMEOUT 100 +#define MODBUS_DEFAULT_ADDRESS 0x01 +#define MODBUS_START_REG_ADDRESS 0x0000 +#define MODBUS_GET_REG_COUNT 0x0029 +#define MODBUS_POLLING_INTERVAL_TIME 1000 //??? ?? ?? +#define MODBUS_DATA_INDEX_OUTPUT_COUNT 100 //??? ??? ?? ??? ?? +#define MODBUS_DATA_UPDATE_CHECK_INTERVAL_TIME (MODBUS_POLLING_INTERVAL_TIME/2) +#define MODBUS_TX_ERROR_COUNT 10 //?? ?? ?? ?? ???? ??, ??? ??? + + + +////////////////////////////////////////////////////////////// +// DATA OUT Uart Config +////////////////////////////////////////////////////////////// +#define DATAOUT_BAUDRATE 115200 +#define DATAOUT_DATA_BIT USART1n_DATA_BIT_8 +#define DATAOUT_STOP_BIT USART1n_STOP_BIT_1 +#define DATAOUT_PARITY_BIT USART1n_PARITY_BIT_NONE +#define DATAOUT_INTERFACE_INIT Usart11_Initialization +#define DATAOUT_TRANSMIT Usart11_Transmit + +#endif + + + +#endif diff --git a/Project/Application/buzzer.c b/Project/Application/buzzer.c new file mode 100644 index 0000000..ce02d80 --- /dev/null +++ b/Project/Application/buzzer.c @@ -0,0 +1,47 @@ +#include "buzzer.h" +#include "sw_timer.h" + + +static bool isBuzzerOn; +static uint32_t BuzzerStartTick; +static uint32_t BuzzerOnTimeCount; + +static void Buzzer_Output_Process(void); + +void Buzzer_Initialization(void) +{ + HAL_GPIO_ConfigOutput(GPIO_BUZZER_PORT, GPIO_BUZZER_PIN_NUM, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(GPIO_BUZZER_PORT, GPIO_BUZZER_PIN_NUM, PUPDx_EnablePU); + + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 1, Buzzer_Output_Process); +} + +void Buzzer_On(uint32_t BuzzerOnTime) +{ + isBuzzerOn = true; + BuzzerStartTick = millis(); + BuzzerOnTimeCount = BuzzerOnTime; +} + + + +static void Buzzer_Output_Process(void) +{ + if(isBuzzerOn == true) + { + if((millis() - BuzzerStartTick) <= BuzzerOnTimeCount) + { + GPIO_BUZZER_ON; + } + else + { + isBuzzerOn = false; + GPIO_BUZZER_OFF; + } + } + else + { + GPIO_BUZZER_OFF;; + } +} + diff --git a/Project/Application/buzzer.h b/Project/Application/buzzer.h new file mode 100644 index 0000000..7498056 --- /dev/null +++ b/Project/Application/buzzer.h @@ -0,0 +1,26 @@ +/** \file buzzer.h */ +#if !defined(BUZZER_H__4676A357_207F_4D31_A901_C2B23A663560__INCLUDED_) +#define BUZZER_H__4676A357_207F_4D31_A901_C2B23A663560__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#define GPIO_BUZZER_PORT (Pn_Type*)PD +#define GPIO_BUZZER_PIN_NUM 7 +#define GPIO_BUZZER_ON HAL_GPIO_SetPin(GPIO_BUZZER_PORT, _BIT(GPIO_BUZZER_PIN_NUM)) +#define GPIO_BUZZER_OFF HAL_GPIO_ClearPin(GPIO_BUZZER_PORT, _BIT(GPIO_BUZZER_PIN_NUM)) +#define GPIO_BUZZER_T HAL_GPIO_TogglePin(GPIO_BUZZER_PORT, _BIT(GPIO_BUZZER_PIN_NUM)) + + + + + +void Buzzer_Initialization(void); +void Buzzer_On(uint32_t BuzzerOnTime); + + + + + +#endif diff --git a/Project/Application/dbg_printf.c b/Project/Application/dbg_printf.c new file mode 100644 index 0000000..b8dc653 --- /dev/null +++ b/Project/Application/dbg_printf.c @@ -0,0 +1,25 @@ +#include "dbg_printf.h" + +#include "uart1.h" + + + + + + +/*-------------------------------------------------------------------------*//** + * @brief Puts a character to file + * @param[in] ch + * Character to put + * @param[in] f + * Pointer to file + * @return character + * @note if you use IAR EWARM, select Full as Options/General Options/Library Configuration/Library. + *//*-------------------------------------------------------------------------*/ +int fputc( int ch, FILE* f ) +{ + Uart1_Transmit(ch); + return( ch ); +} + + diff --git a/Project/Application/dbg_printf.h b/Project/Application/dbg_printf.h new file mode 100644 index 0000000..c118f94 --- /dev/null +++ b/Project/Application/dbg_printf.h @@ -0,0 +1,9 @@ +/** \file dbg_printf.h */ +#if !defined(DBG_PRINTF_H__3735CB34_D9A0_43AC_B22D_005B5B83B450__INCLUDED_) +#define DBG_PRINTF_H__3735CB34_D9A0_43AC_B22D_005B5B83B450__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#endif diff --git a/Project/Application/define.h b/Project/Application/define.h new file mode 100644 index 0000000..3a26b6e --- /dev/null +++ b/Project/Application/define.h @@ -0,0 +1,95 @@ +/** \file define.h */ +#if !defined(DEFINE_H__8F668E66_FF75_45CB_850C_2513B033D139__INCLUDED_) +#define DEFINE_H__8F668E66_FF75_45CB_850C_2513B033D139__INCLUDED_ + +#include +#include +#include +#include +#include +#include +#include + + + + + + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" +#include "A31G12x_hal_adc.h" +#include "A31G12x_hal_crc.h" +#include "A31G12x_hal_debug_frmwrk.h" +#include "A31G12x_hal_fmc.h" +#include "A31G12x_hal_i2cn.h" +#include "A31G12x_hal_intc.h" +#include "A31G12x_hal_lcd.h" +#include "A31G12x_hal_libcfg.h" +#include "A31G12x_hal_pcu.h" +#include "A31G12x_hal_pwr.h" +#include "A31G12x_hal_scu.h" +#include "A31G12x_hal_sculv.h" +#include "A31G12x_hal_timer1n.h" +#include "A31G12x_hal_timer2n.h" +#include "A31G12x_hal_timer3n.h" +#include "A31G12x_hal_uartn.h" +#include "A31G12x_hal_usart1n.h" +#include "A31G12x_hal_wdt.h" +#include "A31G12x_hal_wt.h" +#include "A31G12x_hal_wtidky.h" + + + + +#include "A31G12x_SystemClock.h" + +#include "systick_timer.h" + + + +#define SETBIT(ADDRESS,BIT) (ADDRESS |= (1<history + * + *
Date Version Author Description + *
2021/03/15 2.0 Shifeng Li format the code + *
2020/11/30 1.0 Shifeng Li first upload + *
+ */ + +#include "driver_ds3231.h" + +/** + * @brief chip information definition + */ +#define CHIP_NAME "Maxim Integrated DS3231" /**< chip name */ +#define MANUFACTURER_NAME "Maxim Integrated" /**< manufacturer name */ +#define SUPPLY_VOLTAGE_MIN 2.3f /**< chip min supply voltage */ +#define SUPPLY_VOLTAGE_MAX 5.5f /**< chip max supply voltage */ +#define MAX_CURRENT 0.65f /**< chip max current */ +#define TEMPERATURE_MIN -40.0f /**< chip min operating temperature */ +#define TEMPERATURE_MAX 85.0f /**< chip max operating temperature */ +#define DRIVER_VERSION 2000 /**< driver version */ + +/** + * @brief chip register definition + */ +#define DS3231_REG_SECOND 0x00 /**< second register */ +#define DS3231_REG_MINUTE 0x01 /**< minute register */ +#define DS3231_REG_HOUR 0x02 /**< hour register */ +#define DS3231_REG_WEEK 0x03 /**< week register */ +#define DS3231_REG_DATE 0x04 /**< date register */ +#define DS3231_REG_MONTH 0x05 /**< month register */ +#define DS3231_REG_YEAR 0x06 /**< year register */ +#define DS3231_REG_ALARM1_SECOND 0x07 /**< alarm1 second register */ +#define DS3231_REG_ALARM1_MINUTE 0x08 /**< alarm1 minute register */ +#define DS3231_REG_ALARM1_HOUR 0x09 /**< alarm1 hour register */ +#define DS3231_REG_ALARM1_WEEK 0x0A /**< alarm1 week register */ +#define DS3231_REG_ALARM2_MINUTE 0x0B /**< alarm2 minute register */ +#define DS3231_REG_ALARM2_HOUR 0x0C /**< alarm2 hour register */ +#define DS3231_REG_ALARM2_WEEK 0x0D /**< alarm2 week register */ +#define DS3231_REG_CONTROL 0x0E /**< control register */ +#define DS3231_REG_STATUS 0x0F /**< status register */ +#define DS3231_REG_XTAL 0x10 /**< xtal register */ +#define DS3231_REG_TEMPERATUREH 0x11 /**< temperature high register */ +#define DS3231_REG_TEMPERATUREL 0x12 /**< temperature low register */ + +/** + * @brief chip address definition + */ +#define DS3231_ADDRESS 0x68 /**< iic device address */ + +/** + * @brief write one byte + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[in] data is the write data + * @return status code + * - 0 success + * - 1 write failed + * @note none + */ +static uint8_t a_ds3231_iic_write(ds3231_handle_t *handle, uint8_t reg, uint8_t data) +{ + if (handle->iic_write(DS3231_ADDRESS, reg, &data, 1) != 0) /* write data */ + { + return 1; /* return error */ + } + else + { + return 0; /* success return 0 */ + } +} + +/** + * @brief read multiple bytes + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[out] *buf points to a data buffer + * @param[in] len is the data buffer length + * @return status code + * - 0 success + * - 1 read failed + * @note none + */ +static uint8_t a_ds3231_iic_multiple_read(ds3231_handle_t *handle, uint8_t reg, uint8_t *buf, uint8_t len) +{ + if (handle->iic_read(DS3231_ADDRESS, reg, buf, len) != 0) /* read data */ + { + return 1; /* return error */ + } + else + { /* success return 0 */ + return 0; + } +} + +/** + * @brief hex to bcd + * @param[in] val is the hex data + * @return bcd data + * @note none + */ +static uint8_t a_ds3231_hex2bcd(uint8_t val) +{ + uint8_t i, j, k; + + i = val / 10; /* get tens place */ + j = val % 10; /* get ones place */ + k = j + (i << 4); /* set bcd */ + + return k; /* return bcd */ +} + +/** + * @brief bcd to hex + * @param[in] val is the bcd data + * @return hex data + * @note none + */ +static uint8_t a_ds3231_bcd2hex(uint8_t val) +{ + uint8_t temp; + + temp = val & 0x0F; /* get ones place */ + val = (val >> 4) & 0x0F; /* get tens place */ + val = val * 10; /* set tens place */ + temp = temp + val; /* get hex */ + + return temp; /* return hex */ +} + +/** + * @brief set the current time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @return status code + * - 0 success + * - 1 set time failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * - 4 time is invalid + * @note none + */ +uint8_t ds3231_set_time(ds3231_handle_t *handle, ds3231_time_t *t) +{ + uint8_t res; + uint8_t reg; + uint8_t century; + uint16_t year; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + if ((t->year < 1990) || (t->year > 2190)) /* check year */ + { + handle->debug_print("ds3231: year can't be over 2190 or less than 1990.\n"); /* year can't be over 2190 or less than 1990 */ + + return 4; /* return error */ + } + if ((t->month == 0) || (t->month > 12)) /* check month */ + { + handle->debug_print("ds3231: month can't be zero or over than 12.\n"); /* month can't be zero or over than 12 */ + + return 4; /* return error */ + } + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 4; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 4; /* return error */ + } + if ((t->hour < 1) || (t->hour > 12)) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 12 or less 1.\n"); /* hour can't be over than 12 or less 1 */ + + return 4; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 4; /* return error */ + } + if (t->second > 59) /* check second */ + { + handle->debug_print("ds3231: second can't be over than 59.\n"); /* second can't be over than 59 */ + + return 4; /* return error */ + } + } + else if (t->format == DS3231_FORMAT_24H) /* if 24H */ + { + if ((t->year < 1990) || (t->year > 2190)) /* check year */ + { + handle->debug_print("ds3231: year can't be over 2190 or less than 1990.\n"); /* year can't be over 2190 or less than 1990 */ + + return 4; /* return error */ + } + if ((t->month == 0) || (t->month > 12)) /* check month */ + { + handle->debug_print("ds3231: month can't be zero or over than 12.\n"); /* month can't be zero or over than 12 */ + + return 4; /* return error */ + } + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 4; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 4; /* return error */ + } + if (t->hour > 23) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 23.\n"); /* hour can't be over than 23 */ + + return 4; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 4; /* return error */ + } + if (t->second > 59) /* check second */ + { + handle->debug_print("ds3231: second can't be over than 59.\n"); /* second can't be over than 59 */ + + return 4; /* return error */ + } + } + else + { + handle->debug_print("ds3231: format is invalid.\n"); /* format is invalid */ + + return 4; /* return error */ + } + + res = a_ds3231_iic_write(handle, DS3231_REG_SECOND, a_ds3231_hex2bcd(t->second)); /* write second */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write second failed.\n"); /* write second failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_MINUTE, a_ds3231_hex2bcd(t->minute)); /* write minute */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write minute failed.\n"); /* write minute failed */ + + return 1; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + reg = (uint8_t)((1 << 6) | (t->am_pm << 5) | a_ds3231_hex2bcd(t->hour)); /* set hour in 12H */ + } + else /* if 24H */ + { + reg = (0 << 6) | a_ds3231_hex2bcd(t->hour); /* set hour in 24H */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_HOUR, reg); /* write hour */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write hour failed.\n"); /* write hour failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_WEEK, a_ds3231_hex2bcd(t->week)); /* write week */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write week failed.\n"); /* write week failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_DATE, a_ds3231_hex2bcd(t->date)); /* write data */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write date failed.\n"); /* write date failed */ + + return 1; /* return error */ + } + year = t->year - 1990; /* year -1990 */ + if (year >= 100) /* check year */ + { + century = 1; /* set century */ + year -= 100; /* year -= 100 */ + } + else + { + century = 0; /* set century 0 */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_MONTH, a_ds3231_hex2bcd(t->month) | (century << 7)); /* write month and century */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write century and month failed.\n"); /* write century and month failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_YEAR, a_ds3231_hex2bcd((uint8_t)year)); /* write year */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write year failed.\n"); /* write year failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the current time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @return status code + * - 0 success + * - 1 get time failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_time(ds3231_handle_t *handle, ds3231_time_t *t) +{ + uint8_t res; + uint8_t buf[7]; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + + memset(buf, 0, sizeof(uint8_t) * 7); /* clear the buffer */ + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_SECOND, (uint8_t *)buf, 7); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: multiple read failed.\n"); /* multiple read failed */ + + return 1; /* return error */ + } + t->year = a_ds3231_bcd2hex(buf[6]) + 1990 + ((buf[5] >> 7) & 0x01) * 100; /* get year */ + t->month = a_ds3231_bcd2hex(buf[5]&0x1F); /* get month */ + t->week = a_ds3231_bcd2hex(buf[3]); /* get week */ + t->date = a_ds3231_bcd2hex(buf[4]); /* get date */ + t->am_pm = (ds3231_am_pm_t)((buf[2] >> 5) & 0x01); /* get am pm */ + t->format = (ds3231_format_t)((buf[2] >> 6) & 0x01); /* get format */ + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + t->hour = a_ds3231_bcd2hex(buf[2] & 0x1F); /* get hour */ + } + else + { + t->hour = a_ds3231_bcd2hex(buf[2] & 0x3F); /* get hour */ + } + t->minute = a_ds3231_bcd2hex(buf[1]); /* get minute */ + t->second = a_ds3231_bcd2hex(buf[0]); /* get second */ + + return 0; /* success return 0 */ +} + +/** + * @brief set the alarm1 time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @param[in] mode is the alarm1 interrupt mode + * @return status code + * - 0 success + * - 1 set alarm1 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm1(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm1_mode_t mode) +{ + uint8_t res; + uint8_t reg; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 1; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 1; /* return error */ + } + if ((t->hour < 1) || (t->hour > 12)) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 12 or less 1.\n"); /* hour can't be over than 12 or less 1 */ + + return 1; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 1; /* return error */ + } + if (t->second > 59) /* check second */ + { + handle->debug_print("ds3231: second can't be over than 59.\n"); /* second can't be over than 59 */ + + return 1; /* return error */ + } + } + else if (t->format == DS3231_FORMAT_24H) /* if 24H */ + { + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 1; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 1; /* return error */ + } + if (t->hour > 23) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 23.\n"); /* hour can't be over than 23 */ + + return 1; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 1; /* return error */ + } + if (t->second > 59) /* check second */ + { + handle->debug_print("ds3231: second can't be over than 59.\n"); /* second can't be over than 59 */ + + return 1; /* return error */ + } + } + else + { + handle->debug_print("ds3231: format is invalid.\n"); /* format is invalid */ + + return 1; /* return error */ + } + + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM1_SECOND, a_ds3231_hex2bcd(t->second) | ((mode & 0x01) << 7)); /* write second */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm1 second failed.\n"); /* write alarm1 second failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM1_MINUTE, a_ds3231_hex2bcd(t->minute) | (((mode >> 1) & 0x01) << 7)); /* write minute */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm1 minute failed.\n"); /* write alarm1 minute failed */ + + return 1; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + reg = (uint8_t)((((mode >> 2) & 0x01) << 7) | (1 << 6) | (t->am_pm << 5) | a_ds3231_hex2bcd(t->hour)); /* set hour in 12H */ + } + else /* if 24H */ + { + reg = (((mode >> 2) & 0x01) << 7) | a_ds3231_hex2bcd(t->hour); /* set hour in 24H */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM1_HOUR, reg); /* write hour */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm1 hour failed.\n"); /* write alarm1 hour failed */ + + return 1; /* return error */ + } + if (mode >= DS3231_ALARM1_MODE_WEEK_HOUR_MINUTE_SECOND_MATCH) /* if week */ + { + reg = (((mode >> 3) & 0x01) << 7) | (1 << 6) | a_ds3231_hex2bcd(t->week); /* set data in week */ + } + else /* if day */ + { + reg = (((mode >> 3) & 0x01) << 7) | a_ds3231_hex2bcd(t->date); /* set data in date */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM1_WEEK, reg); /* write week */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm1 week failed.\n"); /* write alarm1 week failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the alarm1 time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @param[out] *mode points to an alarm1 interrupt mode buffer + * @return status code + * - 0 success + * - 1 get alarm1 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm1(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm1_mode_t *mode) +{ + uint8_t res; + uint8_t buf[4]; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + + memset(buf, 0, sizeof(uint8_t) * 4); /* clear the buffer */ + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_ALARM1_SECOND, (uint8_t *)buf, 4); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: multiple read failed.\n"); /* multiple read failed */ + + return 1; /* return error */ + } + t->year = 0; /* get year */ + t->month = 0; /* get month */ + if (((buf[3] >> 6) & 0x01) != 0) /* if week */ + { + t->week = a_ds3231_bcd2hex(buf[3] & 0x0F); /* get week */ + t->date = 0; /* get data */ + } + else /* if data */ + { + t->week = 0; /* get week */ + t->date = a_ds3231_bcd2hex(buf[3] & 0x3F); /* get data */ + } + t->am_pm = (ds3231_am_pm_t)((buf[2] >> 5) & 0x01); /* get am pm */ + t->format = (ds3231_format_t)((buf[2] >> 6) & 0x01); /* get format */ + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + t->hour = a_ds3231_bcd2hex(buf[2]&0x1F); /* get hour */ + } + else /* if 24H */ + { + t->hour = a_ds3231_bcd2hex(buf[2]&0x3F); /* get hour */ + } + t->minute = a_ds3231_bcd2hex(buf[1] & 0x7F); /* get minute */ + t->second = a_ds3231_bcd2hex(buf[0] & 0x7F); /* get second */ + *mode = (ds3231_alarm1_mode_t)(((buf[0]>>7)&0x01)<<0 | ((buf[1]>>7)&0x01)<<1 | ((buf[2]>>7)&0x01)<<2 | ((buf[3]>>7)&0x01)<<3 | + ((buf[3] >> 6)&0x01)<<4 + ); /* get mode */ + + return 0; /* success return 0 */ +} + +/** + * @brief set the alarm2 time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @param[in] mode is the alarm2 interrupt mode + * @return status code + * - 0 success + * - 1 set alarm2 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm2(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm2_mode_t mode) +{ + uint8_t res; + uint8_t reg; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 1; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 1; /* return error */ + } + if ((t->hour < 1) || (t->hour > 12)) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 12 or less 1.\n"); /* hour can't be over than 12 or less 1 */ + + return 1; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 1; /* return error */ + } + } + else if (t->format == DS3231_FORMAT_24H) /* if 24H */ + { + if ((t->week == 0) || (t->week > 7)) /* check week */ + { + handle->debug_print("ds3231: week can't be zero or over than 7.\n"); /* week can't be zero or over than 7 */ + + return 1; /* return error */ + } + if ((t->date == 0) || (t->date > 31)) /* check data */ + { + handle->debug_print("ds3231: date can't be zero or over than 31.\n"); /* date can't be zero or over than 31 */ + + return 1; /* return error */ + } + if (t->hour > 23) /* check hour */ + { + handle->debug_print("ds3231: hour can't be over than 23.\n"); /* hour can't be over than 23 */ + + return 1; /* return error */ + } + if (t->minute > 59) /* check minute */ + { + handle->debug_print("ds3231: minute can't be over than 59.\n"); /* minute can't be over than 59 */ + + return 1; /* return error */ + } + } + else + { + handle->debug_print("ds3231: format is invalid.\n"); /* format is invalid */ + + return 1; /* return error */ + } + + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM2_MINUTE, a_ds3231_hex2bcd(t->minute) | (((mode >> 0) & 0x01) << 7)); /* write minute */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm2 minute failed.\n"); /* write alarm2 minute failed */ + + return 1; /* return error */ + } + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + reg = (uint8_t)((((mode >> 1) & 0x01) << 7) | (1 << 6) | (t->am_pm << 5) | a_ds3231_hex2bcd(t->hour)); /* set hour in 12H */ + } + else /* if 24H */ + { + reg = (((mode >> 1) & 0x01) << 7) | a_ds3231_hex2bcd(t->hour); /* set hour in 24H */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM2_HOUR, reg); /* write hour */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm2 hour failed.\n"); /* write alarm2 hour failed */ + + return 1; /* return error */ + } + if (mode >= (uint8_t)DS3231_ALARM1_MODE_WEEK_HOUR_MINUTE_SECOND_MATCH) /* if week */ + { + reg = (((mode >> 2) & 0x01) << 7) | (1 << 6) | a_ds3231_hex2bcd(t->week); /* set data in week */ + } + else /* if day */ + { + reg = (((mode >> 2) & 0x01) << 7) | a_ds3231_hex2bcd(t->date); /* set data in date */ + } + res = a_ds3231_iic_write(handle, DS3231_REG_ALARM2_WEEK, reg); /* write week */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write alarm2 week failed.\n"); /* write alarm2 week failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the alarm2 time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @param[out] *mode points to an alarm2 interrupt mode buffer + * @return status code + * - 0 success + * - 1 get alarm2 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm2(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm2_mode_t *mode) +{ + uint8_t res; + uint8_t buf[3]; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + if (t == NULL) /* check time */ + { + handle->debug_print("ds3231: time is null.\n"); /* time is null */ + + return 2; /* return error */ + } + + memset(buf, 0, sizeof(uint8_t) * 3); /* clear the buffer */ + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_ALARM2_MINUTE, (uint8_t *)buf, 3); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: multiple read failed.\n"); /* multiple read failed */ + + return 1; /* return error */ + } + t->year = 0; /* get year */ + t->month = 0; /* get month */ + if (((buf[2] >> 6) & 0x01) != 0) /* if week */ + { + t->week = a_ds3231_bcd2hex(buf[2] & 0x0F); /* get week */ + t->date = 0; /* get data */ + } + else /* if data */ + { + t->week = 0; /* get week */ + t->date = a_ds3231_bcd2hex(buf[2] & 0x3F); /* get data */ + } + t->am_pm = (ds3231_am_pm_t)((buf[1] >> 5) & 0x01); /* get am pm */ + t->format = (ds3231_format_t)((buf[1] >> 6) & 0x01); /* get format */ + if (t->format == DS3231_FORMAT_12H) /* if 12H */ + { + t->hour = a_ds3231_bcd2hex(buf[1]&0x1F); /* get hour */ + } + else /* if 24H */ + { + t->hour = a_ds3231_bcd2hex(buf[1]&0x3F); /* get hour */ + } + t->minute = a_ds3231_bcd2hex(buf[0] & 0x7F); /* get minute */ + t->second = 0; /* get second */ + *mode = (ds3231_alarm2_mode_t)(((buf[0]>>7)&0x01)<<0 | ((buf[1]>>7)&0x01)<<1 | ((buf[2]>>7)&0x01)<<2 | ((buf[2]>>6)&0x01)<<4); /* get mode */ + + return 0; /* success return 0 */ +} + +/** + * @brief enable or disable the oscillator + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set oscillator failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_oscillator(ds3231_handle_t *handle, ds3231_bool_t enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + prev &= ~ (1 << 7); /* clear config */ + prev |= (!enable) << 7; /* set enable */ + res = a_ds3231_iic_write(handle, DS3231_REG_CONTROL, prev); /* write control */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write control failed.\n"); /* write control failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the chip oscillator status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get oscillator failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_oscillator(ds3231_handle_t *handle, ds3231_bool_t *enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + *enable = (ds3231_bool_t)(!((prev >> 7) & 0x01)); /* get enable */ + + return 0; /* success return 0 */ +} + +/** + * @brief enable or disable the alarm interrupt + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set alarm interrupt failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm_interrupt(ds3231_handle_t *handle, ds3231_alarm_t alarm, ds3231_bool_t enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + prev &= ~(1 << alarm); /* clear config */ + prev |= enable << alarm; /* set enable */ + res = a_ds3231_iic_write(handle, DS3231_REG_CONTROL, prev); /* write control */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write control failed.\n"); /* write control failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the alarm interrupt status + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get alarm interrupt failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm_interrupt(ds3231_handle_t *handle, ds3231_alarm_t alarm, ds3231_bool_t *enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + *enable = (ds3231_bool_t)((prev >> alarm) & 0x01); /* get enable */ + + return 0; /* success return 0 */ +} + +/** + * @brief set the chip pin function + * @param[in] *handle points to a ds3231 handle structure + * @param[in] pin is the pin's function + * @return status code + * - 0 success + * - 1 set pin failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_pin(ds3231_handle_t *handle, ds3231_pin_t pin) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + prev &= ~(1 << 2); /* clear config */ + prev |= pin << 2; /* set pin */ + res = a_ds3231_iic_write(handle, DS3231_REG_CONTROL, prev); /* write control */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write control failed.\n"); /* write control failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the chip pin function + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *pin points to a pin's function buffer + * @return status code + * - 0 success + * - 1 get pin failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_pin(ds3231_handle_t *handle, ds3231_pin_t *pin) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + *pin = (ds3231_pin_t)((prev >> 2) & 0x01); /* get pin */ + + return 0; /* success return 0 */ +} + +/** + * @brief enable or disable the square wave output + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set square wave failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_square_wave(ds3231_handle_t *handle, ds3231_bool_t enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + prev &= ~(1 << 6); /* clear config */ + prev |= enable << 6; /* set enable */ + res = a_ds3231_iic_write(handle, DS3231_REG_CONTROL, prev); /* write control */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write control failed.\n"); /* write control failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the square wave output status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get square wave failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_square_wave(ds3231_handle_t *handle, ds3231_bool_t *enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + *enable = (ds3231_bool_t)((prev >> 6) & 0x01); /* get enable */ + + return 0; /* success return 0 */ +} + +/** + * @brief get the chip temperature + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *raw points to a raw temperature buffer + * @param[out] *s points to a converted temperature buffer + * @return status code + * - 0 success + * - 1 get temperature failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_temperature(ds3231_handle_t *handle, int16_t *raw, float *s) +{ + uint8_t res; + uint8_t prev; + uint32_t times; + uint8_t buf[2]; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + memset(buf, 0, sizeof(uint8_t) * 2); /* clear the buffer */ + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_CONTROL, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read control failed.\n"); /* read control failed */ + + return 1; /* return error */ + } + prev &= ~(1 << 5); /* clear config */ + prev |= 1 << 5; /* set enable */ + res = a_ds3231_iic_write(handle, DS3231_REG_CONTROL, prev); /* write control */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write control failed.\n"); /* write control failed */ + + return 1; /* return error */ + } + times = 500; /* set 5s */ + while (times != 0) /* check times */ + { + handle->delay_ms(10); /* delay 10 ms */ + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* read status */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } + if (((prev >> 2) & 0x01) == 0) /* check result */ + { + break; /* break */ + } + times--; /* times-- */ + } + if (times == 0) /* if zero */ + { + handle->debug_print("ds3231: read timeout.\n"); /* read timeout */ + + return 1; /* return error */ + } + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_TEMPERATUREH, (uint8_t *)buf, 2); /* read temperature */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read temperature failed.\n"); /* read temperature failed */ + + return 1; /* return error */ + } + *raw = (int16_t)(((uint16_t)buf[0]) << 8) | buf[1]; /* set raw temperature */ + *raw = (*raw) >> 6; /* right shift */ + if (((*raw) & 0x0200) != 0) /* set negative value */ + { + *raw = (*raw) | 0xFC00U; /* set negative part */ + } + *s = (float)(*raw) * 0.25f; /* set converted temperature */ + + return 0; /* success return 0 */ +} + +/** + * @brief get the chip status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *status points to a chip status buffer + * @return status code + * - 0 success + * - 1 get status failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_status(ds3231_handle_t *handle, uint8_t *status) +{ + uint8_t res; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)status, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief set the chip aging offset + * @param[in] *handle points to a ds3231 handle structure + * @param[in] offset is time aging offset + * @return status code + * - 0 success + * - 1 set aging offset failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_aging_offset(ds3231_handle_t *handle, int8_t offset) +{ + uint8_t res; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_write(handle, DS3231_REG_XTAL, offset); /* write offset */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write offset failed.\n"); /* write offset failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the chip aging offset + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *offset points to a time aging offset buffer + * @return status code + * - 0 success + * - 1 get aging offset failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_aging_offset(ds3231_handle_t *handle, int8_t *offset) +{ + uint8_t res; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_XTAL, (uint8_t *)offset, 1); /* read offset */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read offset failed.\n"); /* read offset failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief convert a aging offset value to a register raw data + * @param[in] *handle points to a ds3231 handle structure + * @param[in] offset is a converted aging offset value + * @param[out] *reg points to a register raw buffer + * @return status code + * - 0 success + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_aging_offset_convert_to_register(ds3231_handle_t *handle, float offset, int8_t *reg) +{ + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + *reg = (int8_t)(offset / 0.12f); /* convert real data to register data */ + + return 0; /* success return 0 */ +} + +/** + * @brief convert a register raw data to a converted aging offset data + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the register raw data + * @param[out] *offset points to a converted aging offset buffer + * @return status code + * - 0 success + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_aging_offset_convert_to_data(ds3231_handle_t *handle, int8_t reg, float *offset) +{ + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + *offset = (float)(reg) * 0.12f; /* convert raw data to real data */ + + return 0; /* success return 0 */ +} + +/** + * @brief irq handler + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 run failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_irq_handler(ds3231_handle_t *handle) +{ + uint8_t res, prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } /* if oscillator stop */ + if ((prev & DS3231_STATUS_ALARM_2) != 0) /* if alarm 2 */ + { + if (handle->receive_callback != NULL) /* if receive callback */ + { + handle->receive_callback(DS3231_STATUS_ALARM_2); /* run callback */ + } + } + if ((prev & DS3231_STATUS_ALARM_1) != 0) /* if alarm 1 */ + { + if (handle->receive_callback != NULL) /* if receive callback */ + { + handle->receive_callback(DS3231_STATUS_ALARM_1); /* run callback */ + } + } + + return 0; /* success return 0 */ +} + +/** + * @brief initialize the chip + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 iic initialization failed + * - 2 handle is NULL + * - 3 linked functions is NULL + * @note none + */ +uint8_t ds3231_init(ds3231_handle_t *handle) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->debug_print == NULL) /* check debug_print */ + { + return 3; /* return error */ + } + if (handle->iic_init == NULL) /* check iic_init */ + { + handle->debug_print("ds3231: iic_init is null.\n"); /* iic_init is null */ + + return 3; /* return error */ + } + if (handle->iic_deinit == NULL) /* check iic_deinit */ + { + handle->debug_print("ds3231: iic_deinit is null.\n"); /* iic_deinit is null */ + + return 3; /* return error */ + } + if (handle->iic_write == NULL) /* check iic_write */ + { + handle->debug_print("ds3231: iic_write is null.\n"); /* iic_write is null */ + + return 3; /* return error */ + } + if (handle->iic_read == NULL) /* check iic_read */ + { + handle->debug_print("ds3231: iic_read is null.\n"); /* iic_read is null */ + + return 3; /* return error */ + } + if (handle->delay_ms == NULL) /* check delay_ms */ + { + handle->debug_print("ds3231: delay_ms is null.\n"); /* delay_ms is null */ + + return 3; /* return error */ + } + if (handle->receive_callback == NULL) /* check receive_callback */ + { + handle->debug_print("ds3231: receive_callback is null.\n"); /* receive_callback is null */ + + return 3; /* return error */ + } + + if (handle->iic_init() != 0) /* iic init */ + { + handle->debug_print("ds3231: iic init failed.\n"); /* iic init failed */ + + return 1; /* return error */ + } + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + (void)handle->iic_deinit(); /* iic deinit */ + + return 1; /* return error */ + } + prev &= ~(1 << 7); /* clear config */ + res = a_ds3231_iic_write(handle, DS3231_REG_STATUS, prev); /* write status */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write status failed.\n"); /* write status failed */ + (void)handle->iic_deinit(); /* iic deinit */ + + return 1; /* return error */ + } + handle->inited = 1; /* flag finish initialization */ + + return 0; /* success return 0 */ +} + +/** + * @brief close the chip + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 iic deinit failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_deinit(ds3231_handle_t *handle) +{ + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + if (handle->iic_deinit() != 0) /* iic deinit */ + { + handle->debug_print("ds3231: iic deinit failed.\n"); /* iic deinit failed */ + + return 1; /* return error */ + } + handle->inited = 0; /* flag close */ + + return 0; /* success return 0 */ +} + +/** + * @brief clear the alarm flag + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @return status code + * - 0 success + * - 1 alarm clear failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_alarm_clear(ds3231_handle_t *handle, ds3231_alarm_t alarm) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* multiple_read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } + prev &= ~(1 << alarm); /* clear config */ + res = a_ds3231_iic_write(handle, DS3231_REG_STATUS, prev); /* write status */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write status failed.\n"); /* write status failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief enable or disable the 32KHz output + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set 32khz output failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_32khz_output(ds3231_handle_t *handle, ds3231_bool_t enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } + prev &= ~(1 << 3); /* clear config */ + prev |= enable << 3; /* set enable */ + res = a_ds3231_iic_write(handle, DS3231_REG_STATUS, prev); /* write status */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: write status failed.\n"); /* write status failed */ + + return 1; /* return error */ + } + + return 0; /* success return 0 */ +} + +/** + * @brief get the 32KHz output status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get 32khz output failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_32khz_output(ds3231_handle_t *handle, ds3231_bool_t *enable) +{ + uint8_t res; + uint8_t prev; + + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + res = a_ds3231_iic_multiple_read(handle, DS3231_REG_STATUS, (uint8_t *)&prev, 1); /* multiple read */ + if (res != 0) /* check result */ + { + handle->debug_print("ds3231: read status failed.\n"); /* read status failed */ + + return 1; /* return error */ + } + *enable = (ds3231_bool_t)((prev >> 3) & 0x01); /* get enable */ + + return 0; /* success return 0 */ +} + +/** + * @brief set the chip register + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[in] *buf points to a data buffer + * @param[in] len is the data buffer length + * @return status code + * - 0 success + * - 1 write failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_reg(ds3231_handle_t *handle, uint8_t reg, uint8_t *buf, uint16_t len) +{ + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + if (handle->iic_write(DS3231_ADDRESS, reg, buf, len) != 0) /* write data */ + { + return 1; /* return error */ + } + else + { + return 0; /* success return 0 */ + } +} + +/** + * @brief get the chip register + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[out] *buf points to a data buffer + * @param[in] len is the data buffer length + * @return status code + * - 0 success + * - 1 read failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_reg(ds3231_handle_t *handle, uint8_t reg, uint8_t *buf, uint16_t len) +{ + if (handle == NULL) /* check handle */ + { + return 2; /* return error */ + } + if (handle->inited != 1) /* check handle initialization */ + { + return 3; /* return error */ + } + + if (handle->iic_read(DS3231_ADDRESS, reg, buf, len) != 0) /* read data */ + { + return 1; /* return error */ + } + else + { + return 0; /* success return 0 */ + } +} + +/** + * @brief get chip's information + * @param[out] *info points to a ds3231 info structure + * @return status code + * - 0 success + * - 2 handle is NULL + * @note none + */ +uint8_t ds3231_info(ds3231_info_t *info) +{ + if (info == NULL) /* check handle */ + { + return 2; /* return error */ + } + + memset(info, 0, sizeof(ds3231_info_t)); /* initialize ds3231 info structure */ + strncpy(info->chip_name, CHIP_NAME, 32); /* copy chip name */ + strncpy(info->manufacturer_name, MANUFACTURER_NAME, 32); /* copy manufacturer name */ + strncpy(info->interface, "IIC", 8); /* copy interface name */ + info->supply_voltage_min_v = SUPPLY_VOLTAGE_MIN; /* set minimal supply voltage */ + info->supply_voltage_max_v = SUPPLY_VOLTAGE_MAX; /* set maximum supply voltage */ + info->max_current_ma = MAX_CURRENT; /* set maximum current */ + info->temperature_max = TEMPERATURE_MAX; /* set minimal temperature */ + info->temperature_min = TEMPERATURE_MIN; /* set maximum temperature */ + info->driver_version = DRIVER_VERSION; /* set driver version */ + + return 0; /* success return 0 */ +} diff --git a/Project/Application/driver_ds3231.h b/Project/Application/driver_ds3231.h new file mode 100644 index 0000000..1b888e4 --- /dev/null +++ b/Project/Application/driver_ds3231.h @@ -0,0 +1,718 @@ +/** + * Copyright (c) 2015 - present LibDriver All rights reserved + * + * The MIT License (MIT) + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to deal + * in the Software without restriction, including without limitation the rights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + * SOFTWARE. + * + * @file driver_ds3231.h + * @brief driver ds3231 header file + * @version 2.0.0 + * @author Shifeng Li + * @date 2021-03-15 + * + *

history

+ * + *
Date Version Author Description + *
2021/03/15 2.0 Shifeng Li format the code + *
2020/11/30 1.0 Shifeng Li first upload + *
+ */ + +#ifndef DRIVER_DS3231_H +#define DRIVER_DS3231_H + +#include +#include +#include + +#ifdef __cplusplus +extern "C"{ +#endif + +/** + * @defgroup ds3231_driver ds3231 driver function + * @brief ds3231 driver modules + * @{ + */ + +/** + * @addtogroup ds3231_base_driver + * @{ + */ + +/** + * @brief ds3231 bool enumeration definition + */ +typedef enum +{ + DS3231_BOOL_FALSE = 0x00, /**< disable function */ + DS3231_BOOL_TRUE = 0x01, /**< enable function */ +} ds3231_bool_t; + +/** + * @brief ds3231 alarm enumeration definition + */ +typedef enum +{ + DS3231_ALARM_1 = 0x00, /**< alarm 1 */ + DS3231_ALARM_2 = 0x01, /**< alarm 2 */ +} ds3231_alarm_t; + +/** + * @brief ds3231 am pm enumeration definition + */ +typedef enum +{ + DS3231_AM = 0x00, /**< am */ + DS3231_PM = 0x01, /**< pm */ +} ds3231_am_pm_t; + +/** + * @brief ds3231 pin enumeration definition + */ +typedef enum +{ + DS3231_PIN_SQUARE_WAVE = 0x00, /**< square wave pin */ + DS3231_PIN_INTERRUPT = 0x01, /**< interrupt pin */ +} ds3231_pin_t; + +/** + * @brief ds3231 format enumeration definition + */ +typedef enum +{ + DS3231_FORMAT_12H = 0x01, /**< 12h format */ + DS3231_FORMAT_24H = 0x00, /**< 24h format */ +} ds3231_format_t; + +/** + * @brief ds3231 alarm1 enumeration definition + */ +typedef enum +{ + DS3231_STATUS_ALARM_2 = (1 << 1), /**< alarm 2 status */ + DS3231_STATUS_ALARM_1 = (1 << 0), /**< alarm 1 status */ +} ds3231_status_t; + +/** + * @} + */ + +/** + * @addtogroup ds3231_alarm_driver + * @{ + */ + +/** + * @brief ds3231 alarm1 enumeration definition + */ +typedef enum +{ + DS3231_ALARM1_MODE_ONCE_A_SECOND = 0x0F, /**< interrupt once a second */ + DS3231_ALARM1_MODE_SECOND_MATCH = 0x0E, /**< interrupt second match */ + DS3231_ALARM1_MODE_MINUTE_SECOND_MATCH = 0x0C, /**< interrupt minute second match */ + DS3231_ALARM1_MODE_HOUR_MINUTE_SECOND_MATCH = 0x08, /**< interrupt hour minute second match */ + DS3231_ALARM1_MODE_DATE_HOUR_MINUTE_SECOND_MATCH = 0x00, /**< interrupt date hour minute second match */ + DS3231_ALARM1_MODE_WEEK_HOUR_MINUTE_SECOND_MATCH = 0x10, /**< interrupt week hour minute second match */ +} ds3231_alarm1_mode_t; + +/** + * @brief ds3231 alarm2 enumeration definition + */ +typedef enum +{ + DS3231_ALARM2_MODE_ONCE_A_MINUTE = 0x07, /**< interrupt once a minute */ + DS3231_ALARM2_MODE_MINUTE_MATCH = 0x06, /**< interrupt minute match */ + DS3231_ALARM2_MODE_HOUR_MINUTE_MATCH = 0x04, /**< interrupt hour minute match */ + DS3231_ALARM2_MODE_DATE_HOUR_MINUTE_MATCH = 0x00, /**< interrupt data hour minute match */ + DS3231_ALARM2_MODE_WEEK_HOUR_MINUTE_MATCH = 0x10, /**< interrupt week hour minute match */ +} ds3231_alarm2_mode_t; + +/** + * @} + */ + +/** + * @addtogroup ds3231_base_driver + * @{ + */ + +/** + * @brief ds3231 time structure definition + */ +typedef struct ds3231_time_s +{ + uint16_t year; /**< year */ + uint8_t month; /**< month */ + uint8_t week; /**< week */ + uint8_t date; /**< date */ + uint8_t hour; /**< hour */ + uint8_t minute; /**< minute */ + uint8_t second; /**< second */ + ds3231_format_t format; /**< data format */ + ds3231_am_pm_t am_pm; /**< am pm */ +} ds3231_time_t; + +/** + * @brief ds3231 handle structure definition + */ +typedef struct ds3231_handle_s +{ + uint8_t (*iic_init)(void); /**< point to an iic_init function address */ + uint8_t (*iic_deinit)(void); /**< point to an iic_deinit function address */ + uint8_t (*iic_write)(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len); /**< point to an iic_write function address */ + uint8_t (*iic_read)(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len); /**< point to an iic_read function address */ + void (*debug_print)(const char *const fmt, ...); /**< point to a debug_print function address */ + void (*receive_callback)(uint8_t type); /**< point to a receive_callback function address */ + void (*delay_ms)(uint32_t ms); /**< point to a delay_ms function address */ + uint8_t inited; /**< inited flag */ +} ds3231_handle_t; + +/** + * @brief ds3231 information structure definition + */ +typedef struct ds3231_info_s +{ + char chip_name[32]; /**< chip name */ + char manufacturer_name[32]; /**< manufacturer name */ + char interface[8]; /**< chip interface name */ + float supply_voltage_min_v; /**< chip min supply voltage */ + float supply_voltage_max_v; /**< chip max supply voltage */ + float max_current_ma; /**< chip max current */ + float temperature_min; /**< chip min operating temperature */ + float temperature_max; /**< chip max operating temperature */ + uint32_t driver_version; /**< driver version */ +} ds3231_info_t; + +/** + * @} + */ + +/** + * @defgroup ds3231_link_driver ds3231 link driver function + * @brief ds3231 link driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief initialize ds3231_handle_t structure + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] STRUCTURE is ds3231_handle_t + * @note none + */ +#define DRIVER_DS3231_LINK_INIT(HANDLE, STRUCTURE) memset(HANDLE, 0, sizeof(STRUCTURE)) + +/** + * @brief link iic_init function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to an iic_init function address + * @note none + */ +#define DRIVER_DS3231_LINK_IIC_INIT(HANDLE, FUC) (HANDLE)->iic_init = FUC + +/** + * @brief link iic_deinit function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to an iic_deinit function address + * @note none + */ +#define DRIVER_DS3231_LINK_IIC_DEINIT(HANDLE, FUC) (HANDLE)->iic_deinit = FUC + +/** + * @brief link iic_read function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to an iic_read function address + * @note none + */ +#define DRIVER_DS3231_LINK_IIC_READ(HANDLE, FUC) (HANDLE)->iic_read = FUC + +/** + * @brief link iic_write function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to an iic_write function address + * @note none + */ +#define DRIVER_DS3231_LINK_IIC_WRITE(HANDLE, FUC) (HANDLE)->iic_write = FUC + +/** + * @brief link delay_ms function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to a delay_ms function address + * @note none + */ +#define DRIVER_DS3231_LINK_DELAY_MS(HANDLE, FUC) (HANDLE)->delay_ms = FUC + +/** + * @brief link debug_print function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to a debug_print function address + * @note none + */ +#define DRIVER_DS3231_LINK_DEBUG_PRINT(HANDLE, FUC) (HANDLE)->debug_print = FUC + +/** + * @brief link receive_callback function + * @param[in] HANDLE points to a ds3231 handle structure + * @param[in] FUC points to a receive_callback function address + * @note none + */ +#define DRIVER_DS3231_LINK_RECEIVE_CALLBACK(HANDLE, FUC) (HANDLE)->receive_callback = FUC + +/** + * @} + */ + +/** + * @defgroup ds3231_base_driver ds3231 base driver function + * @brief ds3231 base driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief get chip's information + * @param[out] *info points to a ds3231 info structure + * @return status code + * - 0 success + * - 2 handle is NULL + * @note none + */ +uint8_t ds3231_info(ds3231_info_t *info); + +/** + * @brief initialize the chip + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 iic initialization failed + * - 2 handle is NULL + * - 3 linked functions is NULL + * @note none + */ +uint8_t ds3231_init(ds3231_handle_t *handle); + +/** + * @brief close the chip + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 iic deinit failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_deinit(ds3231_handle_t *handle); + +/** + * @brief irq handler + * @param[in] *handle points to a ds3231 handle structure + * @return status code + * - 0 success + * - 1 run failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_irq_handler(ds3231_handle_t *handle); + +/** + * @brief set the current time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @return status code + * - 0 success + * - 1 set time failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * - 4 time is invalid + * @note none + */ +uint8_t ds3231_set_time(ds3231_handle_t *handle, ds3231_time_t *t); + +/** + * @brief get the current time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @return status code + * - 0 success + * - 1 get time failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_time(ds3231_handle_t *handle, ds3231_time_t *t); + +/** + * @brief enable or disable the oscillator + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set oscillator failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_oscillator(ds3231_handle_t *handle, ds3231_bool_t enable); + +/** + * @brief get the chip oscillator status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get oscillator failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_oscillator(ds3231_handle_t *handle, ds3231_bool_t *enable); + +/** + * @brief get the chip status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *status points to a chip status buffer + * @return status code + * - 0 success + * - 1 get status failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_status(ds3231_handle_t *handle, uint8_t *status); + +/** + * @} + */ + +/** + * @defgroup ds3231_advance_driver ds3231 advance driver function + * @brief ds3231 advance driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief set the chip pin function + * @param[in] *handle points to a ds3231 handle structure + * @param[in] pin is the pin's function + * @return status code + * - 0 success + * - 1 set pin failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_pin(ds3231_handle_t *handle, ds3231_pin_t pin); + +/** + * @brief get the chip pin function + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *pin points to a pin's function buffer + * @return status code + * - 0 success + * - 1 get pin failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_pin(ds3231_handle_t *handle, ds3231_pin_t *pin); + +/** + * @brief enable or disable the square wave output + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set square wave failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_square_wave(ds3231_handle_t *handle, ds3231_bool_t enable); + +/** + * @brief get the square wave output status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get square wave failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_square_wave(ds3231_handle_t *handle, ds3231_bool_t *enable); + +/** + * @brief enable or disable the 32KHz output + * @param[in] *handle points to a ds3231 handle structure + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set 32khz output failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_32khz_output(ds3231_handle_t *handle, ds3231_bool_t enable); + +/** + * @brief get the 32KHz output status + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get 32khz output failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_32khz_output(ds3231_handle_t *handle, ds3231_bool_t *enable); + +/** + * @brief get the chip temperature + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *raw points to a raw temperature buffer + * @param[out] *s points to a converted temperature buffer + * @return status code + * - 0 success + * - 1 get temperature failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_temperature(ds3231_handle_t *handle, int16_t *raw, float *s); + +/** + * @brief set the chip aging offset + * @param[in] *handle points to a ds3231 handle structure + * @param[in] offset is time aging offset + * @return status code + * - 0 success + * - 1 set aging offset failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_aging_offset(ds3231_handle_t *handle, int8_t offset); + +/** + * @brief get the chip aging offset + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *offset points to a time aging offset buffer + * @return status code + * - 0 success + * - 1 get aging offset failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_aging_offset(ds3231_handle_t *handle, int8_t *offset); + +/** + * @brief convert a aging offset value to a register raw data + * @param[in] *handle points to a ds3231 handle structure + * @param[in] offset is a converted aging offset value + * @param[out] *reg points to a register raw buffer + * @return status code + * - 0 success + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_aging_offset_convert_to_register(ds3231_handle_t *handle, float offset, int8_t *reg); + +/** + * @brief convert a register raw data to a converted aging offset data + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the register raw data + * @param[out] *offset points to a converted aging offset buffer + * @return status code + * - 0 success + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_aging_offset_convert_to_data(ds3231_handle_t *handle, int8_t reg, float *offset); + +/** + * @} + */ + +/** + * @defgroup ds3231_alarm_driver ds3231 alarm driver function + * @brief ds3231 alarm driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief enable or disable the alarm interrupt + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @param[in] enable is a bool value + * @return status code + * - 0 success + * - 1 set alarm interrupt failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm_interrupt(ds3231_handle_t *handle, ds3231_alarm_t alarm, ds3231_bool_t enable); + +/** + * @brief get the alarm interrupt status + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @param[out] *enable points to a bool value buffer + * @return status code + * - 0 success + * - 1 get alarm interrupt failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm_interrupt(ds3231_handle_t *handle, ds3231_alarm_t alarm, ds3231_bool_t *enable); + +/** + * @brief set the alarm1 time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @param[in] mode is the alarm1 interrupt mode + * @return status code + * - 0 success + * - 1 set alarm1 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm1(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm1_mode_t mode); + +/** + * @brief get the alarm1 time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @param[out] *mode points to an alarm1 interrupt mode buffer + * @return status code + * - 0 success + * - 1 get alarm1 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm1(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm1_mode_t *mode); + +/** + * @brief set the alarm2 time + * @param[in] *handle points to a ds3231 handle structure + * @param[in] *t points to a time structure + * @param[in] mode is the alarm2 interrupt mode + * @return status code + * - 0 success + * - 1 set alarm2 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_alarm2(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm2_mode_t mode); + +/** + * @brief get the alarm2 time + * @param[in] *handle points to a ds3231 handle structure + * @param[out] *t points to a time structure + * @param[out] *mode points to an alarm2 interrupt mode buffer + * @return status code + * - 0 success + * - 1 get alarm2 failed + * - 2 handle or time is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_alarm2(ds3231_handle_t *handle, ds3231_time_t *t, ds3231_alarm2_mode_t *mode); + +/** + * @brief clear the alarm flag + * @param[in] *handle points to a ds3231 handle structure + * @param[in] alarm is the alarm number + * @return status code + * - 0 success + * - 1 alarm clear failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_alarm_clear(ds3231_handle_t *handle, ds3231_alarm_t alarm); + +/** + * @} + */ + +/** + * @defgroup ds3231_extern_driver ds3231 extern driver function + * @brief ds3231 extern driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief set the chip register + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[in] *buf points to a data buffer + * @param[in] len is the data buffer length + * @return status code + * - 0 success + * - 1 write failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_set_reg(ds3231_handle_t *handle, uint8_t reg, uint8_t *buf, uint16_t len); + +/** + * @brief get the chip register + * @param[in] *handle points to a ds3231 handle structure + * @param[in] reg is the iic register address + * @param[out] *buf points to a data buffer + * @param[in] len is the data buffer length + * @return status code + * - 0 success + * - 1 read failed + * - 2 handle is NULL + * - 3 handle is not initialized + * @note none + */ +uint8_t ds3231_get_reg(ds3231_handle_t *handle, uint8_t reg, uint8_t *buf, uint16_t len); + +/** + * @} + */ + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/Project/Application/driver_ds3231_basic.c b/Project/Application/driver_ds3231_basic.c new file mode 100644 index 0000000..9912dac --- /dev/null +++ b/Project/Application/driver_ds3231_basic.c @@ -0,0 +1,383 @@ +/** + * Copyright (c) 2015 - present LibDriver All rights reserved + * + * The MIT License (MIT) + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to deal + * in the Software without restriction, including without limitation the rights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + * SOFTWARE. + * + * @file driver_ds3231_basic.c + * @brief driver ds3231 basic source file + * @version 2.0.0 + * @author Shifeng Li + * @date 2021-03-15 + * + *

history

+ * + *
Date Version Author Description + *
2021/03/15 2.0 Shifeng Li format the code + *
2020/11/30 1.0 Shifeng Li first upload + *
+ */ + +#include "driver_ds3231_basic.h" + + +static ds3231_handle_t gs_handle; /**< ds3231 handle */ +static int8_t gs_time_zone = 0; /**< local zone */ + +/** + * @brief basic example init + * @return status code + * - 0 success + * - 1 init failed + * @note none + */ +uint8_t ds3231_basic_init(void) +{ + uint8_t res; + int8_t reg; + + /* link functions */ + DRIVER_DS3231_LINK_INIT(&gs_handle, ds3231_handle_t); + DRIVER_DS3231_LINK_IIC_INIT(&gs_handle, ds3231_interface_iic_init); + DRIVER_DS3231_LINK_IIC_DEINIT(&gs_handle, ds3231_interface_iic_deinit); + DRIVER_DS3231_LINK_IIC_READ(&gs_handle, ds3231_interface_iic_read); + DRIVER_DS3231_LINK_IIC_WRITE(&gs_handle, ds3231_interface_iic_write); + DRIVER_DS3231_LINK_DELAY_MS(&gs_handle, ds3231_interface_delay_ms); + DRIVER_DS3231_LINK_DEBUG_PRINT(&gs_handle, ds3231_interface_debug_print); + DRIVER_DS3231_LINK_RECEIVE_CALLBACK(&gs_handle, ds3231_interface_receive_callback); + + /* init ds3231 */ + res = ds3231_init(&gs_handle); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: init failed.\n"); + + return 1; + } + + /* set oscillator */ + res = ds3231_set_oscillator(&gs_handle, DS3231_BOOL_TRUE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set oscillator failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* disable alarm1 */ + res = ds3231_set_alarm_interrupt(&gs_handle, DS3231_ALARM_1, DS3231_BOOL_FALSE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set alarm1 interrupt failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* disable alarm2 */ + res = ds3231_set_alarm_interrupt(&gs_handle, DS3231_ALARM_2, DS3231_BOOL_FALSE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set alarm2 interrupt failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* set square wave */ + res = ds3231_set_pin(&gs_handle, DS3231_PIN_SQUARE_WAVE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set pin failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* disable square wave */ + res = ds3231_set_square_wave(&gs_handle, DS3231_BOOL_FALSE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set square wave failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* disable 32khz output */ + res = ds3231_set_32khz_output(&gs_handle, DS3231_BOOL_FALSE); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set 32khz output failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* convert to register */ + res = ds3231_aging_offset_convert_to_register(&gs_handle, DS3231_BASIC_DEFAULT_AGING_OFFSET, (int8_t *)®); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: convert to register failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + /* set aging offset */ + res = ds3231_set_aging_offset(&gs_handle, reg); + if (res != 0) + { + ds3231_interface_debug_print("ds3231: set aging offset failed.\n"); + (void)ds3231_deinit(&gs_handle); + + return 1; + } + + return 0; +} + +/** + * @brief basic example deinit + * @return status code + * - 0 success + * - 1 deinit failed + * @note none + */ +uint8_t ds3231_basic_deinit(void) +{ + if (ds3231_deinit(&gs_handle) != 0) + { + return 1; + } + else + { + return 0; + } +} + +/** + * @brief basic example set the time + * @param[in] *t points to a time structure + * @return status code + * - 0 success + * - 1 set time failed + * @note none + */ +uint8_t ds3231_basic_set_time(ds3231_time_t *t) +{ + /* set time */ + if (ds3231_set_time(&gs_handle, t) != 0) + { + return 1; + } + else + { + return 0; + } +} + +/** + * @brief basic example set the time by a unix timestamp + * @param[in] timestamp is a unix timestamp + * @return status code + * - 0 success + * - 1 set timestamp failed + * @note none + */ +uint8_t ds3231_basic_set_timestamp(time_t timestamp) +{ + ds3231_time_t t; + struct tm *timeptr; + + /* convert times */ + timestamp += (time_t)(gs_time_zone * 3600); + timeptr = localtime(×tamp); + t.am_pm = DS3231_AM; + t.date = (uint8_t)timeptr->tm_mday; + t.format = DS3231_FORMAT_24H; + t.hour = (uint8_t)timeptr->tm_hour; + t.minute = (uint8_t)timeptr->tm_min; + t.month = (uint8_t)timeptr->tm_mon + 1; + t.second = (uint8_t)timeptr->tm_sec; + if (timeptr->tm_wday == 0) + { + t.week = 7; + } + else + { + t.week = (uint8_t)timeptr->tm_wday; + } + t.year = (uint16_t)(timeptr->tm_year + 1900); + + /* set time */ + if (ds3231_set_time(&gs_handle, &t) != 0) + { + return 1; + } + else + { + return 0; + } +} + +/** + * @brief basic example set the local time zone + * @param[in] zone is the local time zone + * @return status code + * - 0 success + * @note none + */ +uint8_t ds3231_basic_set_timestamp_time_zone(int8_t zone) +{ + gs_time_zone = zone; + + return 0; +} + +/** + * @brief basic example get the time + * @param[out] *t points to a time structure + * @return status code + * - 0 success + * - 1 get time failed + * @note none + */ +uint8_t ds3231_basic_get_time(ds3231_time_t *t) +{ + /* get time */ + if (ds3231_get_time(&gs_handle, t) != 0) + { + return 1; + } + else + { + return 0; + } +} + +/** + * @brief basic example get the time in a unix timestamp + * @param[out] *timestamp points to a unix timestamp buffer + * @return status code + * - 0 success + * - 1 get timestamp failed + * @note none + */ +uint8_t ds3231_basic_get_timestamp(time_t *timestamp) +{ + ds3231_time_t t; + struct tm timeptr; + + /* get time */ + if (ds3231_get_time(&gs_handle, &t) != 0) + { + return 1; + } + timeptr.tm_year = t.year - 1900; + timeptr.tm_mon = t.month - 1; + timeptr.tm_wday = t.week; + timeptr.tm_mday = t.date; + if (t.format == DS3231_FORMAT_24H) + { + timeptr.tm_hour = t.hour; + } + else + { + timeptr.tm_hour = t.hour % 12 + t.am_pm * 12; + } + timeptr.tm_min = t.minute; + timeptr.tm_sec = t.second; + + /* make time */ + *timestamp = mktime(&timeptr) - gs_time_zone * 3600; + + return 0; +} + +/** + * @brief basic example get the local time zone + * @param[out] *zone points to a local time zone buffer + * @return status code + * - 0 success + * @note none + */ +uint8_t ds3231_basic_get_timestamp_time_zone(int8_t *zone) +{ + *zone = gs_time_zone; + + return 0; +} + +/** + * @brief basic example get the current temperature + * @param[out] *raw points to a raw temperature buffer + * @param[out] *s points to a converted temperature buffer + * @return status code + * - 0 success + * - 1 read temperature failed + * @note none + */ +uint8_t ds3231_basic_get_temperature(int16_t *raw, float *s) +{ + /* get temperature */ + if (ds3231_get_temperature(&gs_handle, raw, s) != 0) + { + return 1; + } + else + { + return 0; + } +} + +/** + * @brief basic example get the ascii time + * @param[out] *buf points to an ascii buffer + * @param[in] len is the data length + * @return status code + * - 0 success + * - 1 read failed + * @note none + */ +uint8_t ds3231_basic_get_ascii_time(char *buf, uint8_t len) +{ + ds3231_time_t t; + + /* get time */ + if (ds3231_get_time(&gs_handle, &t) != 0) + { + return 1; + } + + if (t.format == DS3231_FORMAT_24H) + { + (void)snprintf(buf, len, "%04d-%02d-%02d %02d:%02d:%02d %d.\n", t.year, t.month, t.date, t.hour, t.minute, t.second, t.week); + } + else + { + (void)snprintf(buf, len, "%04d-%02d-%02d %s %02d:%02d:%02d %d.\n", t.year, t.month, t.date, (t.am_pm == DS3231_AM) ? "AM" : "PM", + t.hour, t.minute, t.second, t.week + ); + } + + return 0; +} diff --git a/Project/Application/driver_ds3231_basic.h b/Project/Application/driver_ds3231_basic.h new file mode 100644 index 0000000..d781508 --- /dev/null +++ b/Project/Application/driver_ds3231_basic.h @@ -0,0 +1,166 @@ +/** + * Copyright (c) 2015 - present LibDriver All rights reserved + * + * The MIT License (MIT) + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to deal + * in the Software without restriction, including without limitation the rights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + * SOFTWARE. + * + * @file driver_ds3231_basic.h + * @brief driver ds3231 basic header file + * @version 2.0.0 + * @author Shifeng Li + * @date 2021-03-15 + * + *

history

+ * + *
Date Version Author Description + *
2021/03/15 2.0 Shifeng Li format the code + *
2020/11/30 1.0 Shifeng Li first upload + *
+ */ + +#ifndef DRIVER_DS3231_BASIC_H +#define DRIVER_DS3231_BASIC_H + +#include "driver_ds3231_interface.h" +#include + +#ifdef __cplusplus +extern "C"{ +#endif + +/** + * @defgroup ds3231_example_driver ds3231 example driver function + * @brief ds3231 example driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief ds3231 basic example default definition + */ +#define DS3231_BASIC_DEFAULT_AGING_OFFSET 0 /**< 0 offset */ + +/** + * @brief basic example init + * @return status code + * - 0 success + * - 1 init failed + * @note none + */ +uint8_t ds3231_basic_init(void); + +/** + * @brief basic example deinit + * @return status code + * - 0 success + * - 1 deinit failed + * @note none + */ +uint8_t ds3231_basic_deinit(void); + +/** + * @brief basic example set the time + * @param[in] *t points to a time structure + * @return status code + * - 0 success + * - 1 set time failed + * @note none + */ +uint8_t ds3231_basic_set_time(ds3231_time_t *t); + +/** + * @brief basic example get the time + * @param[out] *t points to a time structure + * @return status code + * - 0 success + * - 1 get time failed + * @note none + */ +uint8_t ds3231_basic_get_time(ds3231_time_t *t); + +/** + * @brief basic example set the time by a unix timestamp + * @param[in] timestamp is a unix timestamp + * @return status code + * - 0 success + * - 1 set timestamp failed + * @note none + */ +uint8_t ds3231_basic_set_timestamp(time_t timestamp); + +/** + * @brief basic example get the time in a unix timestamp + * @param[out] *timestamp points to a unix timestamp buffer + * @return status code + * - 0 success + * - 1 get timestamp failed + * @note none + */ +uint8_t ds3231_basic_get_timestamp(time_t *timestamp); + +/** + * @brief basic example set the local time zone + * @param[in] zone is the local time zone + * @return status code + * - 0 success + * @note none + */ +uint8_t ds3231_basic_set_timestamp_time_zone(int8_t zone); + +/** + * @brief basic example get the local time zone + * @param[out] *zone points to a local time zone buffer + * @return status code + * - 0 success + * @note none + */ +uint8_t ds3231_basic_get_timestamp_time_zone(int8_t *zone); + +/** + * @brief basic example get the current temperature + * @param[out] *raw points to a raw temperature buffer + * @param[out] *s points to a converted temperature buffer + * @return status code + * - 0 success + * - 1 read temperature failed + * @note none + */ +uint8_t ds3231_basic_get_temperature(int16_t *raw, float *s); + +/** + * @brief basic example get the ascii time + * @param[out] *buf points to an ascii buffer + * @param[in] len is the data length + * @return status code + * - 0 success + * - 1 read failed + * @note none + */ +uint8_t ds3231_basic_get_ascii_time(char *buf, uint8_t len); + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/Project/Application/driver_ds3231_interface.h b/Project/Application/driver_ds3231_interface.h new file mode 100644 index 0000000..743e202 --- /dev/null +++ b/Project/Application/driver_ds3231_interface.h @@ -0,0 +1,127 @@ +/** + * Copyright (c) 2015 - present LibDriver All rights reserved + * + * The MIT License (MIT) + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to deal + * in the Software without restriction, including without limitation the rights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + * SOFTWARE. + * + * @file driver_ds3231_interface.h + * @brief driver ds3231 interface header file + * @version 2.0.0 + * @author Shifeng Li + * @date 2021-03-15 + * + *

history

+ * + *
Date Version Author Description + *
2021/03/15 2.0 Shifeng Li format the code + *
2020/11/30 1.0 Shifeng Li first upload + *
+ */ + +#ifndef DRIVER_DS3231_INTERFACE_H +#define DRIVER_DS3231_INTERFACE_H + +#include "driver_ds3231.h" + +#ifdef __cplusplus +extern "C"{ +#endif + +/** + * @defgroup ds3231_interface_driver ds3231 interface driver function + * @brief ds3231 interface driver modules + * @ingroup ds3231_driver + * @{ + */ + +/** + * @brief interface iic bus init + * @return status code + * - 0 success + * - 1 iic init failed + * @note none + */ +uint8_t ds3231_interface_iic_init(void); + +/** + * @brief interface iic bus deinit + * @return status code + * - 0 success + * - 1 iic deinit failed + * @note none + */ +uint8_t ds3231_interface_iic_deinit(void); + +/** + * @brief interface iic bus read + * @param[in] addr is the iic device write address + * @param[in] reg is the iic register address + * @param[out] *buf points to a data buffer + * @param[in] len is the length of the data buffer + * @return status code + * - 0 success + * - 1 read failed + * @note none + */ +uint8_t ds3231_interface_iic_read(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len); + +/** + * @brief interface iic bus write + * @param[in] addr is the iic device write address + * @param[in] reg is the iic register address + * @param[in] *buf points to a data buffer + * @param[in] len is the length of the data buffer + * @return status code + * - 0 success + * - 1 write failed + * @note none + */ +uint8_t ds3231_interface_iic_write(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len); + +/** + * @brief interface delay ms + * @param[in] ms + * @note none + */ +void ds3231_interface_delay_ms(uint32_t ms); + +/** + * @brief interface print format data + * @param[in] fmt is the format data + * @note none + */ +void ds3231_interface_debug_print(const char *const fmt, ...); + +/** + * @brief interface receive callback + * @param[in] type is the interrupt type + * @note none + */ +void ds3231_interface_receive_callback(uint8_t type); + +/** + * @} + */ + +#ifdef __cplusplus +} +#endif + +#endif diff --git a/Project/Application/driver_ds3231_interface_template.c b/Project/Application/driver_ds3231_interface_template.c new file mode 100644 index 0000000..efe8b29 --- /dev/null +++ b/Project/Application/driver_ds3231_interface_template.c @@ -0,0 +1,162 @@ +/** + * Copyright (c) 2015 - present LibDriver All rights reserved + * + * The MIT License (MIT) + * + * Permission is hereby granted, free of charge, to any person obtaining a copy + * of this software and associated documentation files (the "Software"), to deal + * in the Software without restriction, including without limitation the rights + * to use, copy, modify, merge, publish, distribute, sublicense, and/or sell + * copies of the Software, and to permit persons to whom the Software is + * furnished to do so, subject to the following conditions: + * + * The above copyright notice and this permission notice shall be included in all + * copies or substantial portions of the Software. + * + * THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR + * IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, + * FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE + * AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER + * LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, + * OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN THE + * SOFTWARE. + * + * @file driver_ds3231_interface_template.c + * @brief driver ds3231 interface template source file + * @version 2.0.0 + * @author Shifeng Li + * @date 2021-03-15 + * + *

history

+ * + *
Date Version Author Description + *
2021/03/15 2.0 Shifeng Li format the code + *
2020/11/30 1.0 Shifeng Li first upload + *
+ */ + +#include "driver_ds3231_interface.h" +#include "gpio_i2c.h" +#include "stdarg.h" + +/** + * @brief interface iic bus init + * @return status code + * - 0 success + * - 1 iic init failed + * @note none + */ +uint8_t ds3231_interface_iic_init(void) +{ + return 0; +} + +/** + * @brief interface iic bus deinit + * @return status code + * - 0 success + * - 1 iic deinit failed + * @note none + */ +uint8_t ds3231_interface_iic_deinit(void) +{ + return 0; +} + +/** + * @brief interface iic bus read + * @param[in] addr is the iic device write address + * @param[in] reg is the iic register address + * @param[out] *buf points to a data buffer + * @param[in] len is the length of the data buffer + * @return status code + * - 0 success + * - 1 read failed + * @note none + */ +uint8_t ds3231_interface_iic_read(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len) +{ + I2C2_Write(addr, ®, 1); + I2C2_Read(addr, buf, len); + return 0; +} + +/** + * @brief interface iic bus write + * @param[in] addr is the iic device write address + * @param[in] reg is the iic register address + * @param[in] *buf points to a data buffer + * @param[in] len is the length of the data buffer + * @return status code + * - 0 success + * - 1 write failed + * @note none + */ +uint8_t ds3231_interface_iic_write(uint8_t addr, uint8_t reg, uint8_t *buf, uint16_t len) +{ + uint8_t WriteData[20]; + WriteData[0] = reg; + memcpy(&WriteData[1], buf, len); + len += 1; + I2C2_Write(addr, &WriteData[0], len); + return 0; +} + +/** + * @brief interface delay ms + * @param[in] ms + * @note none + */ +void ds3231_interface_delay_ms(uint32_t ms) +{ + Delay_ms(ms); +} + +/** + * @brief interface print format data + * @param[in] fmt is the format data + * @note none + */ +void ds3231_interface_debug_print(const char *const fmt, ...) +{ + char str[256]; + uint16_t len; + va_list args; + return; + + memset((char *)str, 0, sizeof(char) * 256); + va_start(args, fmt); + vsnprintf((char *)str, 255, (char const *)fmt, args); + va_end(args); + + len = strlen((char *)str); + //(void)Uart1_TransmitData((uint8_t *)str, len); +} + +/** + * @brief interface receive callback + * @param[in] type is the interrupt type + * @note none + */ +void ds3231_interface_receive_callback(uint8_t type) +{ + switch (type) + { + case DS3231_STATUS_ALARM_2 : + { + ds3231_interface_debug_print("ds3231: irq alarm2.\n"); + + break; + } + case DS3231_STATUS_ALARM_1 : + { + ds3231_interface_debug_print("ds3231: irq alarm1.\n"); + + break; + } + default : + { + break; + } + } +} diff --git a/Project/Application/eeprom.c b/Project/Application/eeprom.c new file mode 100644 index 0000000..76e37c3 --- /dev/null +++ b/Project/Application/eeprom.c @@ -0,0 +1,206 @@ +#include "eeprom.h" + + + + + +typedef union _eeprom_info +{ + struct + { + uint8_t isSave; + uint8_t Mode; + uint8_t Reserved[SECTOR_SIZE_BYTE - 3]; + uint8_t CheckSum; + }eeprom_data; + uint8_t eeprom_buffer[SECTOR_SIZE_BYTE]; +}EEPROM_INFO; + + +static EEPROM_INFO eeprom_info; + + + + +/*-------------------------------------------------------------------------*//** + * @brief FlashMem_Do_PageWt + * @param[in] tPageAddr + * @param[in] tBuf + * @return None + *//*-------------------------------------------------------------------------*/ +void FlashMem_Do_PageWt( uint32_t tPageAddr, uint32_t* tBuf ) +{ + uint32_t UserID_Buf; + + UserID_Buf = 0x4F17DC86; + HAL_FMC_PageWrite( UserID_Buf, tPageAddr, tBuf ); +} + +/*-------------------------------------------------------------------------*//** + * @brief FlashMem_Do_PageEr + * @param[in] tPageAddr + * @return None + *//*-------------------------------------------------------------------------*/ +void FlashMem_Do_PageEr( uint32_t tPageAddr ) +{ + uint32_t UserID_Buf; + + UserID_Buf = 0xA901358F; + HAL_FMC_PageErase( UserID_Buf, tPageAddr ); +} + +void FlashMEM_Clock_Initialization(void) +{ + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_FMCLKE, PPxCLKE_Enable ); + // init crc + HAL_CRC_Init(); +} + + + +bool EEPROM_Read_Mode(uint8_t* pMode) +{ + uint8_t i; + uint16_t checksum_init = 0x5AA5; + uint16_t checksum_result; + + __disable_irq(); + memcpy(&eeprom_info.eeprom_buffer[0], (uint32_t *)CONFIG_ADDRESS, SECTOR_SIZE_BYTE); + __enable_irq(); + + if(eeprom_info.eeprom_data.isSave != true) + { + return false; + } + + // CRC Block Calculation + CRC->INIT = checksum_init; + CRC->CR = 0 + | MODS_UserMode // Set User Mode + | CRC_RLTCLR // Initialize CRC_RLT Register With CRC_INIT + | CRC_16 // Polynomial Selection bit : CRC-16 + | CRC_NOINC // Address Not Auto Increment + ; + + CRCRun(); + for(i = 0 ; i < (SECTOR_SIZE_BYTE-1) ; i++) + { + CRC->IN = eeprom_info.eeprom_buffer[i]; + } + CRCStop(); + checksum_result = CRC->RLT; + + if((checksum_result & 0xFF) == eeprom_info.eeprom_data.CheckSum) + { + *pMode = eeprom_info.eeprom_data.Mode; + return true; + } + return false; +} + + +bool EEPROM_Write_Mode(uint8_t Mode) +{ + uint8_t i; + uint16_t checksum_init = 0x5AA5; + uint16_t checksum_result; + + if(eeprom_info.eeprom_data.isSave == true) + { + if(eeprom_info.eeprom_data.Mode == Mode) + return true; + } + + memset(&eeprom_info.eeprom_buffer[0], 0, SECTOR_SIZE_BYTE); + eeprom_info.eeprom_data.isSave = true; + eeprom_info.eeprom_data.Mode = Mode; + + // CRC Block Calculation + CRC->INIT = checksum_init; + CRC->CR = 0 + | MODS_UserMode // Set User Mode + | CRC_RLTCLR // Initialize CRC_RLT Register With CRC_INIT + | CRC_16 // Polynomial Selection bit : CRC-16 + | CRC_NOINC // Address Not Auto Increment + ; + + CRCRun(); + for(i = 0 ; i < (SECTOR_SIZE_BYTE-1) ; i++) + { + CRC->IN = eeprom_info.eeprom_buffer[i]; + } + CRCStop(); + checksum_result = CRC->RLT; + + + + eeprom_info.eeprom_data.CheckSum = checksum_result & 0xFF; + + + __disable_irq(); + FlashMem_Do_PageEr(CONFIG_ADDRESS); + FlashMem_Do_PageWt(CONFIG_ADDRESS, (uint32_t *)&eeprom_info.eeprom_buffer[0]); + __enable_irq(); + + return true; +} + + + +#if 0 + + +void EEPROM_Read_Setting_Data(void) +{ + #if 0 + __disable_irq(); + memcpy(&Save_control_Info, (uint32_t *)CONFIG_ADDRESS, SECTOR_SIZE_BYTE); + __enable_irq(); + + if(Save_control_Info.SaveFlag != EEPROM_SAVE_CHECK_FLAG_DATA) + { + Save_control_Info.SaveFlag = EEPROM_SAVE_CHECK_FLAG_DATA; // 1 + Save_control_Info.SaveActionMode = ACTION_MODE_ACTION_AUTO_1; // 2 + Save_control_Info.isPowerOnReservation = FALSE; // 3 + Save_control_Info.isPowerOnSaturDay = FALSE; // 4 + Save_control_Info.PowerOn_Hour = 0; // 5 + Save_control_Info.PowerOn_Min = 0; // 6 + + Save_control_Info.isPowerOffReservation = FALSE; // 7 + Save_control_Info.PowerOff_Hour = 0; // 8 + Save_control_Info.PowerOff_Min = 0; // 9 + Save_control_Info.FanActionTime_1 = 0; // 10 + Save_control_Info.FanActionTime_2 = 0; // 11 + } +#endif + +} + +void EEPROM_Write_Seting_Data(void) +{ +#if 0 + if(Control_Info.isEepromSave == TRUE) + { + Control_Info.isEepromSave = FALSE; + __disable_irq(); + FlashMem_Do_PageEr(CONFIG_ADDRESS); + FlashMem_Do_PageWt(CONFIG_ADDRESS, (uint32_t *)&Save_control_Info); + __enable_irq(); + } +#endif +} + + +#endif + + + + + + + + + + + diff --git a/Project/Application/eeprom.h b/Project/Application/eeprom.h new file mode 100644 index 0000000..e99ac8d --- /dev/null +++ b/Project/Application/eeprom.h @@ -0,0 +1,17 @@ +/** \file eeprom.h */ +#if !defined(EEPROM_H__702B16C6_4AC7_410F_BD32_D5794BFC24E8__INCLUDED_) +#define EEPROM_H__702B16C6_4AC7_410F_BD32_D5794BFC24E8__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +#define CONFIG_ADDRESS 0x1FFFF400 + + +void FlashMEM_Clock_Initialization(void); + +bool EEPROM_Read_Mode(uint8_t* pMode); +bool EEPROM_Write_Mode(uint8_t Mode); + + +#endif diff --git a/Project/Application/gpio_i2c.c b/Project/Application/gpio_i2c.c new file mode 100644 index 0000000..32dc8b6 --- /dev/null +++ b/Project/Application/gpio_i2c.c @@ -0,0 +1,436 @@ +#include "gpio_i2c.h" + + + +void Delay_I2C_Delay(uint32_t nDelay) +{ + uint32_t i; + for(i = 0 ; i < nDelay ; i++) + { + asm("NOP"); + } + +} + + +void GPIO_I2C0_Initialization(void) +{ + // configure I2C1 SDA as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C0_SDA_PORT, I2C0_SDA_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C0_SDA_PORT, I2C0_SDA_PIN, PUPDx_EnablePU ); + + // configure I2C1 SCL as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C0_SCL_PORT, I2C0_SCL_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C0_SCL_PORT, I2C0_SCL_PIN, PUPDx_EnablePU ); + + I2C0_SDA_HIGH; + I2C0_SCL_HIGH; +} + +void GPIO_I2C0_Start(void) +{ + I2C0_SDA_OUTPUT; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SDA_HIGH; + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SDA_LOW; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_LOW; + Delay_I2C_Delay(I2C0_DELAY); +} + +void GPIO_I2C0_Stop(void) +{ + I2C0_SDA_LOW; + I2C0_SDA_OUTPUT; + I2C0_SDA_LOW; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SDA_HIGH; +} + +uint8_t GPIO_I2C0_Write(uint8_t data) +{ + uint8_t Ret; + + unsigned char i; + I2C0_SDA_OUTPUT; + + for(i = 0 ; i < 8 ; i++) + { + if((data & 0x80)==0x80) + { + I2C0_SDA_HIGH; + } + else + { + I2C0_SDA_LOW; + } + data<<=1; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_LOW; + Delay_I2C_Delay(I2C0_DELAY); + } + I2C0_SDA_INPUTS; + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + Ret = I2C0_SDA_READ; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_LOW; + + return Ret; +} + +uint8_t GPIO_I2C0_Read(uint8_t rs) +{ + uint8_t i; + uint8_t Ret = 0x00; + + I2C0_SDA_INPUTS; + + for(i = 0 ; i < 8 ; i++) + { + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + Ret <<= 1; + if(I2C0_SDA_READ != 0) + { + Ret |= 0x01; + } + I2C0_SCL_LOW; + Delay_I2C_Delay(I2C0_DELAY); + } + + I2C0_SDA_OUTPUT; + + if(rs == I2C_ACK) + { + I2C0_SDA_LOW; + } + else + { + I2C0_SDA_HIGH; + } + + I2C0_SCL_HIGH; + Delay_I2C_Delay(I2C0_DELAY); + I2C0_SCL_LOW; + Delay_I2C_Delay(I2C0_DELAY); + return Ret; +} + + +uint8_t I2C0_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize) +{ + uint16_t i; + GPIO_I2C0_Start(); + GPIO_I2C0_Write(Address << 1); + for(i = 0 ; i < WriteDataSize ; i++) + { + GPIO_I2C0_Write(pWriteData[i]); + } + GPIO_I2C0_Stop(); + return 0; +} + +uint8_t I2C0_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize) +{ + uint16_t i; + GPIO_I2C0_Start(); + GPIO_I2C0_Write((Address << 1) | 1); + for(i = 0 ; i < ReadDataSize-1 ; i++) + { + pReadData[i] = GPIO_I2C0_Read(I2C_ACK); + } + pReadData[i] = GPIO_I2C0_Read(I2C_NACK); + GPIO_I2C0_Stop(); + return 0; +} + + +///////////////////////////////////////////////////////////////////////////// + + +void GPIO_I2C1_Initialization(void) +{ + // configure I2C1 SDA as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C1_SDA_PORT, I2C1_SDA_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C1_SDA_PORT, I2C1_SDA_PIN, PUPDx_EnablePU ); + + // configure I2C1 SCL as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C1_SCL_PORT, I2C1_SCL_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C1_SCL_PORT, I2C1_SCL_PIN, PUPDx_EnablePU ); + + I2C1_SDA_HIGH; + I2C1_SCL_HIGH; +} + +void GPIO_I2C1_Start(void) +{ + I2C1_SDA_OUTPUT; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SDA_HIGH; + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SDA_LOW; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_LOW; + Delay_I2C_Delay(I2C1_DELAY); +} + +void GPIO_I2C1_Stop(void) +{ + I2C1_SDA_LOW; + I2C1_SDA_OUTPUT; + I2C1_SDA_LOW; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SDA_HIGH; +} + +uint8_t GPIO_I2C1_Write(uint8_t data) +{ + uint8_t Ret; + + unsigned char i; + I2C1_SDA_OUTPUT; + + for(i = 0 ; i < 8 ; i++) + { + if((data & 0x80)==0x80) + { + I2C1_SDA_HIGH; + } + else + { + I2C1_SDA_LOW; + } + data<<=1; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_LOW; + Delay_I2C_Delay(I2C1_DELAY); + } + I2C1_SDA_INPUTS; + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + Ret = I2C1_SDA_READ; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_LOW; + + return Ret; +} + +uint8_t GPIO_I2C1_Read(uint8_t rs) +{ + uint8_t i; + uint8_t Ret = 0x00; + + I2C1_SDA_INPUTS; + + for(i = 0 ; i < 8 ; i++) + { + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + Ret <<= 1; + if(I2C1_SDA_READ != 0) + { + Ret |= 0x01; + } + I2C1_SCL_LOW; + Delay_I2C_Delay(I2C1_DELAY); + } + + I2C1_SDA_OUTPUT; + + if(rs == I2C_ACK) + { + I2C1_SDA_LOW; + } + else + { + I2C1_SDA_HIGH; + } + + I2C1_SCL_HIGH; + Delay_I2C_Delay(I2C1_DELAY); + I2C1_SCL_LOW; + Delay_I2C_Delay(I2C1_DELAY); + return Ret; +} + + +uint8_t I2C1_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize) +{ + uint16_t i; + GPIO_I2C1_Start(); + GPIO_I2C1_Write(Address << 1); + for(i = 0 ; i < WriteDataSize ; i++) + { + GPIO_I2C1_Write(pWriteData[i]); + } + GPIO_I2C1_Stop(); + return 0; +} + +uint8_t I2C1_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize) +{ + uint16_t i; + GPIO_I2C1_Start(); + GPIO_I2C1_Write((Address << 1) | 1); + for(i = 0 ; i < ReadDataSize-1 ; i++) + { + pReadData[i] = GPIO_I2C1_Read(I2C_ACK); + } + pReadData[i] = GPIO_I2C1_Read(I2C_NACK); + GPIO_I2C1_Stop(); + return 0; +} + + +///////////////////////////////////////////////////////////////////////////// + + +void GPIO_I2C2_Initialization(void) +{ + // configure I2C1 SDA as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C2_SDA_PORT, I2C2_SDA_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C2_SDA_PORT, I2C2_SDA_PIN, PUPDx_EnablePU ); + + // configure I2C2 SCL as a Output Mode + HAL_GPIO_ConfigOutput((Pn_Type*)I2C2_SCL_PORT, I2C2_SCL_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)I2C2_SCL_PORT, I2C2_SCL_PIN, PUPDx_EnablePU ); + + I2C2_SDA_HIGH; + I2C2_SCL_HIGH; +} + +void GPIO_I2C2_Start(void) +{ + I2C2_SDA_OUTPUT; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SDA_HIGH; + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SDA_LOW; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_LOW; + Delay_I2C_Delay(I2C2_DELAY); +} + +void GPIO_I2C2_Stop(void) +{ + I2C2_SDA_LOW; + I2C2_SDA_OUTPUT; + I2C2_SDA_LOW; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SDA_HIGH; +} + +uint8_t GPIO_I2C2_Write(uint8_t data) +{ + uint8_t Ret; + + unsigned char i; + I2C2_SDA_OUTPUT; + + for(i = 0 ; i < 8 ; i++) + { + if((data & 0x80)==0x80) + { + I2C2_SDA_HIGH; + } + else + { + I2C2_SDA_LOW; + } + data<<=1; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_LOW; + Delay_I2C_Delay(I2C2_DELAY); + } + I2C2_SDA_INPUTS; + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + Ret = I2C2_SDA_READ; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_LOW; + + return Ret; +} + +uint8_t GPIO_I2C2_Read(uint8_t rs) +{ + uint8_t i; + uint8_t Ret = 0x00; + + I2C2_SDA_INPUTS; + + for(i = 0 ; i < 8 ; i++) + { + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + Ret <<= 1; + if(I2C2_SDA_READ != 0) + { + Ret |= 0x01; + } + I2C2_SCL_LOW; + Delay_I2C_Delay(I2C2_DELAY); + } + + I2C2_SDA_OUTPUT; + + if(rs == I2C_ACK) + { + I2C2_SDA_LOW; + } + else + { + I2C2_SDA_HIGH; + } + + I2C2_SCL_HIGH; + Delay_I2C_Delay(I2C2_DELAY); + I2C2_SCL_LOW; + Delay_I2C_Delay(I2C2_DELAY); + return Ret; +} + + +uint8_t I2C2_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize) +{ + uint16_t i; + GPIO_I2C2_Start(); + GPIO_I2C2_Write(Address << 1); + for(i = 0 ; i < WriteDataSize ; i++) + { + GPIO_I2C2_Write(pWriteData[i]); + } + GPIO_I2C2_Stop(); + return 0; +} + +uint8_t I2C2_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize) +{ + uint16_t i; + GPIO_I2C2_Start(); + GPIO_I2C2_Write((Address << 1) | 1); + for(i = 0 ; i < ReadDataSize-1 ; i++) + { + pReadData[i] = GPIO_I2C2_Read(I2C_ACK); + } + pReadData[i] = GPIO_I2C2_Read(I2C_NACK); + GPIO_I2C2_Stop(); + return 0; +} diff --git a/Project/Application/gpio_i2c.h b/Project/Application/gpio_i2c.h new file mode 100644 index 0000000..c8ae165 --- /dev/null +++ b/Project/Application/gpio_i2c.h @@ -0,0 +1,134 @@ +/** \file gpio_i2c.h */ +#if !defined(GPIO_I2C_H__817813DF_FB6D_4121_912A_DD8A262A2476__INCLUDED_) +#define GPIO_I2C_H__817813DF_FB6D_4121_912A_DD8A262A2476__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#define I2C_ACK 0 +#define I2C_NACK 1 + +#define I2C0_SDA_PORT PD +#define I2C0_SCL_PORT PD + +#define I2C0_SDA_PIN 1 +#define I2C0_SCL_PIN 0 +#define I2C0_DELAY 30 + + +#define I2C0_SCL_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C0_SCL_PORT,_BIT(I2C0_SCL_PIN)) +#define I2C0_SCL_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C0_SCL_PORT,_BIT(I2C0_SCL_PIN)) + +#define I2C0_SDA_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C0_SDA_PORT,_BIT(I2C0_SDA_PIN)) +#define I2C0_SDA_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C0_SDA_PORT,_BIT(I2C0_SDA_PIN)) + +#define I2C0_SDA_OUTPUT HAL_GPIO_ConfigOutput((Pn_Type*)I2C0_SDA_PORT, I2C0_SDA_PIN, PUSH_PULL_OUTPUT) +#define I2C0_SDA_INPUTS HAL_GPIO_ConfigOutput((Pn_Type*)I2C0_SDA_PORT, I2C0_SDA_PIN, INPUT) + +#define I2C0_ACK_READ TESTBIT(I2C0_SDA_PORT->INDR, I2C0_SDA_PIN) +#define I2C0_SDA_READ TESTBIT(I2C0_SDA_PORT->INDR, I2C0_SDA_PIN) + + + + + + +#define I2C1_SDA_PORT PF +#define I2C1_SCL_PORT PF + +#define I2C1_SDA_PIN 1 +#define I2C1_SCL_PIN 0 +#define I2C1_DELAY 30 + + +#define I2C1_SCL_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C1_SCL_PORT,_BIT(I2C1_SCL_PIN)) +#define I2C1_SCL_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C1_SCL_PORT,_BIT(I2C1_SCL_PIN)) + +#define I2C1_SDA_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C1_SDA_PORT,_BIT(I2C1_SDA_PIN)) +#define I2C1_SDA_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C1_SDA_PORT,_BIT(I2C1_SDA_PIN)) + +#define I2C1_SDA_OUTPUT HAL_GPIO_ConfigOutput((Pn_Type*)I2C1_SDA_PORT, I2C1_SDA_PIN, PUSH_PULL_OUTPUT) +#define I2C1_SDA_INPUTS HAL_GPIO_ConfigOutput((Pn_Type*)I2C1_SDA_PORT, I2C1_SDA_PIN, INPUT) + +#define I2C1_ACK_READ TESTBIT(I2C1_SDA_PORT->INDR, I2C1_SDA_PIN) +#define I2C1_SDA_READ TESTBIT(I2C1_SDA_PORT->INDR, I2C1_SDA_PIN) + + + +#define I2C2_SDA_PORT PC +#define I2C2_SCL_PORT PC + +#define I2C2_SDA_PIN 5 +#define I2C2_SCL_PIN 6 +#define I2C2_DELAY 30 + + +#define I2C2_SCL_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C2_SCL_PORT,_BIT(I2C2_SCL_PIN)) +#define I2C2_SCL_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C2_SCL_PORT,_BIT(I2C2_SCL_PIN)) + +#define I2C2_SDA_HIGH HAL_GPIO_SetPin((Pn_Type*)I2C2_SDA_PORT,_BIT(I2C2_SDA_PIN)) +#define I2C2_SDA_LOW HAL_GPIO_ClearPin((Pn_Type*)I2C2_SDA_PORT,_BIT(I2C2_SDA_PIN)) + +#define I2C2_SDA_OUTPUT HAL_GPIO_ConfigOutput((Pn_Type*)I2C2_SDA_PORT, I2C2_SDA_PIN, PUSH_PULL_OUTPUT) +#define I2C2_SDA_INPUTS HAL_GPIO_ConfigOutput((Pn_Type*)I2C2_SDA_PORT, I2C2_SDA_PIN, INPUT) + +#define I2C2_ACK_READ TESTBIT(I2C2_SDA_PORT->INDR, I2C2_SDA_PIN) +#define I2C2_SDA_READ TESTBIT(I2C2_SDA_PORT->INDR, I2C2_SDA_PIN) + + + + + + + + + + + + + + +void GPIO_I2C0_Initialization(void); +void GPIO_I2C0_Start(void); +void GPIO_I2C0_Stop(void); +uint8_t GPIO_I2C0_Write(uint8_t data); +uint8_t GPIO_I2C0_Read(uint8_t rs); +uint8_t I2C0_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize); +uint8_t I2C0_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize); + + + +void GPIO_I2C1_Initialization(void); +void GPIO_I2C1_Start(void); +void GPIO_I2C1_Stop(void); +uint8_t GPIO_I2C1_Write(uint8_t data); +uint8_t GPIO_I2C1_Read(uint8_t rs); +uint8_t I2C1_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize); +uint8_t I2C1_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize); + + + + +void GPIO_I2C2_Initialization(void); +void GPIO_I2C2_Start(void); +void GPIO_I2C2_Stop(void); +uint8_t GPIO_I2C2_Write(uint8_t data); +uint8_t GPIO_I2C2_Read(uint8_t rs); +uint8_t I2C2_Write(uint8_t Address, uint8_t* pWriteData, uint8_t WriteDataSize); +uint8_t I2C2_Read(uint8_t Address, uint8_t *pReadData, uint8_t ReadDataSize); + + + + + + + + + + + + + + +#endif diff --git a/Project/Application/gpio_sensor.c b/Project/Application/gpio_sensor.c new file mode 100644 index 0000000..88f55e6 --- /dev/null +++ b/Project/Application/gpio_sensor.c @@ -0,0 +1,10 @@ +#include "gpio_sensor.h" + + +void Gpio_Sensor_PWR_Initialization(void) +{ + HAL_GPIO_ConfigOutput(GPIO_SENSOR_PWR_PORT, GPIO_SENSOR_PWR_PIN_NUM, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(GPIO_SENSOR_PWR_PORT, GPIO_SENSOR_PWR_PIN_NUM, PUPDx_EnablePU); + GPIO_SENSOR_PWR_OFF; +} + diff --git a/Project/Application/gpio_sensor.h b/Project/Application/gpio_sensor.h new file mode 100644 index 0000000..36e5974 --- /dev/null +++ b/Project/Application/gpio_sensor.h @@ -0,0 +1,18 @@ +/** \file gpio_sensor.h */ +#if !defined(GPIO_SENSOR_H__8553E44F_09AC_401C_9FD1_67C4131DD471__INCLUDED_) +#define GPIO_SENSOR_H__8553E44F_09AC_401C_9FD1_67C4131DD471__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +#define GPIO_SENSOR_PWR_PORT (Pn_Type*)PD +#define GPIO_SENSOR_PWR_PIN_NUM 6 +#define GPIO_SENSOR_PWR_ON HAL_GPIO_SetPin(GPIO_SENSOR_PWR_PORT, _BIT(GPIO_SENSOR_PWR_PIN_NUM)) +#define GPIO_SENSOR_PWR_OFF HAL_GPIO_ClearPin(GPIO_SENSOR_PWR_PORT, _BIT(GPIO_SENSOR_PWR_PIN_NUM)) +#define GPIO_SENSOR_PWR_T HAL_GPIO_TogglePin(GPIO_SENSOR_PWR_PORT, _BIT(GPIO_SENSOR_PWR_PIN_NUM)) + +void Gpio_Sensor_PWR_Initialization(void); + + + +#endif diff --git a/Project/Application/gpio_state_led.c b/Project/Application/gpio_state_led.c new file mode 100644 index 0000000..402c553 --- /dev/null +++ b/Project/Application/gpio_state_led.c @@ -0,0 +1,83 @@ +#include "gpio_state_led.h" +#include "sw_timer.h" + + +static void State_Led_Output_Process(void); + + +static STATE_LED_MODE StateLedMode = STATE_LED_MODE_UNKNOW; +static STATE_LED_STEP StateLedStep = STATE_LED_STEP_INIT; +static STATE_LED_ONOFF_TIME StateLedTime; +static uint32_t StateLedCheckTime; +static STATE_LED_ONOFF_TIME StateLedOnOffTime[STATE_LED_MODE_MAX] = +{ + {100, 900}, //STATE_LED_MODE_OFF, + {500, 500}, //STATE_LED_MODE_1, + {1000, 1000}, //STATE_LED_MODE_2, + {1500, 1500}, //STATE_LED_MODE_3, + {2000, 2000}, //STATE_LED_MODE_4, + {2500, 2500}, //STATE_LED_MODE_5, +}; + + + + +void Gpio_StateLed_Initialization(void) +{ + HAL_GPIO_ConfigOutput(GPIO_STATE_LED_PORT, GPIO_STATE_LED_PIN_NUM, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(GPIO_STATE_LED_PORT, GPIO_STATE_LED_PIN_NUM, PUPDx_EnablePU); + Gpio_StateLed_Set_Mode(STATE_LED_MODE_OFF); + + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 1, State_Led_Output_Process); +} + + +void Gpio_StateLed_Set_Mode(STATE_LED_MODE mode) +{ + if(StateLedMode == mode) + return; + StateLedMode = mode; + StateLedStep = STATE_LED_STEP_INIT; + StateLedTime = StateLedOnOffTime[mode]; +} + +STATE_LED_MODE Gpio_StateLed_Get_Mode(void) +{ + return StateLedMode; +} + + +static void State_Led_Output_Process(void) +{ + switch(StateLedStep) + { + case STATE_LED_STEP_INIT: + StateLedCheckTime = millis(); + StateLedStep = STATE_LED_STEP_ON_TIME; + break; + case STATE_LED_STEP_ON_TIME: + if((millis() - StateLedCheckTime) <= StateLedTime.onTime) + { + GPIO_STATE_LED_ON; + } + else + { + StateLedCheckTime = millis(); + StateLedStep = STATE_LED_STEP_OFF_TIME; + } + break; + case STATE_LED_STEP_OFF_TIME: + if((millis() - StateLedCheckTime) <= StateLedTime.OffTime) + { + GPIO_STATE_LED_OFF; + } + else + { + StateLedCheckTime = millis(); + StateLedStep = STATE_LED_STEP_ON_TIME; + } + break; + } +} + + diff --git a/Project/Application/gpio_state_led.h b/Project/Application/gpio_state_led.h new file mode 100644 index 0000000..b1df84e --- /dev/null +++ b/Project/Application/gpio_state_led.h @@ -0,0 +1,45 @@ +/** \file gpio_state_led.h */ +#if !defined(GPIO_STATE_LED_H__E29D0861_1041_4928_8D5B_AAD6D1FAD6EB__INCLUDED_) +#define GPIO_STATE_LED_H__E29D0861_1041_4928_8D5B_AAD6D1FAD6EB__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +#define GPIO_STATE_LED_PORT (Pn_Type*)PF +#define GPIO_STATE_LED_PIN_NUM 4 +#define GPIO_STATE_LED_ON HAL_GPIO_ClearPin(GPIO_STATE_LED_PORT, _BIT(GPIO_STATE_LED_PIN_NUM)) +#define GPIO_STATE_LED_OFF HAL_GPIO_SetPin(GPIO_STATE_LED_PORT, _BIT(GPIO_STATE_LED_PIN_NUM)) +#define GPIO_STATE_LED_T HAL_GPIO_TogglePin(GPIO_STATE_LED_PORT, _BIT(GPIO_STATE_LED_PIN_NUM)) + + +typedef enum _state_led_mode +{ + STATE_LED_MODE_OFF, + STATE_LED_MODE_1, + STATE_LED_MODE_2, + STATE_LED_MODE_3, + STATE_LED_MODE_4, + STATE_LED_MODE_5, + STATE_LED_MODE_MAX, + STATE_LED_MODE_UNKNOW, +}STATE_LED_MODE; + +typedef enum _state_led_step +{ + STATE_LED_STEP_INIT, + STATE_LED_STEP_ON_TIME, + STATE_LED_STEP_OFF_TIME, +}STATE_LED_STEP; + + +typedef struct _state_led_onoff_time +{ + uint32_t onTime; + uint32_t OffTime; +}STATE_LED_ONOFF_TIME; + +void Gpio_StateLed_Initialization(void); +void Gpio_StateLed_Set_Mode(STATE_LED_MODE mode); +STATE_LED_MODE Gpio_StateLed_Get_Mode(void); + +#endif diff --git a/Project/Application/gpio_switch.c b/Project/Application/gpio_switch.c new file mode 100644 index 0000000..49f225e --- /dev/null +++ b/Project/Application/gpio_switch.c @@ -0,0 +1,127 @@ +#include "gpio_switch.h" +#include "sw_timer.h" +#include "gpio_state_led.h" + + + +#if 1 +#define GPIO_PUSH_SW1_PORT (Pn_Type*)PB +#define GPIO_PUSH_SW1_PIN_NUM 8 +#else +#define GPIO_PUSH_SW1_PORT (Pn_Type*)PF +#define GPIO_PUSH_SW1_PIN_NUM 6 +#endif + +typedef struct +{ + Pn_Type* Port; + uint8_t Pin; + uint32_t nPushCount; + uint32_t nLongPushCount; + + GPIO_SW_CALLBACK_FN Push_Callback; + GPIO_SW_CALLBACK_FN LongPush_Callback; + GPIO_SW_CALLBACK_FN Release_Callback; + + ////////////////////////////////////////////////////////// + bool isPush; + bool isLongKeyPush; + uint32_t nCheckCount; +}KEY_CHECK; + +static KEY_CHECK KeyCheckInfo[KEY_PUSH_SW_MAX] = +{ + {GPIO_PUSH_SW1_PORT, GPIO_PUSH_SW1_PIN_NUM, DEFAULT_KEY_PUSH_COUNT, DEFAULT_LONGKEY_PUSH_COUNT, NULL, NULL, NULL, false, false, 0}, +}; + + +static void Gpio_Switch_Check_Process(void); + + +/////////////////////////////////////////////////////////////////////////////////////////////////////////// + + +void Gpio_Switch_Port_Initialization(void) +{ + HAL_GPIO_ConfigOutput((Pn_Type*)GPIO_PUSH_SW1_PORT, GPIO_PUSH_SW1_PIN_NUM, INPUT); + HAL_GPIO_ConfigPullup((Pn_Type*)GPIO_PUSH_SW1_PORT, GPIO_PUSH_SW1_PIN_NUM, PUPDx_EnablePU); + HAL_GPIO_SetDebouncePin((Pn_Type*)GPIO_PUSH_SW1_PORT, GPIO_PUSH_SW1_PIN_NUM, DBCLK_HCLK64); + + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 1, Gpio_Switch_Check_Process); + +} + +void Gpio_Swtich_Set_PushCount(KEY_LIST key, uint32_t Push_Count, uint32_t LongPush_Count) +{ + if(key < KEY_PUSH_SW_MAX) + { + KeyCheckInfo[key].nPushCount = Push_Count; + KeyCheckInfo[key].nLongPushCount = LongPush_Count; + } +} + +void Gpio_Swtich_Set_Callback(KEY_LIST key, GPIO_SW_CALLBACK_FN Push_Callback, GPIO_SW_CALLBACK_FN LongPush_Callback, GPIO_SW_CALLBACK_FN Release_Callback) +{ + if(key < KEY_PUSH_SW_MAX) + { + KeyCheckInfo[key].Push_Callback = Push_Callback; + KeyCheckInfo[key].LongPush_Callback = LongPush_Callback; + KeyCheckInfo[key].Release_Callback = Release_Callback; + } +} + + + +/////////////////////////////////////////////////////////////////////////////////////////////////////////// +static void Gpio_Switch_Check_Process(void) +{ + uint8_t i; + for(i = 0 ; i < KEY_PUSH_SW_MAX ; i++) + { + if(TESTBIT(HAL_GPIO_ReadPin(KeyCheckInfo[i].Port), KeyCheckInfo[i].Pin) == 0) + { + + if(KeyCheckInfo[i].isPush != true) + { + KeyCheckInfo[i].isPush = true; + KeyCheckInfo[i].isLongKeyPush = false; + KeyCheckInfo[i].nCheckCount = 0; + } + else + { + KeyCheckInfo[i].nCheckCount++; + if((KeyCheckInfo[i].nCheckCount >= KeyCheckInfo[i].nLongPushCount) && (KeyCheckInfo[i].isLongKeyPush == false)) + { + KeyCheckInfo[i].isLongKeyPush = true; + if(KeyCheckInfo[i].LongPush_Callback != NULL) + { + KeyCheckInfo[i].LongPush_Callback(); + } + } + } + } + else + { + if(KeyCheckInfo[i].isLongKeyPush == false) + { + if(KeyCheckInfo[i].nCheckCount >= KeyCheckInfo[i].nPushCount) + { + if(KeyCheckInfo[i].Push_Callback != NULL) + { + KeyCheckInfo[i].Push_Callback(); + } + } + } + + if(KeyCheckInfo[i].Release_Callback != NULL) + { + KeyCheckInfo[i].Release_Callback(); + } + KeyCheckInfo[i].isPush = false; + KeyCheckInfo[i].isLongKeyPush = false; + KeyCheckInfo[i].nCheckCount = 0; + } + } +} + + diff --git a/Project/Application/gpio_switch.h b/Project/Application/gpio_switch.h new file mode 100644 index 0000000..c61fdb2 --- /dev/null +++ b/Project/Application/gpio_switch.h @@ -0,0 +1,25 @@ +/** \file gpio_switch.h */ +#if !defined(GPIO_SWITCH_H__7A08A6AB_4529_4D95_A81A_08ECA85DC521__INCLUDED_) +#define GPIO_SWITCH_H__7A08A6AB_4529_4D95_A81A_08ECA85DC521__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +typedef enum +{ + KEY_PUSH_SW1, + KEY_PUSH_SW_MAX +}KEY_LIST; + +typedef void (*GPIO_SW_CALLBACK_FN) (void); + + + +void Gpio_Switch_Port_Initialization(void); +void Gpio_Swtich_Set_PushCount(KEY_LIST key, uint32_t Push_Count, uint32_t LongPush_Count); +void Gpio_Swtich_Set_Callback(KEY_LIST key, GPIO_SW_CALLBACK_FN Push_Callback, GPIO_SW_CALLBACK_FN LongPush_Callback, GPIO_SW_CALLBACK_FN Release_Callback); + + + + +#endif diff --git a/Project/Application/main.c b/Project/Application/main.c new file mode 100644 index 0000000..3fe76e1 --- /dev/null +++ b/Project/Application/main.c @@ -0,0 +1,74 @@ +#include "main.h" +#include "sw_timer.h" +#include "gpio_state_led.h" +#include "gpio_switch.h" +#include "uart1.h" +#include "spi10.h" +#include "spi13.h" +#include "timer12.h" +#include "segment_74hc595d.h" +#include "segment.h" +#include "save_file.h" +#include "driver_ds3231_basic.h" +#include "gpio_i2c.h" +#include "rtc_process.h" +#include "action_process.h" +#include "eeprom.h" +#include "buzzer.h" +#include "uart_packet.h" + +void timer_test(void) +{ + + + //Buzzer_On(50); + //Segment_In_Set_Humidity(12); + +} + + + +int main(void) +{ + System_Clock_Initialization(); + Systick_Initialization(1); + FlashMEM_Clock_Initialization(); + + Timer12_Initialization(); + + Uart1_Initialization(115200, UARTn_DATA_BIT_8, UARTn_PARITY_BIT_NONE, UARTn_STOP_BIT_1); + SPI10_Initialization(1000000, SPI10_MODE0, false); + + + + + Gpio_StateLed_Initialization(); + Gpio_Switch_Port_Initialization(); + Gpio_Sensor_PWR_Initialization(); + GPIO_I2C0_Initialization(); + GPIO_I2C1_Initialization(); + GPIO_I2C2_Initialization(); + Segment_Initialization(); + + __enable_irq(); + + + RTC_Process_Initialization(); + Buzzer_Initialization(); + Action_Initialization(); + Uart_Packet_Initialization(); + + + + + + //Sensor_Save_SDCard_Process(); + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 500, timer_test); + //Timer12_Set_Match_Interrupt_Callback(timer_test); + + while(true) + { + SW_Timer_Callback_Process(); + } +} + diff --git a/Project/Application/main.h b/Project/Application/main.h new file mode 100644 index 0000000..1588817 --- /dev/null +++ b/Project/Application/main.h @@ -0,0 +1,9 @@ +/** \file main.h */ +#if !defined(MAIN_H__5D109DF7_736B_42BD_A8C5_FB39935994BC__INCLUDED_) +#define MAIN_H__5D109DF7_736B_42BD_A8C5_FB39935994BC__INCLUDED_ + +#include "define.h" + + + +#endif diff --git a/Project/Application/ring_buffer.c b/Project/Application/ring_buffer.c new file mode 100644 index 0000000..844b591 --- /dev/null +++ b/Project/Application/ring_buffer.c @@ -0,0 +1,114 @@ +#include "ring_buffer.h" + +// 큐 초기화 +bool RingBuffer_Initialization(RING_BUFFER* pRingbuffer, bool isOverWrite, uint32_t buffer_size, uint8_t* pBuffer) +{ + if(buffer_size == 0 || pRingbuffer == NULL || pBuffer == NULL) + return false; + pRingbuffer->pBuffer = pBuffer; + pRingbuffer->BufferSize = buffer_size; + pRingbuffer->isOverWrite = isOverWrite; + pRingbuffer->front = -1; + pRingbuffer->rear = -1; + return true; +} + +bool RingBuffer_Clear(RING_BUFFER* pRingbuffer) +{ + if(pRingbuffer == NULL || pRingbuffer->BufferSize == 0 || pRingbuffer->pBuffer == NULL) + return false; + + pRingbuffer->front = -1; + pRingbuffer->rear = -1; + return true; +} + +bool RingBuffer_isEmpty(RING_BUFFER* pRingbuffer) +{ + return (pRingbuffer->front == -1); +} + +bool RingBuffer_isFull(RING_BUFFER* pRingbuffer) +{ + return ((pRingbuffer->front == 0 && pRingbuffer->rear == (pRingbuffer->BufferSize - 1)) || (pRingbuffer->front == (pRingbuffer->rear + 1))); +} +// 큐에 요소를 추가 (enqueue) +bool RingBuffer_Enqueue(RING_BUFFER* pRingbuffer, uint8_t value) +{ + uint8_t temp; + if (RingBuffer_isFull(pRingbuffer)) + { + if(pRingbuffer->isOverWrite == false) + return false; + else + RingBuffer_Dequeue(pRingbuffer, &temp); + } + + if (pRingbuffer->front == -1) + { + pRingbuffer->front = 0; + } + pRingbuffer->rear = (pRingbuffer->rear + 1) % pRingbuffer->BufferSize; + pRingbuffer->pBuffer[pRingbuffer->rear] = value; + return true; + +} + +// 큐에서 요소를 제거하고 반환 (dequeue) +bool RingBuffer_Dequeue(RING_BUFFER* pRingbuffer, uint8_t* pRetValue) +{ + if (RingBuffer_isEmpty(pRingbuffer)) + { + return false; + } + else + { + *pRetValue = pRingbuffer->pBuffer[pRingbuffer->front]; + if (pRingbuffer->front == pRingbuffer->rear) + { + pRingbuffer->front = -1; + pRingbuffer->rear = -1; + } + else + { + pRingbuffer->front = (pRingbuffer->front + 1) % pRingbuffer->BufferSize; + } + return true; + } +} + +bool RingBuffer_GetData(RING_BUFFER* pRingbuffer, uint8_t* pRetValue) +{ + *pRetValue = pRingbuffer->pBuffer[pRingbuffer->front]; + return true; +} + +bool RingBuffer_PopData(RING_BUFFER* pRingbuffer) +{ + if (pRingbuffer->front == pRingbuffer->rear) + { + pRingbuffer->front = -1; + pRingbuffer->rear = -1; + } + else + { + pRingbuffer->front = (pRingbuffer->front + 1) % pRingbuffer->BufferSize; + } + return true; +} + +uint32_t RingBuffer_Get_DataSize(RING_BUFFER* pRingbuffer) +{ + if (RingBuffer_isEmpty(pRingbuffer)) + { + return 0; + } + else if (pRingbuffer->front <= pRingbuffer->rear) + { + return (pRingbuffer->rear - pRingbuffer->front + 1); + } + else + { + return (pRingbuffer->BufferSize - pRingbuffer->front + pRingbuffer->rear + 1); + } +} diff --git a/Project/Application/ring_buffer.h b/Project/Application/ring_buffer.h new file mode 100644 index 0000000..b3652cb --- /dev/null +++ b/Project/Application/ring_buffer.h @@ -0,0 +1,28 @@ +/** \file ring_buffer.h */ +#if !defined(RING_BUFFER_H__002F3B3E_9C40_4947_A8EE_139D5ADFF045__INCLUDED_) +#define RING_BUFFER_H__002F3B3E_9C40_4947_A8EE_139D5ADFF045__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +typedef struct ring_buffer +{ + uint8_t* pBuffer; + uint32_t BufferSize; + bool isOverWrite; + int32_t front, rear; +}RING_BUFFER; + +bool RingBuffer_Initialization(RING_BUFFER* pRingbuffer, bool isOverWrite, uint32_t buffer_size, uint8_t* pBuffer); +bool RingBuffer_Clear(RING_BUFFER* pRingbuffer); +bool RingBuffer_isEmpty(RING_BUFFER* pRingbuffer); +bool RingBuffer_isFull(RING_BUFFER* pRingbuffer); +bool RingBuffer_Enqueue(RING_BUFFER* pRingbuffer, uint8_t value) ; +bool RingBuffer_Dequeue(RING_BUFFER* pRingbuffer, uint8_t* pRetValue); +bool RingBuffer_GetData(RING_BUFFER* pRingbuffer, uint8_t* pRetValue); +bool RingBuffer_PopData(RING_BUFFER* pRingbuffer); +uint32_t RingBuffer_Get_DataSize(RING_BUFFER* pRingbuffer); + + +#endif diff --git a/Project/Application/rtc_process.c b/Project/Application/rtc_process.c new file mode 100644 index 0000000..4d6a275 --- /dev/null +++ b/Project/Application/rtc_process.c @@ -0,0 +1,44 @@ +#include "rtc_process.h" +#include "sw_timer.h" +#include "driver_ds3231_basic.h" +#include "save_file.h" + + +static RTC_TIME rtc_Time; + +static void RTC_Get_IC_Time_Process(void); + + +void RTC_Process_Initialization(void) +{ + ds3231_basic_init(); + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 1000, RTC_Get_IC_Time_Process); +} + + +static void RTC_Get_IC_Time_Process(void) +{ + ds3231_time_t t; + ds3231_basic_get_time(&t); + + rtc_Time.rtc_Year = t.year; + rtc_Time.rtc_Month = t.month; + rtc_Time.rtc_Date = t.date; + if(t.format == DS3231_FORMAT_24H) + rtc_Time.rtc_Hour = t.hour; + else + rtc_Time.rtc_Hour = t.hour % 12 + t.am_pm * 12; + rtc_Time.rtc_Min = t.minute; + rtc_Time.rtc_Sec = t.second; + + + +} + + +RTC_TIME RTC_Get_Time(void) +{ + return rtc_Time; +} + + diff --git a/Project/Application/rtc_process.h b/Project/Application/rtc_process.h new file mode 100644 index 0000000..1089315 --- /dev/null +++ b/Project/Application/rtc_process.h @@ -0,0 +1,21 @@ +/** \file rtc_process.h */ +#if !defined(RTC_PROCESS_H__D0EA5D23_A0C1_480D_9C2A_A1F6D5C1D9CC__INCLUDED_) +#define RTC_PROCESS_H__D0EA5D23_A0C1_480D_9C2A_A1F6D5C1D9CC__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +typedef struct _rtc_time +{ + uint16_t rtc_Year; + uint8_t rtc_Month; + uint8_t rtc_Date; + uint8_t rtc_Hour; + uint8_t rtc_Min; + uint8_t rtc_Sec; +}RTC_TIME; + +void RTC_Process_Initialization(void); +RTC_TIME RTC_Get_Time(void); + +#endif diff --git a/Project/Application/save_file.c b/Project/Application/save_file.c new file mode 100644 index 0000000..3f0f6e1 --- /dev/null +++ b/Project/Application/save_file.c @@ -0,0 +1,190 @@ +#include "save_file.h" +#include "fatfs.h" +#include "spi10.h" +#include "rtc_process.h" + +#include "action_process.h" + + + + +typedef enum +{ + SAVE_STEP_INIT, + SAVE_STEP_POWER_ON_WAIT, + SAVE_STEP_SAVE_TIME_CHECK, + SAVE_STEP_SAVE_MOUNT, + SAVE_STEP_SAVE_FILE_OPEN, + SAVE_STEP_SAVE_FILE_LSEEK, + SAVE_STEP_SAVE_FILE_WRITE, + SAVE_STEP_SAVE_FILE_CLOSE, + SAVE_STEP_SAVE_ERROR, +}SAVE_STEP; + + +static SAVE_STEP SaveStep = SAVE_STEP_INIT; +static uint32_t SaveFileTickCount; +static uint8_t SaveMin; +static FATFS FatFs; //Fatfs handle +static FIL fil; //File handle +static FRESULT fres; //Result after operations +uint8_t FileBuffer[512]; + + + +uint32_t WriteDataSize; + +static bool isGuidePrint; +static bool isPowerOn; + + +bool Save_SensorData_SDCard(void) +{ +#if 0 + RTC_TIME rtc_time; + SENSOR_SAVE_DATA SaveSensorData; + uint32_t writeByte; + rtc_time = RTC_Get_Time(); + + MX_FATFS_Init(); + fres = f_mount(&FatFs, "", 1); //1=mount now + if(fres == FR_DISK_ERR) + { + FATFS_UnLinkDriver("0:/"); + MX_FATFS_Init(); + fres = f_mount(&FatFs, "", 1); //1=mount now + } + + if(fres != FR_OK) + { + return false; + } + + + sprintf((char *)FileBuffer, "%d_%d_%d.csv", rtc_time.rtc_Year, rtc_time.rtc_Month, rtc_time.rtc_Date); + if(f_open(&fil, FileBuffer, FA_WRITE | FA_READ) != FR_OK) + { + if (f_open(&fil, FileBuffer, FA_CREATE_ALWAYS | FA_WRITE) != FR_OK) + { + return false; + } + else + { + isGuidePrint = true; + } + } + else + { + isGuidePrint = false; + } + + + + fres = f_lseek(&fil, f_size(&fil)); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + if(isGuidePrint == true) + { + sprintf((char *)FileBuffer, "Time,In_PM10.0,In_PM4.0,In_PM2.5,In_PM1.0,In_Humidity,In_Temp,In_Voc,Out_PM10.0,Out_PM4.0,Out_PM2.5,Out_PM1.0,Out_Humidity,Out_Temp,Out_Voc\r\n"); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + } + + while(Sensor_RingBuffer_Get_DataSize(&Sensor_RingBuffer_Info) != 0) + { + if(Sensor_RingBuffer_Dequeue(&Sensor_RingBuffer_Info, &SaveSensorData) == true) + { + sprintf((char *)FileBuffer, "%d:%d:%d,", SaveSensorData.rtc_time.rtc_Hour, SaveSensorData.rtc_time.rtc_Min, SaveSensorData.rtc_time.rtc_Sec); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + + if(SaveSensorData.dust_sensor_In_info.isSensorMount == true) + { + sprintf((char *)FileBuffer, "%d,%d,%d,%d,%d,%d,%d,", SaveSensorData.dust_sensor_In_info.Dust_PM10p0, + SaveSensorData.dust_sensor_In_info.Dust_PM4p0, + SaveSensorData.dust_sensor_In_info.Dust_PM2p5, + SaveSensorData.dust_sensor_In_info.Dust_PM1p0, + SaveSensorData.dust_sensor_In_info.Dust_Humidity, + SaveSensorData.dust_sensor_In_info.Dust_Temperature, + SaveSensorData.dust_sensor_In_info.Dust_VOC_Index); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + + #if 0 + printf("In, + #endif + } + else + { + sprintf((char *)FileBuffer, "-,-,-,-,-,-,-,"); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + } + + if(SaveSensorData.dust_sensor_Out_info.isSensorMount == true) + { + sprintf((char *)FileBuffer, "%d,%d,%d,%d,%d,%d,%d\r\n", SaveSensorData.dust_sensor_Out_info.Dust_PM10p0, + SaveSensorData.dust_sensor_Out_info.Dust_PM4p0, + SaveSensorData.dust_sensor_Out_info.Dust_PM2p5, + SaveSensorData.dust_sensor_Out_info.Dust_PM1p0, + SaveSensorData.dust_sensor_Out_info.Dust_Humidity, + SaveSensorData.dust_sensor_Out_info.Dust_Temperature, + SaveSensorData.dust_sensor_Out_info.Dust_VOC_Index); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + } + else + { + sprintf((char *)FileBuffer, "-,-,-,-,-,-,-\r\n"); + fres = f_write(&fil, FileBuffer, strlen(FileBuffer), &writeByte); + if(fres != FR_OK) + { + f_close(&fil); + return false; + } + } + + } + } + + + + + + + + + + f_close(&fil); +#endif + return true; + +} + + + + + diff --git a/Project/Application/save_file.h b/Project/Application/save_file.h new file mode 100644 index 0000000..bb67749 --- /dev/null +++ b/Project/Application/save_file.h @@ -0,0 +1,10 @@ +/** \file save_file.h */ +#if !defined(SAVE_FILE_H__13EABF11_DA2C_4D03_AFD4_9CD0FD384825__INCLUDED_) +#define SAVE_FILE_H__13EABF11_DA2C_4D03_AFD4_9CD0FD384825__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +bool Save_SensorData_SDCard(void); + +#endif diff --git a/Project/Application/segment.c b/Project/Application/segment.c new file mode 100644 index 0000000..dcb36a2 --- /dev/null +++ b/Project/Application/segment.c @@ -0,0 +1,583 @@ +#include "segment.h" +#include "segment_74hc595d.h" + +const uint8_t SegmentData[SEG_INDEX_MAX] = +{ + 0x3F, //SEGMENT_0 + 0x06, //SEGMENT_1 + 0x5B, //SEGMENT_2 + 0x4F, //SEGMENT_3 + 0x66, //SEGMENT_4 + 0x6D, //SEGMENT_5 + 0x7D, //SEGMENT_6 + 0x07, //SEGMENT_7 + 0x7F, //SEGMENT_8 + 0x67, //SEGMENT_9 + 0x00, //SEGMENT_CLEAR + 0x5F, //SEGMENT_A, + 0x7C, //SEGMENT_B, + 0x58, //SEGMENT_C, + 0x5E, //SEGMENT_D, + 0x79, //SEGMENT_E, + 0x71, //SEGMENT_F, + 0x3D, //SEGMENT_G, + 0x74, //SEGMENT_H, + 0x11, //SEGMENT_I, + 0x0D, //SEGMENT_J, + 0x75, //SEGMENT_K, + 0x38, //SEGMENT_L, + 0x55, //SEGMENT_M, + 0x54, //SEGMENT_N, + 0x5C, //SEGMENT_O, + 0x73, //SEGMENT_P, + 0x67, //SEGMENT_Q, + 0x50, //SEGMENT_R, + 0x2D, //SEGMENT_S, + 0x78, //SEGMENT_T, + 0x1C, //SEGMENT_U, + 0x2A, //SEGMENT_V, + 0x6A, //SEGMENT_W, + 0x14, //SEGMENT_X, + 0x6E, //SEGMENT_Y, + 0x1B, //SEGMENT_Z, + 0x40, //SEGMENT_MINUS +}; + + + + + +uint8_t Segment_OutputBuff[SEGMENT_SELECT_MAX_INDEX][SEGMENT_MAX_DATA_INDEX]; + + +void Segment_In_Set_PM_1p0(uint32_t OutputData) +{ + Segment_Toggle_In = false; + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } +} + +void Segment_In_Set_PM_2p5(uint32_t OutputData) +{ + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } +} + +void Segment_In_Set_PM_4p0(uint32_t OutputData) +{ + Segment_Toggle_In = true; + if(OutputData >= 9999) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_2] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_1] = SegmentData[OutputData/1000]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_2] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } +} + +void Segment_In_Set_PM_10(uint32_t OutputData) +{ + + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[(OutputData%10)]; + } +} + +void Segment_In_Set_Humidity(uint32_t OutputData) +{ + if(OutputData >= 99) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_1] = SegmentData[SEG_9]; + } + else if(OutputData <= 9) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_1] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_1] = SegmentData[OutputData%10]; + } + else + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_1] = SegmentData[OutputData / 10]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_1] = SegmentData[OutputData % 10]; + } +} + +void Segment_In_Set_Temperature(int32_t OutputData) +{ + if(OutputData >= 99) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData <= -10) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[SEG_9]; + } + else if(OutputData >= -9 && OutputData <= -1) + { + int32_t temp = OutputData * -1; + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[temp%10]; + } + else if(OutputData <= 9 && OutputData >= 0) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[OutputData%10]; + } + else + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[OutputData / 10]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[OutputData % 10]; + } +} + +void Segment_Out_Set_PM_1p0(uint32_t OutputData) +{ + Segment_Toggle_Out = false; + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } +} + +void Segment_Out_Set_PM_2p5(uint32_t OutputData) +{ + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } +} + +void Segment_Out_Set_PM_4p0(uint32_t OutputData) +{ + Segment_Toggle_Out = true; + if(OutputData >= 9999) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_4] = SegmentData[SEG_9]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_3] = SegmentData[OutputData/1000]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_1][SEGMENT_DATA_4] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[DUST_SENSOR_PM40_SELECT_2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } +} + +void Segment_Out_Set_PM_10(uint32_t OutputData) +{ + + if(OutputData >= 9999) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData >= 1000) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[OutputData/1000]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 100) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[(OutputData%1000)/100]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else if(OutputData >= 10) + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[(OutputData%100)/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } + else + { + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[(OutputData%10)]; + } +} + +void Segment_Out_Set_Humidity(uint32_t OutputData) +{ + if(OutputData >= 99) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_3] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_3] = SegmentData[SEG_9]; + } + else if(OutputData <= 9) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_3] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_3] = SegmentData[OutputData%10]; + } + else + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_3] = SegmentData[OutputData / 10]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_3] = SegmentData[OutputData % 10]; + } +} + +void Segment_Out_Set_Temperature(int32_t OutputData) +{ + if(OutputData >= 99) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData <= -10) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[SEG_9]; + } + else if(OutputData >= -9 && OutputData <= -1) + { + int32_t temp = OutputData * -1; + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[temp%10]; + } + else if(OutputData <= 9 && OutputData >= 0) + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[SEG_CLEAR]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[OutputData%10]; + } + else + { + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[OutputData / 10]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[OutputData % 10]; + } +} + + +void Segment_All_Set_Data(uint8_t SegmentData) +{ + uint8_t i; + for(i = SEGMENT_F1C1 ; i < SEGMENT_SELECT_MAX_INDEX ; i++) + { + Segment_OutputBuff[i][SEGMENT_DATA_1] = SegmentData; + Segment_OutputBuff[i][SEGMENT_DATA_2] = SegmentData; + Segment_OutputBuff[i][SEGMENT_DATA_3] = SegmentData; + Segment_OutputBuff[i][SEGMENT_DATA_4] = SegmentData; + } + +} + + +void Segment_Show_Version(void) +{ + uint8_t i; + uint16_t temp; + for(i = SEGMENT_F1C1 ; i < SEGMENT_SELECT_MAX_INDEX ; i++) + { + Segment_OutputBuff[i][SEGMENT_DATA_1] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_2] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_3] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_4] = 0x00; + } + + //Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_9]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_V]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[SEG_E]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[SEG_R]; + + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[VERSION_MAJOR] | 0x80; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[VERSION_MINOR] | 0x80; + temp = VERSION_PATCH; + if(temp >= 99) + { + temp = 99; + } + + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[temp/10]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[temp%10]; +} + + + +void Segment_Show_Mode(uint8_t Mode) +{ + uint8_t i; + uint16_t temp; + for(i = SEGMENT_F1C1 ; i < SEGMENT_SELECT_MAX_INDEX ; i++) + { + Segment_OutputBuff[i][SEGMENT_DATA_1] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_2] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_3] = 0x00; + Segment_OutputBuff[i][SEGMENT_DATA_4] = 0x00; + } + + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_M]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_O]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[SEG_D]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[SEG_E]; + + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[Mode]; + +} + +void Segment_In_Sensor_Error(void) +{ + Segment_Toggle_In = false; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_1] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_2] = SegmentData[SEG_MINUS]; +} + + +void Segment_Out_Sensor_Error(void) +{ + Segment_Toggle_Out = false; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F1C2][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F2C2][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F3C2][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_3] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C1][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; + Segment_OutputBuff[SEGMENT_F4C2][SEGMENT_DATA_4] = SegmentData[SEG_MINUS]; +} diff --git a/Project/Application/segment.h b/Project/Application/segment.h new file mode 100644 index 0000000..edbd59f --- /dev/null +++ b/Project/Application/segment.h @@ -0,0 +1,97 @@ +/** \file segment.h */ +#if !defined(SEGMENT_H__CECA83FE_5707_4492_A247_CC6270C87910__INCLUDED_) +#define SEGMENT_H__CECA83FE_5707_4492_A247_CC6270C87910__INCLUDED_ + +#include "board_config.h" + +typedef enum +{ + SEG_0, + SEG_1, + SEG_2, + SEG_3, + SEG_4, + SEG_5, + SEG_6, + SEG_7, + SEG_8, + SEG_9, + SEG_CLEAR, + SEG_A, + SEG_B, + SEG_C, + SEG_D, + SEG_E, + SEG_F, + SEG_G, + SEG_H, + SEG_I, + SEG_J, + SEG_K, + SEG_L, + SEG_M, + SEG_N, + SEG_O, + SEG_P, + SEG_Q, + SEG_R, + SEG_S, + SEG_T, + SEG_U, + SEG_V, + SEG_W, + SEG_X, + SEG_Y, + SEG_Z, + SEG_MINUS, + SEG_INDEX_MAX, +}SEGMENT_INDEX; + +typedef enum +{ + SEGMENT_F1C1, + SEGMENT_F1C2, + SEGMENT_F2C1, + SEGMENT_F2C2, + SEGMENT_F3C1, + SEGMENT_F3C2, + SEGMENT_F4C1, + SEGMENT_F4C2, + SEGMENT_SELECT_MAX_INDEX, +}SEGMENT_SELECT_INDEX; + +typedef enum +{ + SEGMENT_DATA_1, + SEGMENT_DATA_2, + SEGMENT_DATA_3, + SEGMENT_DATA_4, + SEGMENT_MAX_DATA_INDEX, +}SEGMENT_DATA_INDEX; + + +extern uint8_t Segment_OutputBuff[SEGMENT_SELECT_MAX_INDEX][SEGMENT_MAX_DATA_INDEX]; +extern const uint8_t SegmentData[SEG_INDEX_MAX]; + + +void Segment_In_Set_PM_1p0(uint32_t OutputData); +void Segment_In_Set_PM_2p5(uint32_t OutputData); +void Segment_In_Set_PM_4p0(uint32_t OutputData); +void Segment_In_Set_PM_10(uint32_t OutputData); +void Segment_In_Set_Humidity(uint32_t OutputData); +void Segment_In_Set_Temperature(int32_t OutputData); +void Segment_In_Sensor_Error(void); + +void Segment_Out_Set_PM_1p0(uint32_t OutputData); +void Segment_Out_Set_PM_2p5(uint32_t OutputData); +void Segment_Out_Set_PM_4p0(uint32_t OutputData); +void Segment_Out_Set_PM_10(uint32_t OutputData); +void Segment_Out_Set_Humidity(uint32_t OutputData); +void Segment_Out_Set_Temperature(int32_t OutputData); +void Segment_Out_Sensor_Error(void); + +void Segment_All_Set_Data(uint8_t SegmentData); +void Segment_Show_Version(void); +void Segment_Show_Mode(uint8_t Mode); + +#endif diff --git a/Project/Application/segment_74hc595d.c b/Project/Application/segment_74hc595d.c new file mode 100644 index 0000000..51624bc --- /dev/null +++ b/Project/Application/segment_74hc595d.c @@ -0,0 +1,220 @@ +#include "segment_74hc595d.h" +#include "segment.h" +#include "timer12.h" +#include "spi13.h" +#include "systick_timer.h" + + +typedef enum +{ + LSB_FIRST, + MSB_FIRST, +}LSB_MSB_MODE; + + + +#define SEGMENT_74HC595D_SDATA_PORT (Pn_Type*)PE +#define SEGMENT_74HC595D_SDATA_PIN 8 +#define SEGMENT_74HC595D_SDATA_HIGH HAL_GPIO_SetPin(SEGMENT_74HC595D_SDATA_PORT,_BIT(SEGMENT_74HC595D_SDATA_PIN)) +#define SEGMENT_74HC595D_SDATA_LOW HAL_GPIO_ClearPin(SEGMENT_74HC595D_SDATA_PORT,_BIT(SEGMENT_74HC595D_SDATA_PIN)) + +#define SEGMENT_74HC595D_SCK_PORT (Pn_Type*)PE +#define SEGMENT_74HC595D_SCK_PIN 9 +#define SEGMENT_74HC595D_SCK_HIGH HAL_GPIO_SetPin(SEGMENT_74HC595D_SCK_PORT,_BIT(SEGMENT_74HC595D_SCK_PIN)) +#define SEGMENT_74HC595D_SCK_LOW HAL_GPIO_ClearPin(SEGMENT_74HC595D_SCK_PORT,_BIT(SEGMENT_74HC595D_SCK_PIN)) + +#define SEGMENT_74HC595D_LATCH_PORT (Pn_Type*)PE +#define SEGMENT_74HC595D_LATCH_PIN_NUM 10 +#define SEGMENT_74HC595D_LATCH_H HAL_GPIO_SetPin(SEGMENT_74HC595D_LATCH_PORT, _BIT(SEGMENT_74HC595D_LATCH_PIN_NUM)) +#define SEGMENT_74HC595D_LATCH_L HAL_GPIO_ClearPin(SEGMENT_74HC595D_LATCH_PORT, _BIT(SEGMENT_74HC595D_LATCH_PIN_NUM)) +#define SEGMENT_74HC595D_LATCH_T HAL_GPIO_TogglePin(SEGMENT_74HC595D_LATCH_PORT, _BIT(SEGMENT_74HC595D_LATCH_PIN_NUM)) + + +typedef union +{ + struct + { + uint8_t HC595_4; + uint8_t HC595_3; + + uint8_t HC595_5_F1C1 : 1; + uint8_t HC595_5_F1C2 : 1; + uint8_t HC595_5_F2C1 : 1; + uint8_t HC595_5_F2C2 : 1; + uint8_t HC595_5_F3C1 : 1; + uint8_t HC595_5_F3C2 : 1; + uint8_t HC595_5_F4C1 : 1; + uint8_t HC595_5_F4C2 : 1; + + uint8_t HC595_2; + uint8_t HC595_1; + }HC595_Data; + uint8_t HC595_TxBuff[SEGMENT_74HC595D_IC_TOTAL_NUM]; +}HC595_OUTPUT_DATA; + + + +static SEGMENT_OUTPUT_STEP SegmentOutputStep; +static HC595_OUTPUT_DATA HC595_OutputData; +static LSB_MSB_MODE HC595_OutputMode = MSB_FIRST; +static uint32_t ToggleTickCount; +static bool isToggle = false; + +uint8_t Segment_Buff[SEGMENT_OUTPUT_MAX][SEGMENT_74HC595D_IC_DATA_NUM]; +bool Segment_Toggle_In; +bool Segment_Toggle_Out; + + +static void Segemet_Output_Process(void); +static void Segment_Output_Data(uint8_t* pTxData, uint8_t TxSize); + +void Segment_Initialization(void) +{ + HAL_GPIO_ConfigOutput(SEGMENT_74HC595D_SDATA_PORT, SEGMENT_74HC595D_SDATA_PIN, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(SEGMENT_74HC595D_SDATA_PORT, SEGMENT_74HC595D_SDATA_PIN, PUPDx_EnablePU); + + HAL_GPIO_ConfigOutput(SEGMENT_74HC595D_SCK_PORT, SEGMENT_74HC595D_SCK_PIN, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(SEGMENT_74HC595D_SCK_PORT, SEGMENT_74HC595D_SCK_PIN, PUPDx_EnablePU); + + HAL_GPIO_ConfigOutput(SEGMENT_74HC595D_LATCH_PORT, SEGMENT_74HC595D_LATCH_PIN_NUM, PUSH_PULL_OUTPUT); + HAL_GPIO_ConfigPullup(SEGMENT_74HC595D_LATCH_PORT, SEGMENT_74HC595D_LATCH_PIN_NUM, PUPDx_EnablePU); + + + SEGMENT_74HC595D_LATCH_H; + SEGMENT_74HC595D_SCK_LOW; + SEGMENT_74HC595D_SDATA_HIGH; + + //Segmet_Output_Process(); + ToggleTickCount = millis(); + isToggle = false; + Timer12_Set_Match_Interrupt_Callback(Segemet_Output_Process); +} + +static void Segemet_Output_Process(void) +{ + static uint8_t temp = 0; + + memset(HC595_OutputData.HC595_TxBuff, 0, SEGMENT_74HC595D_IC_TOTAL_NUM); + + if((millis() - ToggleTickCount) >= SEGMENT_TOGGLE_TIME) + { + ToggleTickCount = millis(); + isToggle = !isToggle; + } + + + switch(SegmentOutputStep) + { + case SEGMENT_OUTPUT_F1C1: + HC595_OutputData.HC595_Data.HC595_5_F1C1 = true; + break; + case SEGMENT_OUTPUT_F1C2: + HC595_OutputData.HC595_Data.HC595_5_F1C2 = true; + break; + case SEGMENT_OUTPUT_F2C1: + HC595_OutputData.HC595_Data.HC595_5_F2C1 = true; + break; + case SEGMENT_OUTPUT_F2C2: + HC595_OutputData.HC595_Data.HC595_5_F2C2 = true; + break; + case SEGMENT_OUTPUT_F3C1: + HC595_OutputData.HC595_Data.HC595_5_F3C1 = true; + break; + case SEGMENT_OUTPUT_F3C2: + HC595_OutputData.HC595_Data.HC595_5_F3C2 = true; + break; + case SEGMENT_OUTPUT_F4C1: + HC595_OutputData.HC595_Data.HC595_5_F4C1 = true; + break; + case SEGMENT_OUTPUT_F4C2: + HC595_OutputData.HC595_Data.HC595_5_F4C2 = true; + break; + } + + HC595_OutputData.HC595_Data.HC595_1 = Segment_OutputBuff[SegmentOutputStep][0]; + HC595_OutputData.HC595_Data.HC595_2 = Segment_OutputBuff[SegmentOutputStep][1]; + HC595_OutputData.HC595_Data.HC595_3 = Segment_OutputBuff[SegmentOutputStep][2]; + HC595_OutputData.HC595_Data.HC595_4 = Segment_OutputBuff[SegmentOutputStep][3]; + + if(Segment_Toggle_In == true && isToggle == true) + { + if(SegmentOutputStep == DUST_SENSOR_PM40_SELECT_1 || SegmentOutputStep == DUST_SENSOR_PM40_SELECT_2) + { + HC595_OutputData.HC595_Data.HC595_1 = 0x00; + HC595_OutputData.HC595_Data.HC595_2 = 0x00; + } + } + + if(Segment_Toggle_Out == true && isToggle == true) + { + if(SegmentOutputStep == DUST_SENSOR_PM40_SELECT_1 || SegmentOutputStep == DUST_SENSOR_PM40_SELECT_2) + { + HC595_OutputData.HC595_Data.HC595_3 = 0x00; + HC595_OutputData.HC595_Data.HC595_4 = 0x00; + } + } + + SEGMENT_74HC595D_LATCH_L; + Segment_Output_Data(HC595_OutputData.HC595_TxBuff, SEGMENT_74HC595D_IC_TOTAL_NUM); + SEGMENT_74HC595D_LATCH_H; + + SegmentOutputStep++; + if(SegmentOutputStep >= SEGMENT_OUTPUT_MAX) + { + SegmentOutputStep = SEGMENT_OUTPUT_F1C1; + } +} + + +static void Segment_Output_Data(uint8_t* pTxData, uint8_t TxSize) +{ + uint8_t Temp; + uint8_t i, j; + + if(HC595_OutputMode == LSB_FIRST) + { + for(i = 0 ; i < TxSize ; i++) + { + Temp = pTxData[i]; + for(j = 0 ; j < 8 ; j++) + { + if((Temp & 0x01)) + { + SEGMENT_74HC595D_SDATA_HIGH; + } + else + { + SEGMENT_74HC595D_SDATA_LOW; + } + + SEGMENT_74HC595D_SCK_HIGH; + SEGMENT_74HC595D_SCK_LOW; + Temp = Temp >> 1; + } + } + } + else + { + for(i = 0 ; i < TxSize ; i++) + { + Temp = pTxData[i]; + for(j = 0 ; j < 8 ; j++) + { + if((Temp & 0x80)) + { + SEGMENT_74HC595D_SDATA_HIGH; + } + else + { + SEGMENT_74HC595D_SDATA_LOW; + } + + SEGMENT_74HC595D_SCK_HIGH; + SEGMENT_74HC595D_SCK_LOW; + Temp = Temp << 1; + } + } + } + SEGMENT_74HC595D_SDATA_HIGH; +} + diff --git a/Project/Application/segment_74hc595d.h b/Project/Application/segment_74hc595d.h new file mode 100644 index 0000000..959be90 --- /dev/null +++ b/Project/Application/segment_74hc595d.h @@ -0,0 +1,46 @@ +/** \file segment_74hc595d.h */ +#if !defined(SEGMENT_74HC595D_H__80B128A2_DCE6_4A8C_812C_0CCA0173ECA2__INCLUDED_) +#define SEGMENT_74HC595D_H__80B128A2_DCE6_4A8C_812C_0CCA0173ECA2__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#define SEGMENT_74HC595D_IC_DATA_NUM 4 +#define SEGMENT_74HC595D_IC_SELECT_NUM 1 +#define SEGMENT_74HC595D_IC_TOTAL_NUM (SEGMENT_74HC595D_IC_DATA_NUM + SEGMENT_74HC595D_IC_SELECT_NUM) + + + + + + + + + + + + + + +typedef enum +{ + SEGMENT_OUTPUT_F1C1, + SEGMENT_OUTPUT_F1C2, + SEGMENT_OUTPUT_F2C1, + SEGMENT_OUTPUT_F2C2, + SEGMENT_OUTPUT_F3C1, + SEGMENT_OUTPUT_F3C2, + SEGMENT_OUTPUT_F4C1, + SEGMENT_OUTPUT_F4C2, + SEGMENT_OUTPUT_MAX, +}SEGMENT_OUTPUT_STEP; + + + +void Segment_Initialization(void); + +extern uint8_t Segment_Buff[SEGMENT_OUTPUT_MAX][SEGMENT_74HC595D_IC_DATA_NUM]; +extern bool Segment_Toggle_In; +extern bool Segment_Toggle_Out; +#endif diff --git a/Project/Application/spi10.c b/Project/Application/spi10.c new file mode 100644 index 0000000..bd0a934 --- /dev/null +++ b/Project/Application/spi10.c @@ -0,0 +1,114 @@ +#include "spi10.h" + + +void SPI10_Initialization(uint32_t speed, SPI10_MODE mode, bool lsbFirst) +{ + USART1n_CFG_Type USART1n_Config; + /* + * Initialize USART10 + */ + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 0, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 0, AFSRx_AF2 ); + + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 1, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 1, AFSRx_AF2 ); + + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 2, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 2, AFSRx_AF2 ); + + + + + HAL_GPIO_ConfigOutput((Pn_Type*)SPI10_CS_PORT, SPI10_CS_PIN, PUSH_PULL_OUTPUT ); + HAL_GPIO_ConfigPullup((Pn_Type*)SPI10_CS_PORT, SPI10_CS_PIN, PUPDx_EnablePU ); + + SPI10_CS_HIGH; + + + + // default: 38400-8-N-1 + HAL_USART_SPI_Mode_Config( &USART1n_Config ); + + USART1n_Config.Mode = USART1n_SPI_MODE; + USART1n_Config.Baudrate = speed; + USART1n_Config.Databits = USART1n_DATA_BIT_8; + USART1n_Config.Parity = USART1n_PARITY_BIT_NONE; + USART1n_Config.Stopbits = USART1n_STOP_BIT_1; + + if(lsbFirst == true) + USART1n_Config.Order = USART1n_SPI_LSB; + else + USART1n_Config.Order = USART1n_SPI_MSB; + + switch(mode) + { + case SPI10_MODE0: + USART1n_Config.ACK = USART1n_SPI_TX_RISING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; + break; + case SPI10_MODE1: + USART1n_Config.ACK = USART1n_SPI_TX_RISING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SETUP; + break; + case SPI10_MODE2: + USART1n_Config.ACK = USART1n_SPI_TX_FALLING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; + break; + case SPI10_MODE3: + USART1n_Config.ACK = USART1n_SPI_TX_FALLING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SETUP; + break; + } + + HAL_USART_Init( ( USART1n_Type* )USART10, &USART1n_Config ); + + // SPI Master + HAL_USART_DataControlConfig( ( USART1n_Type* )USART10, USART1n_CONTROL_MASTER, ENABLE ); + + // ENABLE + HAL_USART_Enable( ( USART1n_Type* )USART10, ENABLE ); +} + + + + +void SPI10_Transmit(uint8_t* pTxData, uint32_t Tx_Len) +{ + + uint32_t i; + + for(i = 0 ; i < Tx_Len ; i++) + { + USART10->DR = pTxData[i]; + while((USART10->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART10->ST & 0x20)==0x00){} // receive complete flag wait + uint8_t temp = USART10->DR; + } +} + +void SPI10_SendRecv(uint8_t* pTxByte, uint8_t* pRxByte, uint32_t TRx_Len) +{ + uint32_t i; + + for(i = 0 ; i < TRx_Len ; i++) + { + USART10->DR = pTxByte[i]; + while((USART10->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART10->ST & 0x20)==0x00){} // receive complete flag wait + pRxByte[i] = USART10->DR; + } +} + +uint8_t SPI10_SendReceiveByte(uint8_t SendByte) +{ + uint8_t recvByte; + + USART10->DR = SendByte; + while((USART10->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART10->ST & 0x20)==0x00){} // receive complete flag wait + + recvByte = USART10->DR; + + return recvByte; +} + diff --git a/Project/Application/spi10.h b/Project/Application/spi10.h new file mode 100644 index 0000000..730e13d --- /dev/null +++ b/Project/Application/spi10.h @@ -0,0 +1,35 @@ +/** \file spi11.h */ +#if !defined(SPI10_H__30436C8B_DA45_4E91_95E0_C40C4C083867__INCLUDED_) +#define SPI10_H__30436C8B_DA45_4E91_95E0_C40C4C083867__INCLUDED_ + + +#include "define.h" +#include "board_config.h" + + +typedef enum +{ + SPI10_MODE0, + SPI10_MODE1, + SPI10_MODE2, + SPI10_MODE3, +}SPI10_MODE; + + + +#define SPI10_CS_PORT PA +#define SPI10_CS_PIN 7 +#define SPI10_CS_LOW HAL_GPIO_ClearPin((Pn_Type*)SPI10_CS_PORT, _BIT(SPI10_CS_PIN)) +#define SPI10_CS_HIGH HAL_GPIO_SetPin((Pn_Type*)SPI10_CS_PORT, _BIT(SPI10_CS_PIN)) + + + +void SPI10_Initialization(uint32_t speed, SPI10_MODE mode, bool lsbFirst); +void SPI10_Transmit(uint8_t* pTxData, uint32_t Tx_Len); +void SPI10_SendRecv(uint8_t* pTxByte, uint8_t* pRxByte, uint32_t TRx_Len); +uint8_t SPI10_SendReceiveByte(uint8_t SendByte); + + + + +#endif diff --git a/Project/Application/spi13.c b/Project/Application/spi13.c new file mode 100644 index 0000000..3dbdec0 --- /dev/null +++ b/Project/Application/spi13.c @@ -0,0 +1,107 @@ +#include "spi13.h" + + +void SPI13_Initialization(uint32_t speed, SPI13_MODE mode, bool lsbFirst) +{ + USART1n_CFG_Type USART1n_Config; + /* + * Initialize USART13 + */ + // MOSI + HAL_GPIO_ConfigOutput( ( Pn_Type* )PE, 8, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction( ( Pn_Type* )PE, 8, AFSRx_AF2 ); + + // MISO + //HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 1, ALTERN_FUNC ); + //HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 1, AFSRx_AF2 ); + + // SCK + HAL_GPIO_ConfigOutput( ( Pn_Type* )PE, 10, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction( ( Pn_Type* )PE, 10, AFSRx_AF2 ); + + // default: 38400-8-N-1 + HAL_USART_SPI_Mode_Config( &USART1n_Config ); + + USART1n_Config.Mode = USART1n_SPI_MODE; + USART1n_Config.Baudrate = speed; + USART1n_Config.Databits = USART1n_DATA_BIT_8; + USART1n_Config.Parity = USART1n_PARITY_BIT_NONE; + USART1n_Config.Stopbits = USART1n_STOP_BIT_1; + + if(lsbFirst == true) + USART1n_Config.Order = USART1n_SPI_LSB; + else + USART1n_Config.Order = USART1n_SPI_MSB; + + switch(mode) + { + case SPI13_MODE0: + USART1n_Config.ACK = USART1n_SPI_TX_RISING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; + break; + case SPI13_MODE1: + USART1n_Config.ACK = USART1n_SPI_TX_RISING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SETUP; + break; + case SPI13_MODE2: + USART1n_Config.ACK = USART1n_SPI_TX_FALLING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; + break; + case SPI13_MODE3: + USART1n_Config.ACK = USART1n_SPI_TX_FALLING; + USART1n_Config.Edge = USART1n_SPI_TX_LEADEDGE_SETUP; + break; + } + + HAL_USART_Init( ( USART1n_Type* )USART13, &USART1n_Config ); + + // SPI Master + HAL_USART_DataControlConfig( ( USART1n_Type* )USART13, USART1n_CONTROL_MASTER, ENABLE ); + + // ENABLE + HAL_USART_Enable( ( USART1n_Type* )USART13, ENABLE ); +} + + + + +void SPI13_Transmit(uint8_t* pTxData, uint32_t Tx_Len) +{ + + uint32_t i; + + for(i = 0 ; i < Tx_Len ; i++) + { + USART13->DR = pTxData[i]; + while((USART13->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART13->ST & 0x20)==0x00){} // receive complete flag wait + uint8_t temp = USART13->DR; + } +} + +void SPI13_SendRecv(uint8_t* pTxByte, uint8_t* pRxByte, uint32_t TRx_Len) +{ + uint32_t i; + + for(i = 0 ; i < TRx_Len ; i++) + { + USART13->DR = pTxByte[i]; + while((USART13->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART13->ST & 0x20)==0x00){} // receive complete flag wait + pRxByte[i] = USART13->DR; + } +} + +uint8_t SPI13_SendReceiveByte(uint8_t SendByte) +{ + uint8_t recvByte; + + USART13->DR = SendByte; + while((USART13->ST & 0x40)==0x00){} // transmit complete flag wait + while((USART13->ST & 0x20)==0x00){} // receive complete flag wait + + recvByte = USART13->DR; + + return recvByte; +} + diff --git a/Project/Application/spi13.h b/Project/Application/spi13.h new file mode 100644 index 0000000..462ab96 --- /dev/null +++ b/Project/Application/spi13.h @@ -0,0 +1,26 @@ +/** \file spi13.h */ +#if !defined(SPI13_H__30436C8B_DA45_4E91_95E0_C40C4C083867__INCLUDED_) +#define SPI10_H__30436C8B_DA45_4E91_95E0_C40C4C083867__INCLUDED_ + + +#include "define.h" +#include "board_config.h" + + +typedef enum +{ + SPI13_MODE0, + SPI13_MODE1, + SPI13_MODE2, + SPI13_MODE3, +}SPI13_MODE; + +void SPI13_Initialization(uint32_t speed, SPI13_MODE mode, bool lsbFirst); +void SPI13_Transmit(uint8_t* pTxData, uint32_t Tx_Len); +void SPI13_SendRecv(uint8_t* pTxByte, uint8_t* pRxByte, uint32_t TRx_Len); +uint8_t SPI13_SendReceiveByte(uint8_t SendByte); + + + + +#endif diff --git a/Project/Application/sw_timer.c b/Project/Application/sw_timer.c new file mode 100644 index 0000000..46fd0db --- /dev/null +++ b/Project/Application/sw_timer.c @@ -0,0 +1,84 @@ +#include "sw_timer.h" +#include "systick_timer.h" + + + +typedef struct _timer_callback_info +{ + SW_TIMER_CALLBACK_TYPE SW_Callback_Type; + uint32_t SW_Timer_StartTick; + uint32_t SW_Timer_PeriodCount; + SW_TIMER_CALLBACK_FN SW_Timer_CallbackFunction; +}SW_TIMER_INFO; + + +static SW_TIMER_INFO SW_Timer_Info[MAX_SW_TIMER_REGISTER_COUNT]; + + + +bool SW_Timer_Callback_Register(SW_TIMER_CALLBACK_TYPE type, uint32_t PeriodTime, SW_TIMER_CALLBACK_FN pTimerCallback) +{ + uint8_t i; + bool ret = false; + + for(i = 0 ; i < MAX_SW_TIMER_REGISTER_COUNT ; i++) + { + if(SW_Timer_Info[i].SW_Timer_CallbackFunction == NULL) + { + SW_Timer_Info[i].SW_Callback_Type = type; + SW_Timer_Info[i].SW_Timer_StartTick = millis(); + SW_Timer_Info[i].SW_Timer_PeriodCount = PeriodTime; + SW_Timer_Info[i].SW_Timer_CallbackFunction = pTimerCallback; + ret = true; + break; + } + } + + return ret; +} + +bool SW_Timer_Callback_UnRegister(SW_TIMER_CALLBACK_FN pSwTimerCallbackFunc) +{ + uint8_t i; + bool ret = false; + + for(i = 0 ; i < MAX_SW_TIMER_REGISTER_COUNT ; i++) + { + if(SW_Timer_Info[i].SW_Timer_CallbackFunction == pSwTimerCallbackFunc) + { + SW_Timer_Info[i].SW_Callback_Type = SW_TIMER_RUN_NONE; + SW_Timer_Info[i].SW_Timer_CallbackFunction = NULL; + ret = true; + } + } + return ret; +} + +void SW_Timer_Callback_Process(void) +{ + uint8_t i; + uint32_t nowTickCount = millis(); + for(i = 0 ; i < MAX_SW_TIMER_REGISTER_COUNT ; i++) + { + if(SW_Timer_Info[i].SW_Timer_CallbackFunction != NULL && + SW_Timer_Info[i].SW_Callback_Type != SW_TIMER_RUN_NONE) + { + if((nowTickCount - SW_Timer_Info[i].SW_Timer_StartTick) >= SW_Timer_Info[i].SW_Timer_PeriodCount) + { + if(SW_Timer_Info[i].SW_Callback_Type == SW_TIMER_RUN_ONNY_ONCE) + { + SW_Timer_Info[i].SW_Timer_StartTick = 0xFFFFFFFF; + SW_Timer_Info[i].SW_Callback_Type = SW_TIMER_RUN_NONE; + SW_Timer_Info[i].SW_Timer_CallbackFunction(); + SW_Timer_Info[i].SW_Timer_CallbackFunction = NULL; + } + else + { + SW_Timer_Info[i].SW_Timer_CallbackFunction(); + SW_Timer_Info[i].SW_Timer_StartTick = nowTickCount; + } + } + } + } +} + diff --git a/Project/Application/sw_timer.h b/Project/Application/sw_timer.h new file mode 100644 index 0000000..64221fd --- /dev/null +++ b/Project/Application/sw_timer.h @@ -0,0 +1,25 @@ +/** \file sw_timer.h */ +#if !defined(SW_TIMER_H__8AF06FDD_AC0A_4693_88C3_8ACCF1AB4372__INCLUDED_) +#define SW_TIMER_H__8AF06FDD_AC0A_4693_88C3_8ACCF1AB4372__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#define MAX_SW_TIMER_REGISTER_COUNT 10 + +typedef enum +{ + SW_TIMER_RUN_NONE, + SW_TIMER_RUN_ONNY_ONCE, + SW_TIMER_RUN_CONTINUE, +}SW_TIMER_CALLBACK_TYPE; + +typedef void (*SW_TIMER_CALLBACK_FN) (void); + + +bool SW_Timer_Callback_Register(SW_TIMER_CALLBACK_TYPE type, uint32_t PeriodTime, SW_TIMER_CALLBACK_FN pTimerCallback); +bool SW_Timer_Callback_UnRegister(SW_TIMER_CALLBACK_FN pSwTimerCallbackFunc); +void SW_Timer_Callback_Process(void); + +#endif diff --git a/Project/Application/systick_timer.c b/Project/Application/systick_timer.c new file mode 100644 index 0000000..bded962 --- /dev/null +++ b/Project/Application/systick_timer.c @@ -0,0 +1,31 @@ +#include "systick_timer.h" + + +static uint32_t tick_count; +volatile uint32_t nDelayCount; +/*-------------------------------------------------------------------------*//** + * @brief This function handles SysTick Handler. + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void SysTick_Handler( void ) +{ + tick_count++; + if(nDelayCount != 0) + { + nDelayCount--; + } +} + + +uint32_t millis(void) +{ + return tick_count; +} + + +void Delay_ms(uint32_t nDelay) +{ + nDelayCount = nDelay; + while(nDelayCount != 0); +} diff --git a/Project/Application/systick_timer.h b/Project/Application/systick_timer.h new file mode 100644 index 0000000..946712b --- /dev/null +++ b/Project/Application/systick_timer.h @@ -0,0 +1,10 @@ +/** \file systick_timer.h */ +#if !defined(SYSTICK_TIMER_H__5F17ECEC_79B1_4DE4_9B38_A54E0782477E__INCLUDED_) +#define SYSTICK_TIMER_H__5F17ECEC_79B1_4DE4_9B38_A54E0782477E__INCLUDED_ + +#include "define.h" + +uint32_t millis(void); +void Delay_ms(uint32_t nDelay); + +#endif diff --git a/Project/Application/timer12.c b/Project/Application/timer12.c new file mode 100644 index 0000000..03065e0 --- /dev/null +++ b/Project/Application/timer12.c @@ -0,0 +1,66 @@ +#include "timer12.h" +#include "gpio_state_led.h" + +#define TIMER1n_PERIPHERAL TIMER12 +#define TIMER1n_IRQ_TYPE TIMER12_IRQn +#define TIMER1n_INTERRUPT_MASK MSK_TIMER12 + + +static TIMER_CALLBACK Timer12_Match_Callback = NULL; + + + + + +void Timer12_Initialization(void) +{ + TIMER1n_PERIODICCFG_Type TIMER1n_Config; + + TIMER1n_Config.CkSel = TIMER1n_PCLK; // PCLK = 40MHz + TIMER1n_Config.Prescaler = 40; // fTIMER = PCLK / 40 = 1MHz + TIMER1n_Config.ADR = ( 1000 ); // Period = ADR / fTIMER = 1000us + TIMER1n_Config.StartLevel = TIMER1n_START_LOW; + TIMER1n_Config.BDR = 0; // Duty(B) = BDR / fTIMER = 0us // dummy parameter + TIMER1n_Config.ECE = TIMER1n_FALLING_EGDE; // dummy parameter + + HAL_TIMER1n_Init((TIMER1n_Type*)TIMER1n_PERIPHERAL, TIMER1n_PERIODIC_MODE, &TIMER1n_Config); + HAL_TIMER1n_ConfigInterrupt((TIMER1n_Type*)TIMER1n_PERIPHERAL, TIMER1n_INTCFG_MIE, ENABLE); + + NVIC_SetPriority(TIMER1n_IRQ_TYPE, 3); + NVIC_EnableIRQ(TIMER1n_IRQ_TYPE); + HAL_INT_EInt_MaskDisable(TIMER1n_INTERRUPT_MASK); + + HAL_TIMER1n_Cmd((TIMER1n_Type*)TIMER1n_PERIPHERAL, ENABLE ); // timer start & clear +} + + +void Timer12_Set_Match_Interrupt_Callback(TIMER_CALLBACK Callback) +{ + Timer12_Match_Callback = Callback; +} + + + + + + + +/*----------------- INTERRUPT SERVICE ROUTINES --------------------------*/ +/*********************************************************************//** + * @brief Timer12 interrupt handler sub-routine + * @param[in] None + * @return None + **********************************************************************/ +void TIMER12_Handler(void) +{ + // TODO : Type your code here + uint32_t status; + status = T1nMaInt_GetFg(TIMER1n_PERIPHERAL); + if (status == 1) + { + T1nMaInt_ClrFg(TIMER1n_PERIPHERAL); + if(Timer12_Match_Callback != NULL) + Timer12_Match_Callback(); + } +} + diff --git a/Project/Application/timer12.h b/Project/Application/timer12.h new file mode 100644 index 0000000..38f00df --- /dev/null +++ b/Project/Application/timer12.h @@ -0,0 +1,13 @@ +/** \file timer12.h */ +#if !defined(TIMER12_H__2923006F_3CBC_45ED_8A7D_C04AC01E9281__INCLUDED_) +#define TIMER12_H__2923006F_3CBC_45ED_8A7D_C04AC01E9281__INCLUDED_ + +#include "define.h" +#include "board_config.h" + +typedef void (*TIMER_CALLBACK)(void); + +void Timer12_Initialization(void); +void Timer12_Set_Match_Interrupt_Callback(TIMER_CALLBACK Callback); + +#endif diff --git a/Project/Application/uart.h b/Project/Application/uart.h new file mode 100644 index 0000000..e792ce6 --- /dev/null +++ b/Project/Application/uart.h @@ -0,0 +1,36 @@ +/** \file uart.h */ +#if !defined(UART_H__06447B60_D695_412E_90C8_93147FA649B9__INCLUDED_) +#define UART_H__06447B60_D695_412E_90C8_93147FA649B9__INCLUDED_ + +#include "define.h" +#include "struct.h" +#include "ringbuffer.h" + +void Uart1_Initialization(uint32_t Baudrate); +void Uart1_Receive_Process(void); +void Uart1_Transmit(uint8_t TxData); + + +void Usart10_Initialization(uint32_t Baudrate); +void Usart10_Receive_Process(void); +void Usart10_Transmit(uint8_t TxData); + +void Usart10_Transmit_Process(void); +void Usart10_Transmit_DataPush(uint8_t TxData); +void Usart10_Recv_Complete_TimeCheck_Process(void); +void Usart10_Transmit_Check_Process(void); + +void Usart11_Initialization(uint32_t Baudrate); +void Usart11_Receive_Process(void); +void Usart11_Transmit(uint8_t TxData); + + +void Usart13_Initialization(uint32_t Baudrate); +void Usart13_Receive_Process(void); +void Usart13_Transmit(uint8_t TxData); + + + + + +#endif diff --git a/Project/Application/uart1.c b/Project/Application/uart1.c new file mode 100644 index 0000000..d157d9b --- /dev/null +++ b/Project/Application/uart1.c @@ -0,0 +1,261 @@ +#include "uart1.h" +#include "sw_timer.h" +#include "ring_buffer.h" + + +#define UARTn_TX_INTERRUTP_ENABLE FALSE + + +#define UARTn_PERIPHERAL UART1 +#define UARTn_INTERRUPT_HANDLER UART1_IRQn +#define UARTn_INTERRUPT_MASK MSK_UART1 +#define UARTn_INTERRUPT_PRIORITY 3 +#define UARTn_TX_PORT PB +#define UARTn_TX_PIN_NUM 6 +#define UARTn_RX_PORT PB +#define UARTn_RX_PIN_NUM 7 + +#define UARTn_TX_BUFFER_SIZE 200 +#define UARTn_RX_BUFFER_SIZE 100 + + + +static uint8_t Tx_Buffer[UARTn_TX_BUFFER_SIZE]; +static uint8_t Rx_Buffer[UARTn_RX_BUFFER_SIZE]; +static RING_BUFFER RingBuffer_Tx; +static RING_BUFFER RingBuffer_Rx; + + +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) + static volatile uint8_t Uartn_TxIntEnable = FALSE; + static void Uart1_Init_TransmitSet(void); +#else + static void Uart1_Transmit_Process(void); +#endif + + + +static void Uart1_Receive_Handler(void); + + +void Uart1_Initialization(uint32_t Baudrate, UARTn_DATA_BIT_Type Databit, UARTn_PARITY_BIT_Type Paritybit, UARTn_STOP_BIT_Type Stopbit) +{ + UARTn_CFG_Type UARTn_Config; + + /* + * Initialize UART0 pin connect + */ + HAL_GPIO_ConfigOutput((Pn_Type*)UARTn_RX_PORT, UARTn_RX_PIN_NUM, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction((Pn_Type*)UARTn_RX_PORT, UARTn_RX_PIN_NUM, AFSRx_AF1 ); + HAL_GPIO_ConfigPullup((Pn_Type*)UARTn_RX_PORT, UARTn_RX_PIN_NUM, PUPDx_EnablePU ); + + HAL_GPIO_ConfigOutput((Pn_Type*)UARTn_TX_PORT, UARTn_TX_PIN_NUM, ALTERN_FUNC ); + HAL_GPIO_ConfigFunction((Pn_Type*)UARTn_TX_PORT, UARTn_TX_PIN_NUM, AFSRx_AF1 ); + + // default: 38400-8-N-1 + HAL_UART_ConfigStructInit(&UARTn_Config); + UARTn_Config.Baudrate = Baudrate; + UARTn_Config.Databits = Databit; + UARTn_Config.Parity = Paritybit; + UARTn_Config.Stopbits = Stopbit; + + HAL_UART_Init((UARTn_Type*)UARTn_PERIPHERAL, &UARTn_Config); + + /* Enable UART Rx interrupt */ + HAL_UART_ConfigInterrupt((UARTn_Type*)UARTn_PERIPHERAL, UARTn_INTCFG_RBR, ENABLE ); + +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) + // Reset Tx Interrupt state + Uartn_TxIntEnable = RESET; +#else + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 0, Uart1_Transmit_Process); +#endif + + RingBuffer_Initialization(&RingBuffer_Rx, false, UARTn_RX_BUFFER_SIZE, &Rx_Buffer[0]); + RingBuffer_Initialization(&RingBuffer_Tx, false, UARTn_TX_BUFFER_SIZE, &Tx_Buffer[0]); + + NVIC_SetPriority(UARTn_INTERRUPT_HANDLER, UARTn_INTERRUPT_PRIORITY); + NVIC_EnableIRQ(UARTn_INTERRUPT_HANDLER ); + HAL_INT_EInt_MaskDisable(UARTn_INTERRUPT_MASK); +} + + + +void Uart1_Transmit(uint8_t TxData) +{ +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) + /* Temporarily lock out UART transmit interrupts during this read so the UART transmit interrupt won't cause problems with the index values */ + HAL_UART_ConfigInterrupt((UARTn_Type*)UARTn_PERIPHERAL, UARTn_INTCFG_THRE, DISABLE ); + + RingBuffer_Enqueue(&RingBuffer_Tx, TxData); + + /* + * Check if current Tx interrupt enable is reset, + * that means the Tx interrupt must be re-enabled + * due to call IntTransmit() function to trigger + * this interrupt type + */ + if(Uartn_TxIntEnable == RESET) + { + Uart1_Init_TransmitSet(); + } + /* + * Otherwise, re-enables Tx Interrupt + */ + else + { + HAL_UART_ConfigInterrupt((UARTn_Type*)UARTn_PERIPHERAL, UARTn_INTCFG_THRE, ENABLE ); + } +#else + RingBuffer_Enqueue(&RingBuffer_Tx, TxData); +#endif +} + + + +void Uart1_TransmitData(uint8_t* pTxData, uint32_t TxLen) +{ + uint32_t i; +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) + HAL_UART_ConfigInterrupt( ( UARTn_Type* )UARTn_PERIPHERAL, UARTn_INTCFG_THRE, DISABLE ); + + for(i = 0 ; i < TxLen ; i++) + RingBuffer_Enqueue(&RingBuffer_Tx, pTxData[i]); + + if(Uartn_TxIntEnable == RESET) + { + Uart1_Init_TransmitSet(); + } + else + { + HAL_UART_ConfigInterrupt( ( UARTn_Type* )UARTn_PERIPHERAL, UARTn_INTCFG_THRE, ENABLE ); + } +#else + for(i = 0 ; i < TxLen ; i++) + RingBuffer_Enqueue(&RingBuffer_Tx, pTxData[i]); +#endif +} + + +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) +static void Uart1_Init_TransmitSet(void) +{ + // Disable THRE interrupt + HAL_UART_ConfigInterrupt( ( UARTn_Type* )UARTn_PERIPHERAL, UARTn_INTCFG_THRE, DISABLE ); + + /* Wait until THR empty */ + while( HAL_UART_CheckBusy( ( UARTn_Type* )UARTn_PERIPHERAL ) == SET ); + + while(RingBuffer_Get_DataSize(&RingBuffer_Tx) != 0) + { + uint8_t TxData; + RingBuffer_GetData(&RingBuffer_Tx, &TxData); + + if(HAL_UART_Transmit( ( UARTn_Type* )UARTn_PERIPHERAL, &TxData, 1, NONE_BLOCKING ) ) + { + /* Update transmit ring FIFO tail pointer */ + RingBuffer_PopData(&RingBuffer_Tx); + break; + } + else + { + break; + } + } + + /* If there is no more data to send, disable the transmit interrupt - else enable it or keep it enabled */ + if(RingBuffer_Get_DataSize(&RingBuffer_Tx) == 0) + { + HAL_UART_ConfigInterrupt((UARTn_Type*)UARTn_PERIPHERAL, UARTn_INTCFG_THRE, DISABLE ); + // Reset Tx Interrupt state + Uartn_TxIntEnable = RESET; + } + else + { + // Set Tx Interrupt state + Uartn_TxIntEnable = SET; + HAL_UART_ConfigInterrupt((UARTn_Type*)UARTn_PERIPHERAL, UARTn_INTCFG_THRE, ENABLE ); + } +} +#else +static void Uart1_Transmit_Process(void) +{ + if(RingBuffer_Get_DataSize(&RingBuffer_Tx) != 0) + { + uint8_t TxData; + RingBuffer_GetData(&RingBuffer_Tx, &TxData); + + if(HAL_UART_Transmit((UARTn_Type*)UARTn_PERIPHERAL, &TxData, 1, NONE_BLOCKING)) + { + /* Update transmit ring FIFO tail pointer */ + RingBuffer_PopData(&RingBuffer_Tx); + } + } +} +#endif + + +static void Uart1_Receive_Handler(void) +{ + uint8_t tmpc; + uint32_t rLen; + + while(1) + { + rLen = HAL_UART_Receive((UARTn_Type*)UARTn_PERIPHERAL, &tmpc, 1, NONE_BLOCKING ); + if (rLen) + { + RingBuffer_Enqueue(&RingBuffer_Rx, tmpc); + } + else + { + break; + } + } +} + +/*********************************************************************//** + * @brief UART1 interrupt handler sub-routine + * @param[in] None + * @return None + **********************************************************************/ +void UART1_Handler(void) +{ + uint32_t intsrc, tmp; + + /* Determine the interrupt source */ + intsrc = UARTn_PERIPHERAL->IIR; + tmp = intsrc & UARTn_IIR_INTID_MASK; + + + // Receiver Line Status + if(tmp == UARTn_IIR_INTID_RLS) // error(Overrun, Parity, Framing or Break Error) + { + } + else if(tmp == UARTn_IIR_INTID_RDA) // Receiver Data Available + { + Uart1_Receive_Handler(); + } + else if(tmp == UARTn_IIR_INTID_THRE) // Transmitter Holding Register Empty + { +#if (UARTn_TX_INTERRUTP_ENABLE == TRUE) + Uart1_Init_TransmitSet(); +#endif + } + else if(tmp == UARTn_IIR_INTID_TXE) // Transmitter Register Empty + { + } +} + + +uint32_t Uart1_Get_RecvDataCount(void) +{ + return RingBuffer_Get_DataSize(&RingBuffer_Rx); +} + +uint8_t Uart1_Get_RecvData(void) +{ + uint8_t retData; + RingBuffer_Dequeue(&RingBuffer_Rx, &retData); + return retData; +} diff --git a/Project/Application/uart1.h b/Project/Application/uart1.h new file mode 100644 index 0000000..0ad4440 --- /dev/null +++ b/Project/Application/uart1.h @@ -0,0 +1,15 @@ +/** \file uart1.h */ +#if !defined(UART1_H__A3672F4B_1033_433E_A296_1444C586906C__INCLUDED_) +#define UART1_H__A3672F4B_1033_433E_A296_1444C586906C__INCLUDED_ + +#include "board_config.h" +#include "define.h" + + +void Uart1_Initialization(uint32_t Baudrate, UARTn_DATA_BIT_Type Databit, UARTn_PARITY_BIT_Type Paritybit, UARTn_STOP_BIT_Type Stopbit); +void Uart1_Transmit(uint8_t TxData); +void Uart1_TransmitData(uint8_t* pTxData, uint32_t TxLen); +uint32_t Uart1_Get_RecvDataCount(void); +uint8_t Uart1_Get_RecvData(void); + +#endif diff --git a/Project/Application/uart_packet.c b/Project/Application/uart_packet.c new file mode 100644 index 0000000..7f88dc0 --- /dev/null +++ b/Project/Application/uart_packet.c @@ -0,0 +1,145 @@ +#include "uart_packet.h" +#include "sw_timer.h" +#include "uart1.h" +#include "driver_ds3231_basic.h" +#include "buzzer.h" + + +#define PACKET_BUFF_SIZE 100 + + +static void Uart_Packet_Make_Process(void); +static void Uart_Packet_Process(uint8_t* pRxBuff); + +static uint8_t Pack_Buff[PACKET_BUFF_SIZE]; +static uint8_t Pack_Index; +static uint8_t Pack_CheckSum; +static uint8_t Pack_DataLen; + +void Uart_Packet_Initialization(void) +{ + Pack_Index = 0; + SW_Timer_Callback_Register(SW_TIMER_RUN_CONTINUE, 0, Uart_Packet_Make_Process); +} + + + + + +static void Uart_Packet_Make_Process(void) +{ + if(Uart1_Get_RecvDataCount() != 0) + { + uint8_t RxData = Uart1_Get_RecvData(); + + if(Pack_Index == PACK_INDEX_STX && RxData == STX) + { + Pack_Buff[Pack_Index++] = RxData; + Pack_CheckSum = RxData; + Pack_DataLen = 0; + } + else if(Pack_Index == PACK_INDEX_CMD && (RxData >= UART_CMD_SET_TIME && RxData < UART_CMD_MAX)) + { + Pack_Buff[Pack_Index++] = RxData; + Pack_CheckSum += RxData; + } + else if(Pack_Index == PACK_INDEX_LEN && RxData < (PACKET_BUFF_SIZE - PACK_INDEX_ETX)) + { + Pack_Buff[Pack_Index++] = RxData; + Pack_CheckSum += RxData; + Pack_DataLen = RxData; + } + else if(Pack_Index == (PACK_INDEX_CHECKSUM + Pack_DataLen)) + { + if(RxData != Pack_CheckSum) + { + Pack_Index = 0; + } + else + { + Pack_Buff[Pack_Index++] = RxData; + } + } + else if(Pack_Index == (PACK_INDEX_ETX + Pack_DataLen)) + { + if(RxData != ETX) + { + Pack_Index = 0; + } + else + { + Pack_Buff[Pack_Index++] = RxData; + Uart_Packet_Process(Pack_Buff); + Pack_Index = 0; + } + + } + else if(Pack_Index >= PACK_INDEX_DATA && Pack_Index < (PACK_INDEX_DATA + Pack_DataLen)) + { + Pack_Buff[Pack_Index++] = RxData; + Pack_CheckSum += RxData; + } + else + { + Pack_Index = 0; + } + } +} + + +static void Uart_Packet_Process(uint8_t* pRxBuff) +{ + UART_CMD cmd = pRxBuff[PACK_INDEX_CMD]; + uint8_t DataLen = pRxBuff[PACK_INDEX_LEN]; + + if(cmd == UART_CMD_SET_TIME && DataLen == 7) + { + uint16_t Year; + uint8_t Month; + uint8_t Date; + uint8_t Hour; + uint8_t Min; + uint8_t Sec; + uint8_t index = 0; + ds3231_time_t set_time; + ds3231_basic_get_time(&set_time); + + Year = pRxBuff[PACK_INDEX_DATA + index++] << 8; + Year += pRxBuff[PACK_INDEX_DATA + index++]; + Month = pRxBuff[PACK_INDEX_DATA + index++]; + Date = pRxBuff[PACK_INDEX_DATA + index++]; + Hour = pRxBuff[PACK_INDEX_DATA + index++]; + Min = pRxBuff[PACK_INDEX_DATA + index++]; + Sec = pRxBuff[PACK_INDEX_DATA + index++]; + + set_time.format = DS3231_FORMAT_24H; + set_time.year = Year; + set_time.month = Month; + set_time.date = Date; + set_time.hour = Hour; + set_time.minute = Min; + set_time.second = Sec; + + // year 2, mon 1, da 1 , h 1, m1, s 1 + ds3231_basic_set_time(&set_time); + + Buzzer_On(100); + } + + + + + + +} + + + + + + + + + + + diff --git a/Project/Application/uart_packet.h b/Project/Application/uart_packet.h new file mode 100644 index 0000000..153b205 --- /dev/null +++ b/Project/Application/uart_packet.h @@ -0,0 +1,32 @@ +/** \file uart_packet.h */ +#if !defined(UART_PACKET_H__4C114ACB_4130_48D0_A3D5_EF34FC3189D2__INCLUDED_) +#define UART_PACKET_H__4C114ACB_4130_48D0_A3D5_EF34FC3189D2__INCLUDED_ + +#include "define.h" +#include "board_config.h" + + +#define STX 0x02 +#define ETX 0x03 + +typedef enum +{ + PACK_INDEX_STX = 0x00, + PACK_INDEX_CMD = 0x01, + PACK_INDEX_LEN = 0x02, + PACK_INDEX_DATA = 0x03, + PACK_INDEX_CHECKSUM = 0x03, + PACK_INDEX_ETX = 0x04, +}PACKET_INDEX; + +typedef enum +{ + UART_CMD_SET_TIME = 0x00, + UART_CMD_MAX, +}UART_CMD; + + +void Uart_Packet_Initialization(void); + + +#endif diff --git a/Project/EventRecorderStub.scvd b/Project/EventRecorderStub.scvd new file mode 100644 index 0000000..2956b29 --- /dev/null +++ b/Project/EventRecorderStub.scvd @@ -0,0 +1,9 @@ + + + + + + + + + diff --git a/Project/Listings/S_DustSensorView.map b/Project/Listings/S_DustSensorView.map new file mode 100644 index 0000000..c000a61 --- /dev/null +++ b/Project/Listings/S_DustSensorView.map @@ -0,0 +1,2476 @@ +Component: ARM Compiler 5.06 update 7 (build 960) Tool: armlink [4d3601] + +============================================================================== + +Section Cross References + + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_ClockSource_Enable) for HAL_SCU_ClockSource_Enable + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_SystemClockChange) for HAL_SCU_SystemClockChange + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_SystemClockDivider) for HAL_SCU_SystemClockDivider + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_ClockMonitoring) for HAL_SCU_ClockMonitoring + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_ClockConfig) for HAL_SCU_Peripheral_ClockConfig + a31g12x_systemclock.o(i.System_Clock_Initialization) refers to system_a31g12x.o(.data) for SystemCoreClock + a31g12x_systemclock.o(i.Systick_Initialization) refers to uidiv.o(.text) for __aeabi_uidivmod + a31g12x_systemclock.o(i.Systick_Initialization) refers to a31g12x_systemclock.o(i.NVIC_SetPriority) for NVIC_SetPriority + a31g12x_systemclock.o(i.Systick_Initialization) refers to system_a31g12x.o(.data) for SystemCoreClock + main.o(i.main) refers to a31g12x_systemclock.o(i.System_Clock_Initialization) for System_Clock_Initialization + main.o(i.main) refers to a31g12x_systemclock.o(i.Systick_Initialization) for Systick_Initialization + main.o(i.main) refers to eeprom.o(i.FlashMEM_Clock_Initialization) for FlashMEM_Clock_Initialization + main.o(i.main) refers to timer12.o(i.Timer12_Initialization) for Timer12_Initialization + main.o(i.main) refers to uart1.o(i.Uart1_Initialization) for Uart1_Initialization + main.o(i.main) refers to spi10.o(i.SPI10_Initialization) for SPI10_Initialization + main.o(i.main) refers to gpio_state_led.o(i.Gpio_StateLed_Initialization) for Gpio_StateLed_Initialization + main.o(i.main) refers to gpio_switch.o(i.Gpio_Switch_Port_Initialization) for Gpio_Switch_Port_Initialization + main.o(i.main) refers to gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) for Gpio_Sensor_PWR_Initialization + main.o(i.main) refers to gpio_i2c.o(i.GPIO_I2C0_Initialization) for GPIO_I2C0_Initialization + main.o(i.main) refers to gpio_i2c.o(i.GPIO_I2C1_Initialization) for GPIO_I2C1_Initialization + main.o(i.main) refers to gpio_i2c.o(i.GPIO_I2C2_Initialization) for GPIO_I2C2_Initialization + main.o(i.main) refers to segment_74hc595d.o(i.Segment_Initialization) for Segment_Initialization + main.o(i.main) refers to rtc_process.o(i.RTC_Process_Initialization) for RTC_Process_Initialization + main.o(i.main) refers to buzzer.o(i.Buzzer_Initialization) for Buzzer_Initialization + main.o(i.main) refers to action_process.o(i.Action_Initialization) for Action_Initialization + main.o(i.main) refers to uart_packet.o(i.Uart_Packet_Initialization) for Uart_Packet_Initialization + main.o(i.main) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + main.o(i.main) refers to sw_timer.o(i.SW_Timer_Callback_Process) for SW_Timer_Callback_Process + main.o(i.main) refers to main.o(i.timer_test) for timer_test + dbg_printf.o(i.fputc) refers to uart1.o(i.Uart1_Transmit) for Uart1_Transmit + sw_timer.o(i.SW_Timer_Callback_Process) refers to systick_timer.o(i.millis) for millis + sw_timer.o(i.SW_Timer_Callback_Process) refers to sw_timer.o(.bss) for SW_Timer_Info + sw_timer.o(i.SW_Timer_Callback_Register) refers to systick_timer.o(i.millis) for millis + sw_timer.o(i.SW_Timer_Callback_Register) refers to sw_timer.o(.bss) for SW_Timer_Info + sw_timer.o(i.SW_Timer_Callback_UnRegister) refers to sw_timer.o(.bss) for SW_Timer_Info + systick_timer.o(i.Delay_ms) refers to systick_timer.o(.data) for nDelayCount + systick_timer.o(i.SysTick_Handler) refers to systick_timer.o(.data) for tick_count + systick_timer.o(i.millis) refers to systick_timer.o(.data) for tick_count + ring_buffer.o(i.RingBuffer_Dequeue) refers to ring_buffer.o(i.RingBuffer_isEmpty) for RingBuffer_isEmpty + ring_buffer.o(i.RingBuffer_Dequeue) refers to uidiv.o(.text) for __aeabi_uidivmod + ring_buffer.o(i.RingBuffer_Enqueue) refers to ring_buffer.o(i.RingBuffer_isFull) for RingBuffer_isFull + ring_buffer.o(i.RingBuffer_Enqueue) refers to ring_buffer.o(i.RingBuffer_Dequeue) for RingBuffer_Dequeue + ring_buffer.o(i.RingBuffer_Enqueue) refers to uidiv.o(.text) for __aeabi_uidivmod + ring_buffer.o(i.RingBuffer_Get_DataSize) refers to ring_buffer.o(i.RingBuffer_isEmpty) for RingBuffer_isEmpty + ring_buffer.o(i.RingBuffer_PopData) refers to uidiv.o(.text) for __aeabi_uidivmod + gpio_state_led.o(i.Gpio_StateLed_Get_Mode) refers to gpio_state_led.o(.data) for StateLedMode + gpio_state_led.o(i.Gpio_StateLed_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_state_led.o(i.Gpio_StateLed_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_state_led.o(i.Gpio_StateLed_Initialization) refers to gpio_state_led.o(i.Gpio_StateLed_Set_Mode) for Gpio_StateLed_Set_Mode + gpio_state_led.o(i.Gpio_StateLed_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + gpio_state_led.o(i.Gpio_StateLed_Initialization) refers to gpio_state_led.o(i.State_Led_Output_Process) for State_Led_Output_Process + gpio_state_led.o(i.Gpio_StateLed_Set_Mode) refers to gpio_state_led.o(.data) for StateLedMode + gpio_state_led.o(i.State_Led_Output_Process) refers to systick_timer.o(i.millis) for millis + gpio_state_led.o(i.State_Led_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_state_led.o(i.State_Led_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_state_led.o(i.State_Led_Output_Process) refers to gpio_state_led.o(.data) for StateLedStep + gpio_switch.o(i.Gpio_Switch_Check_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ReadPin) for HAL_GPIO_ReadPin + gpio_switch.o(i.Gpio_Switch_Check_Process) refers to gpio_switch.o(.data) for KeyCheckInfo + gpio_switch.o(i.Gpio_Switch_Port_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_switch.o(i.Gpio_Switch_Port_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_switch.o(i.Gpio_Switch_Port_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetDebouncePin) for HAL_GPIO_SetDebouncePin + gpio_switch.o(i.Gpio_Switch_Port_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + gpio_switch.o(i.Gpio_Switch_Port_Initialization) refers to gpio_switch.o(i.Gpio_Switch_Check_Process) for Gpio_Switch_Check_Process + gpio_switch.o(i.Gpio_Swtich_Set_Callback) refers to gpio_switch.o(.data) for KeyCheckInfo + gpio_switch.o(i.Gpio_Swtich_Set_PushCount) refers to gpio_switch.o(.data) for KeyCheckInfo + uart1.o(i.UART1_Handler) refers to uart1.o(i.Uart1_Receive_Handler) for Uart1_Receive_Handler + uart1.o(i.Uart1_Get_RecvData) refers to ring_buffer.o(i.RingBuffer_Dequeue) for RingBuffer_Dequeue + uart1.o(i.Uart1_Get_RecvData) refers to uart1.o(.bss) for RingBuffer_Rx + uart1.o(i.Uart1_Get_RecvDataCount) refers to ring_buffer.o(i.RingBuffer_Get_DataSize) for RingBuffer_Get_DataSize + uart1.o(i.Uart1_Get_RecvDataCount) refers to uart1.o(.bss) for RingBuffer_Rx + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigFunction) for HAL_GPIO_ConfigFunction + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_uartn.o(i.HAL_UART_ConfigStructInit) for HAL_UART_ConfigStructInit + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_uartn.o(i.HAL_UART_Init) for HAL_UART_Init + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_uartn.o(i.HAL_UART_ConfigInterrupt) for HAL_UART_ConfigInterrupt + uart1.o(i.Uart1_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + uart1.o(i.Uart1_Initialization) refers to ring_buffer.o(i.RingBuffer_Initialization) for RingBuffer_Initialization + uart1.o(i.Uart1_Initialization) refers to uart1.o(i.NVIC_SetPriority) for NVIC_SetPriority + uart1.o(i.Uart1_Initialization) refers to a31g12x_hal_intc.o(i.HAL_INT_EInt_MaskDisable) for HAL_INT_EInt_MaskDisable + uart1.o(i.Uart1_Initialization) refers to uart1.o(i.Uart1_Transmit_Process) for Uart1_Transmit_Process + uart1.o(i.Uart1_Initialization) refers to uart1.o(.bss) for Rx_Buffer + uart1.o(i.Uart1_Receive_Handler) refers to a31g12x_hal_uartn.o(i.HAL_UART_Receive) for HAL_UART_Receive + uart1.o(i.Uart1_Receive_Handler) refers to ring_buffer.o(i.RingBuffer_Enqueue) for RingBuffer_Enqueue + uart1.o(i.Uart1_Receive_Handler) refers to uart1.o(.bss) for RingBuffer_Rx + uart1.o(i.Uart1_Transmit) refers to ring_buffer.o(i.RingBuffer_Enqueue) for RingBuffer_Enqueue + uart1.o(i.Uart1_Transmit) refers to uart1.o(.bss) for RingBuffer_Tx + uart1.o(i.Uart1_TransmitData) refers to ring_buffer.o(i.RingBuffer_Enqueue) for RingBuffer_Enqueue + uart1.o(i.Uart1_TransmitData) refers to uart1.o(.bss) for RingBuffer_Tx + uart1.o(i.Uart1_Transmit_Process) refers to ring_buffer.o(i.RingBuffer_Get_DataSize) for RingBuffer_Get_DataSize + uart1.o(i.Uart1_Transmit_Process) refers to ring_buffer.o(i.RingBuffer_GetData) for RingBuffer_GetData + uart1.o(i.Uart1_Transmit_Process) refers to a31g12x_hal_uartn.o(i.HAL_UART_Transmit) for HAL_UART_Transmit + uart1.o(i.Uart1_Transmit_Process) refers to ring_buffer.o(i.RingBuffer_PopData) for RingBuffer_PopData + uart1.o(i.Uart1_Transmit_Process) refers to uart1.o(.bss) for RingBuffer_Tx + segment_74hc595d.o(i.Segemet_Output_Process) refers to memseta.o(.text) for __aeabi_memclr + segment_74hc595d.o(i.Segemet_Output_Process) refers to systick_timer.o(i.millis) for millis + segment_74hc595d.o(i.Segemet_Output_Process) refers to segment_74hc595d.o(i.__ARM_common_switch8) for __ARM_common_switch8 + segment_74hc595d.o(i.Segemet_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + segment_74hc595d.o(i.Segemet_Output_Process) refers to segment_74hc595d.o(i.Segment_Output_Data) for Segment_Output_Data + segment_74hc595d.o(i.Segemet_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + segment_74hc595d.o(i.Segemet_Output_Process) refers to segment_74hc595d.o(.data) for HC595_OutputData + segment_74hc595d.o(i.Segemet_Output_Process) refers to segment.o(.bss) for Segment_OutputBuff + segment_74hc595d.o(i.Segment_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + segment_74hc595d.o(i.Segment_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + segment_74hc595d.o(i.Segment_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + segment_74hc595d.o(i.Segment_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + segment_74hc595d.o(i.Segment_Initialization) refers to systick_timer.o(i.millis) for millis + segment_74hc595d.o(i.Segment_Initialization) refers to timer12.o(i.Timer12_Set_Match_Interrupt_Callback) for Timer12_Set_Match_Interrupt_Callback + segment_74hc595d.o(i.Segment_Initialization) refers to segment_74hc595d.o(.data) for ToggleTickCount + segment_74hc595d.o(i.Segment_Initialization) refers to segment_74hc595d.o(i.Segemet_Output_Process) for Segemet_Output_Process + segment_74hc595d.o(i.Segment_Output_Data) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + segment_74hc595d.o(i.Segment_Output_Data) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + segment_74hc595d.o(i.Segment_Output_Data) refers to segment_74hc595d.o(.data) for HC595_OutputMode + timer12.o(i.TIMER12_Handler) refers to timer12.o(.data) for Timer12_Match_Callback + timer12.o(i.Timer12_Initialization) refers to a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Init) for HAL_TIMER1n_Init + timer12.o(i.Timer12_Initialization) refers to a31g12x_hal_timer1n.o(i.HAL_TIMER1n_ConfigInterrupt) for HAL_TIMER1n_ConfigInterrupt + timer12.o(i.Timer12_Initialization) refers to timer12.o(i.NVIC_SetPriority) for NVIC_SetPriority + timer12.o(i.Timer12_Initialization) refers to a31g12x_hal_intc.o(i.HAL_INT_EInt_MaskDisable) for HAL_INT_EInt_MaskDisable + timer12.o(i.Timer12_Initialization) refers to a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Cmd) for HAL_TIMER1n_Cmd + timer12.o(i.Timer12_Set_Match_Interrupt_Callback) refers to timer12.o(.data) for Timer12_Match_Callback + gpio_i2c.o(i.GPIO_I2C0_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C0_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_i2c.o(i.GPIO_I2C0_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C0_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C0_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C0_Read) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C0_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C0_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C0_Start) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C0_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C0_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C0_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C0_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C0_Stop) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C0_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C0_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C0_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C0_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C0_Write) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_i2c.o(i.GPIO_I2C1_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C1_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C1_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C1_Read) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C1_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C1_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C1_Start) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C1_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C1_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C1_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C1_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C1_Stop) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C1_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C1_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C1_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C1_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C1_Write) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C2_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C2_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_i2c.o(i.GPIO_I2C2_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C2_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C2_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C2_Read) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C2_Read) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C2_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C2_Start) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C2_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C2_Start) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C2_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C2_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C2_Stop) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.GPIO_I2C2_Stop) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C2_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_i2c.o(i.GPIO_I2C2_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + gpio_i2c.o(i.GPIO_I2C2_Write) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + gpio_i2c.o(i.GPIO_I2C2_Write) refers to gpio_i2c.o(i.Delay_I2C_Delay) for Delay_I2C_Delay + gpio_i2c.o(i.I2C0_Read) refers to gpio_i2c.o(i.GPIO_I2C0_Start) for GPIO_I2C0_Start + gpio_i2c.o(i.I2C0_Read) refers to gpio_i2c.o(i.GPIO_I2C0_Write) for GPIO_I2C0_Write + gpio_i2c.o(i.I2C0_Read) refers to gpio_i2c.o(i.GPIO_I2C0_Read) for GPIO_I2C0_Read + gpio_i2c.o(i.I2C0_Read) refers to gpio_i2c.o(i.GPIO_I2C0_Stop) for GPIO_I2C0_Stop + gpio_i2c.o(i.I2C0_Write) refers to gpio_i2c.o(i.GPIO_I2C0_Start) for GPIO_I2C0_Start + gpio_i2c.o(i.I2C0_Write) refers to gpio_i2c.o(i.GPIO_I2C0_Write) for GPIO_I2C0_Write + gpio_i2c.o(i.I2C0_Write) refers to gpio_i2c.o(i.GPIO_I2C0_Stop) for GPIO_I2C0_Stop + gpio_i2c.o(i.I2C1_Read) refers to gpio_i2c.o(i.GPIO_I2C1_Start) for GPIO_I2C1_Start + gpio_i2c.o(i.I2C1_Read) refers to gpio_i2c.o(i.GPIO_I2C1_Write) for GPIO_I2C1_Write + gpio_i2c.o(i.I2C1_Read) refers to gpio_i2c.o(i.GPIO_I2C1_Read) for GPIO_I2C1_Read + gpio_i2c.o(i.I2C1_Read) refers to gpio_i2c.o(i.GPIO_I2C1_Stop) for GPIO_I2C1_Stop + gpio_i2c.o(i.I2C1_Write) refers to gpio_i2c.o(i.GPIO_I2C1_Start) for GPIO_I2C1_Start + gpio_i2c.o(i.I2C1_Write) refers to gpio_i2c.o(i.GPIO_I2C1_Write) for GPIO_I2C1_Write + gpio_i2c.o(i.I2C1_Write) refers to gpio_i2c.o(i.GPIO_I2C1_Stop) for GPIO_I2C1_Stop + gpio_i2c.o(i.I2C2_Read) refers to gpio_i2c.o(i.GPIO_I2C2_Start) for GPIO_I2C2_Start + gpio_i2c.o(i.I2C2_Read) refers to gpio_i2c.o(i.GPIO_I2C2_Write) for GPIO_I2C2_Write + gpio_i2c.o(i.I2C2_Read) refers to gpio_i2c.o(i.GPIO_I2C2_Read) for GPIO_I2C2_Read + gpio_i2c.o(i.I2C2_Read) refers to gpio_i2c.o(i.GPIO_I2C2_Stop) for GPIO_I2C2_Stop + gpio_i2c.o(i.I2C2_Write) refers to gpio_i2c.o(i.GPIO_I2C2_Start) for GPIO_I2C2_Start + gpio_i2c.o(i.I2C2_Write) refers to gpio_i2c.o(i.GPIO_I2C2_Write) for GPIO_I2C2_Write + gpio_i2c.o(i.I2C2_Write) refers to gpio_i2c.o(i.GPIO_I2C2_Stop) for GPIO_I2C2_Stop + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigFunction) for HAL_GPIO_ConfigFunction + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_usart1n.o(i.HAL_USART_SPI_Mode_Config) for HAL_USART_SPI_Mode_Config + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_usart1n.o(i.HAL_USART_Init) for HAL_USART_Init + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_usart1n.o(i.HAL_USART_DataControlConfig) for HAL_USART_DataControlConfig + spi10.o(i.SPI10_Initialization) refers to a31g12x_hal_usart1n.o(i.HAL_USART_Enable) for HAL_USART_Enable + driver_ds3231.o(i.a_ds3231_hex2bcd) refers to idiv.o(.text) for __aeabi_idivmod + driver_ds3231.o(i.ds3231_aging_offset_convert_to_data) refers to fflti.o(.text) for __aeabi_i2f + driver_ds3231.o(i.ds3231_aging_offset_convert_to_data) refers to fmul.o(.text) for __aeabi_fmul + driver_ds3231.o(i.ds3231_aging_offset_convert_to_register) refers to fdiv.o(.text) for __aeabi_fdiv + driver_ds3231.o(i.ds3231_aging_offset_convert_to_register) refers to ffixi.o(.text) for __aeabi_f2iz + driver_ds3231.o(i.ds3231_alarm_clear) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_alarm_clear) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_get_32khz_output) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_aging_offset) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_alarm1) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_alarm1) refers to driver_ds3231.o(i.a_ds3231_bcd2hex) for a_ds3231_bcd2hex + driver_ds3231.o(i.ds3231_get_alarm2) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_alarm2) refers to driver_ds3231.o(i.a_ds3231_bcd2hex) for a_ds3231_bcd2hex + driver_ds3231.o(i.ds3231_get_alarm_interrupt) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_oscillator) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_pin) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_square_wave) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_status) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_temperature) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_temperature) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_get_temperature) refers to fflti.o(.text) for __aeabi_i2f + driver_ds3231.o(i.ds3231_get_temperature) refers to fmul.o(.text) for __aeabi_fmul + driver_ds3231.o(i.ds3231_get_time) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_get_time) refers to driver_ds3231.o(i.a_ds3231_bcd2hex) for a_ds3231_bcd2hex + driver_ds3231.o(i.ds3231_info) refers to memseta.o(.text) for __aeabi_memclr4 + driver_ds3231.o(i.ds3231_info) refers to strncpy.o(.text) for strncpy + driver_ds3231.o(i.ds3231_init) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_init) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_irq_handler) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_32khz_output) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_32khz_output) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_aging_offset) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_alarm1) refers to driver_ds3231.o(i.a_ds3231_hex2bcd) for a_ds3231_hex2bcd + driver_ds3231.o(i.ds3231_set_alarm1) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_alarm2) refers to driver_ds3231.o(i.a_ds3231_hex2bcd) for a_ds3231_hex2bcd + driver_ds3231.o(i.ds3231_set_alarm2) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_alarm_interrupt) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_alarm_interrupt) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_oscillator) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_oscillator) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_pin) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_pin) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_square_wave) refers to driver_ds3231.o(i.a_ds3231_iic_multiple_read) for a_ds3231_iic_multiple_read + driver_ds3231.o(i.ds3231_set_square_wave) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231.o(i.ds3231_set_time) refers to driver_ds3231.o(i.a_ds3231_hex2bcd) for a_ds3231_hex2bcd + driver_ds3231.o(i.ds3231_set_time) refers to driver_ds3231.o(i.a_ds3231_iic_write) for a_ds3231_iic_write + driver_ds3231_basic.o(i.ds3231_basic_deinit) refers to driver_ds3231.o(i.ds3231_deinit) for ds3231_deinit + driver_ds3231_basic.o(i.ds3231_basic_deinit) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_get_ascii_time) refers to driver_ds3231.o(i.ds3231_get_time) for ds3231_get_time + driver_ds3231_basic.o(i.ds3231_basic_get_ascii_time) refers to printfa.o(i.__0snprintf) for __2snprintf + driver_ds3231_basic.o(i.ds3231_basic_get_ascii_time) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_get_temperature) refers to driver_ds3231.o(i.ds3231_get_temperature) for ds3231_get_temperature + driver_ds3231_basic.o(i.ds3231_basic_get_temperature) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_get_time) refers to driver_ds3231.o(i.ds3231_get_time) for ds3231_get_time + driver_ds3231_basic.o(i.ds3231_basic_get_time) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp) refers to driver_ds3231.o(i.ds3231_get_time) for ds3231_get_time + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp) refers to idiv.o(.text) for __aeabi_idivmod + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp) refers to mktime.o(.text) for mktime + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp) refers to driver_ds3231_basic.o(.data) for gs_time_zone + driver_ds3231_basic.o(i.ds3231_basic_get_timestamp_time_zone) refers to driver_ds3231_basic.o(.data) for gs_time_zone + driver_ds3231_basic.o(i.ds3231_basic_init) refers to memseta.o(.text) for __aeabi_memclr4 + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_init) for ds3231_init + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_debug_print) for ds3231_interface_debug_print + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_oscillator) for ds3231_set_oscillator + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_deinit) for ds3231_deinit + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_alarm_interrupt) for ds3231_set_alarm_interrupt + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_pin) for ds3231_set_pin + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_square_wave) for ds3231_set_square_wave + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_32khz_output) for ds3231_set_32khz_output + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_aging_offset_convert_to_register) for ds3231_aging_offset_convert_to_register + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231.o(i.ds3231_set_aging_offset) for ds3231_set_aging_offset + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_iic_init) for ds3231_interface_iic_init + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_iic_deinit) for ds3231_interface_iic_deinit + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_iic_read) for ds3231_interface_iic_read + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_iic_write) for ds3231_interface_iic_write + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_delay_ms) for ds3231_interface_delay_ms + driver_ds3231_basic.o(i.ds3231_basic_init) refers to driver_ds3231_interface_template.o(i.ds3231_interface_receive_callback) for ds3231_interface_receive_callback + driver_ds3231_basic.o(i.ds3231_basic_set_time) refers to driver_ds3231.o(i.ds3231_set_time) for ds3231_set_time + driver_ds3231_basic.o(i.ds3231_basic_set_time) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_set_timestamp) refers to localtime_w.o(.text) for localtime + driver_ds3231_basic.o(i.ds3231_basic_set_timestamp) refers to driver_ds3231.o(i.ds3231_set_time) for ds3231_set_time + driver_ds3231_basic.o(i.ds3231_basic_set_timestamp) refers to driver_ds3231_basic.o(.data) for gs_time_zone + driver_ds3231_basic.o(i.ds3231_basic_set_timestamp) refers to driver_ds3231_basic.o(.bss) for gs_handle + driver_ds3231_basic.o(i.ds3231_basic_set_timestamp_time_zone) refers to driver_ds3231_basic.o(.data) for gs_time_zone + driver_ds3231_interface_template.o(i.ds3231_interface_delay_ms) refers to systick_timer.o(i.Delay_ms) for Delay_ms + driver_ds3231_interface_template.o(i.ds3231_interface_iic_read) refers to gpio_i2c.o(i.I2C2_Write) for I2C2_Write + driver_ds3231_interface_template.o(i.ds3231_interface_iic_read) refers to gpio_i2c.o(i.I2C2_Read) for I2C2_Read + driver_ds3231_interface_template.o(i.ds3231_interface_iic_write) refers to memcpya.o(.text) for __aeabi_memcpy + driver_ds3231_interface_template.o(i.ds3231_interface_iic_write) refers to gpio_i2c.o(i.I2C2_Write) for I2C2_Write + driver_ds3231_interface_template.o(i.ds3231_interface_receive_callback) refers to driver_ds3231_interface_template.o(i.ds3231_interface_debug_print) for ds3231_interface_debug_print + rtc_process.o(i.RTC_Get_IC_Time_Process) refers to driver_ds3231_basic.o(i.ds3231_basic_get_time) for ds3231_basic_get_time + rtc_process.o(i.RTC_Get_IC_Time_Process) refers to idiv.o(.text) for __aeabi_idivmod + rtc_process.o(i.RTC_Get_IC_Time_Process) refers to rtc_process.o(.data) for rtc_Time + rtc_process.o(i.RTC_Get_Time) refers to memcpya.o(.text) for __aeabi_memcpy + rtc_process.o(i.RTC_Get_Time) refers to rtc_process.o(.data) for rtc_Time + rtc_process.o(i.RTC_Process_Initialization) refers to driver_ds3231_basic.o(i.ds3231_basic_init) for ds3231_basic_init + rtc_process.o(i.RTC_Process_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + rtc_process.o(i.RTC_Process_Initialization) refers to rtc_process.o(i.RTC_Get_IC_Time_Process) for RTC_Get_IC_Time_Process + action_process.o(i.Action_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + action_process.o(i.Action_Initialization) refers to action_process.o(i.Action_Process) for Action_Process + eeprom.o(i.EEPROM_Read_Mode) refers to memcpya.o(.text) for __aeabi_memcpy + eeprom.o(i.EEPROM_Read_Mode) refers to eeprom.o(.bss) for eeprom_info + eeprom.o(i.EEPROM_Write_Mode) refers to memseta.o(.text) for __aeabi_memclr + eeprom.o(i.EEPROM_Write_Mode) refers to eeprom.o(i.FlashMem_Do_PageEr) for FlashMem_Do_PageEr + eeprom.o(i.EEPROM_Write_Mode) refers to eeprom.o(i.FlashMem_Do_PageWt) for FlashMem_Do_PageWt + eeprom.o(i.EEPROM_Write_Mode) refers to eeprom.o(.bss) for eeprom_info + eeprom.o(i.FlashMEM_Clock_Initialization) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + eeprom.o(i.FlashMEM_Clock_Initialization) refers to a31g12x_hal_crc.o(i.HAL_CRC_Init) for HAL_CRC_Init + eeprom.o(i.FlashMem_Do_PageEr) refers to a31g12x_hal_fmc.o(i.HAL_FMC_PageErase) for HAL_FMC_PageErase + eeprom.o(i.FlashMem_Do_PageWt) refers to a31g12x_hal_fmc.o(i.HAL_FMC_PageWrite) for HAL_FMC_PageWrite + buzzer.o(i.Buzzer_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + buzzer.o(i.Buzzer_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + buzzer.o(i.Buzzer_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + buzzer.o(i.Buzzer_Initialization) refers to buzzer.o(i.Buzzer_Output_Process) for Buzzer_Output_Process + buzzer.o(i.Buzzer_On) refers to systick_timer.o(i.millis) for millis + buzzer.o(i.Buzzer_On) refers to buzzer.o(.data) for isBuzzerOn + buzzer.o(i.Buzzer_Output_Process) refers to systick_timer.o(i.millis) for millis + buzzer.o(i.Buzzer_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + buzzer.o(i.Buzzer_Output_Process) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + buzzer.o(i.Buzzer_Output_Process) refers to buzzer.o(.data) for isBuzzerOn + gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) for HAL_GPIO_ConfigPullup + gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + segment.o(i.Segment_All_Set_Data) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Sensor_Error) refers to segment_74hc595d.o(.data) for Segment_Toggle_In + segment.o(i.Segment_In_Sensor_Error) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Sensor_Error) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_Humidity) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_In_Set_Humidity) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_Humidity) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_PM_10) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_In_Set_PM_10) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_PM_10) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_PM_1p0) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_In_Set_PM_1p0) refers to segment_74hc595d.o(.data) for Segment_Toggle_In + segment.o(i.Segment_In_Set_PM_1p0) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_PM_1p0) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_PM_2p5) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_In_Set_PM_2p5) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_PM_2p5) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_PM_4p0) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_In_Set_PM_4p0) refers to segment_74hc595d.o(.data) for Segment_Toggle_In + segment.o(i.Segment_In_Set_PM_4p0) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_PM_4p0) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_In_Set_Temperature) refers to idiv.o(.text) for __aeabi_idivmod + segment.o(i.Segment_In_Set_Temperature) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_In_Set_Temperature) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Sensor_Error) refers to segment_74hc595d.o(.data) for Segment_Toggle_Out + segment.o(i.Segment_Out_Sensor_Error) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Sensor_Error) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_Humidity) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_Out_Set_Humidity) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_Humidity) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_PM_10) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_Out_Set_PM_10) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_PM_10) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_PM_1p0) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_Out_Set_PM_1p0) refers to segment_74hc595d.o(.data) for Segment_Toggle_Out + segment.o(i.Segment_Out_Set_PM_1p0) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_PM_1p0) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_PM_2p5) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_Out_Set_PM_2p5) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_PM_2p5) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_PM_4p0) refers to uidiv.o(.text) for __aeabi_uidivmod + segment.o(i.Segment_Out_Set_PM_4p0) refers to segment_74hc595d.o(.data) for Segment_Toggle_Out + segment.o(i.Segment_Out_Set_PM_4p0) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_PM_4p0) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Out_Set_Temperature) refers to idiv.o(.text) for __aeabi_idivmod + segment.o(i.Segment_Out_Set_Temperature) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Out_Set_Temperature) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Show_Mode) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Show_Mode) refers to segment.o(.constdata) for SegmentData + segment.o(i.Segment_Show_Version) refers to idiv.o(.text) for __aeabi_idivmod + segment.o(i.Segment_Show_Version) refers to segment.o(.bss) for Segment_OutputBuff + segment.o(i.Segment_Show_Version) refers to segment.o(.constdata) for SegmentData + uart_packet.o(i.Uart_Packet_Initialization) refers to sw_timer.o(i.SW_Timer_Callback_Register) for SW_Timer_Callback_Register + uart_packet.o(i.Uart_Packet_Initialization) refers to uart_packet.o(.data) for Pack_Index + uart_packet.o(i.Uart_Packet_Initialization) refers to uart_packet.o(i.Uart_Packet_Make_Process) for Uart_Packet_Make_Process + uart_packet.o(i.Uart_Packet_Make_Process) refers to uart1.o(i.Uart1_Get_RecvDataCount) for Uart1_Get_RecvDataCount + uart_packet.o(i.Uart_Packet_Make_Process) refers to uart1.o(i.Uart1_Get_RecvData) for Uart1_Get_RecvData + uart_packet.o(i.Uart_Packet_Make_Process) refers to uart_packet.o(i.Uart_Packet_Process) for Uart_Packet_Process + uart_packet.o(i.Uart_Packet_Make_Process) refers to uart_packet.o(.data) for Pack_Index + uart_packet.o(i.Uart_Packet_Make_Process) refers to uart_packet.o(.bss) for Pack_Buff + uart_packet.o(i.Uart_Packet_Process) refers to driver_ds3231_basic.o(i.ds3231_basic_get_time) for ds3231_basic_get_time + uart_packet.o(i.Uart_Packet_Process) refers to driver_ds3231_basic.o(i.ds3231_basic_set_time) for ds3231_basic_set_time + uart_packet.o(i.Uart_Packet_Process) refers to buzzer.o(i.Buzzer_On) for Buzzer_On + a31g12x_hal_adc.o(i.HAL_ADC_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_adc.o(i.HAL_ADC_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_crc.o(i.HAL_CRC_ConfigAutoMode) refers to system_a31g12x.o(.data) for SystemCoreClock + a31g12x_hal_crc.o(i.HAL_CRC_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) for HAL_SCU_Peripheral_SetReset2 + a31g12x_hal_crc.o(i.HAL_CRC_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_crc.o(i.HAL_CRC_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_debug_frmwrk.o(i.UARTGetCh) refers to a31g12x_hal_uartn.o(i.HAL_UART_ReceiveByte) for HAL_UART_ReceiveByte + a31g12x_hal_debug_frmwrk.o(i.UARTGetChar) refers to a31g12x_hal_uartn.o(i.HAL_UART_Receive) for HAL_UART_Receive + a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) refers to a31g12x_hal_uartn.o(i.HAL_UART_Transmit) for HAL_UART_Transmit + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec) refers to idiv.o(.text) for __aeabi_idivmod + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec16) refers to idiv.o(.text) for __aeabi_idivmod + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec16) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec32) refers to uidiv.o(.text) for __aeabi_uidivmod + a31g12x_hal_debug_frmwrk.o(i.UARTPutDec32) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPutHex) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPutHex16) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPutHex32) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPuts) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.UARTPuts_) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPuts) for UARTPuts + a31g12x_hal_debug_frmwrk.o(i.cprintf) refers to printfa.o(i.__0vsprintf) for vsprintf + a31g12x_hal_debug_frmwrk.o(i.cprintf) refers to a31g12x_hal_debug_frmwrk.o(.data) for _db_msg + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) for HAL_GPIO_ConfigOutput + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigFunction) for HAL_GPIO_ConfigFunction + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_uartn.o(i.HAL_UART_ConfigStructInit) for HAL_UART_ConfigStructInit + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_uartn.o(i.HAL_UART_Init) for HAL_UART_Init + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPuts) for UARTPuts + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(.data) for _db_msg + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPuts_) for UARTPuts_ + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutHex) for UARTPutHex + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutHex16) for UARTPutHex16 + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutHex32) for UARTPutHex32 + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutDec) for UARTPutDec + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutDec16) for UARTPutDec16 + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutDec32) for UARTPutDec32 + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTGetChar) for UARTGetChar + a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init) refers to a31g12x_hal_debug_frmwrk.o(i.UARTGetCh) for UARTGetCh + a31g12x_hal_debug_frmwrk.o(i.getstring) refers to a31g12x_hal_debug_frmwrk.o(i.UARTGetChar) for UARTGetChar + a31g12x_hal_debug_frmwrk.o(i.getstring) refers to a31g12x_hal_debug_frmwrk.o(i.UARTPutChar) for UARTPutChar + a31g12x_hal_debug_frmwrk.o(i.getstring) refers to a31g12x_hal_uartn.o(.data) for InCount + a31g12x_hal_debug_frmwrk.o(i.getstring) refers to a31g12x_hal_uartn.o(.bss) for InData + a31g12x_hal_fmc.o(i.HAL_FMC_BulkErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashEntry) for HAL_FMC_FlashEntry + a31g12x_hal_fmc.o(i.HAL_FMC_BulkErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashFunction) for HAL_FMC_FlashFunction + a31g12x_hal_fmc.o(i.HAL_FMC_BulkErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashExit) for HAL_FMC_FlashExit + a31g12x_hal_fmc.o(i.HAL_FMC_BulkErase) refers to a31g12x_hal_fmc.o(.data) for flash_addr_code1 + a31g12x_hal_fmc.o(i.HAL_FMC_FlashEntry) refers to a31g12x_hal_fmc.o(.data) for flash_id1_reg + a31g12x_hal_fmc.o(i.HAL_FMC_FlashExit) refers to a31g12x_hal_fmc.o(.data) for flash_id1_reg + a31g12x_hal_fmc.o(i.HAL_FMC_FlashFunction) refers to a31g12x_hal_fmc.o(.data) for flash_addr_code0 + a31g12x_hal_fmc.o(i.HAL_FMC_PageErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashEntry) for HAL_FMC_FlashEntry + a31g12x_hal_fmc.o(i.HAL_FMC_PageErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashFunction) for HAL_FMC_FlashFunction + a31g12x_hal_fmc.o(i.HAL_FMC_PageErase) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashExit) for HAL_FMC_FlashExit + a31g12x_hal_fmc.o(i.HAL_FMC_PageWrite) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashEntry) for HAL_FMC_FlashEntry + a31g12x_hal_fmc.o(i.HAL_FMC_PageWrite) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashFunction) for HAL_FMC_FlashFunction + a31g12x_hal_fmc.o(i.HAL_FMC_PageWrite) refers to a31g12x_hal_fmc.o(i.HAL_FMC_FlashExit) for HAL_FMC_FlashExit + a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) refers to a31g12x_hal_i2cn.o(i.NVIC_ClearPendingIRQ) for NVIC_ClearPendingIRQ + a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) refers to a31g12x_hal_i2cn.o(i.NVIC_EnableIRQ) for NVIC_EnableIRQ + a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) refers to a31g12x_hal_i2cn.o(i.NVIC_DisableIRQ) for NVIC_DisableIRQ + a31g12x_hal_i2cn.o(i.HAL_I2C_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) for HAL_SCU_Peripheral_SetReset2 + a31g12x_hal_i2cn.o(i.HAL_I2C_Init) refers to uidiv.o(.text) for __aeabi_uidivmod + a31g12x_hal_i2cn.o(i.HAL_I2C_Init) refers to system_a31g12x.o(.data) for SystemPeriClock + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to a31g12x_hal_i2cn.o(i.I2Cn_MWait) for I2Cn_MWait + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to memcpya.o(.text) for __aeabi_memcpy4 + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) for HAL_I2C_ConfigInterrupt + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_MasterComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) refers to a31g12x_hal_i2cn.o(.bss) for i2cdat + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_GetState) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_GetState) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_MasterComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) for HAL_I2C_ConfigInterrupt + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(.bss) for i2cdat + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_MasterComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_Receive) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) for HAL_I2C_MasterTransferData + a31g12x_hal_i2cn.o(i.HAL_I2C_Master_Transmit) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData) for HAL_I2C_MasterTransferData + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to a31g12x_hal_i2cn.o(i.I2Cn_SWait) for I2Cn_SWait + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to segment_74hc595d.o(i.__ARM_common_switch8) for __ARM_common_switch8 + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to memcpya.o(.text) for __aeabi_memcpy4 + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) for HAL_I2C_ConfigInterrupt + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_SlaveComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) refers to a31g12x_hal_i2cn.o(.bss) for i2cdat + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_GetState) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_GetState) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_SlaveComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(i.I2Cn_getNum) for I2Cn_getNum + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt) for HAL_I2C_ConfigInterrupt + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(.bss) for i2cdat + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_IRQHandler_IT) refers to a31g12x_hal_i2cn.o(.data) for I2Cn_SlaveComplete + a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_Receive) refers to a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData) for HAL_I2C_SlaveTransferData + a31g12x_hal_lcd.o(i.HAL_LCD_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_pcu.o(i.HAL_GPIO_TogglePin) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + a31g12x_hal_pcu.o(i.HAL_GPIO_TogglePin) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + a31g12x_hal_sculv.o(i.HAL_LVI_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_timer1n.o(i.HAL_TIMER1n_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock1) for HAL_SCU_Peripheral_EnableClock1 + a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock1) for HAL_SCU_Peripheral_EnableClock1 + a31g12x_hal_uartn.o(i.HAL_UART_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) for HAL_SCU_Peripheral_SetReset2 + a31g12x_hal_uartn.o(i.HAL_UART_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_uartn.o(i.HAL_UART_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_uartn.o(i.HAL_UART_Init) refers to a31g12x_hal_uartn.o(i.uart_set_divisors) for uart_set_divisors + a31g12x_hal_uartn.o(i.HAL_UART_Init) refers to system_a31g12x.o(.data) for SystemPeriClock + a31g12x_hal_uartn.o(i.HAL_UART_Init) refers to a31g12x_hal_uartn.o(.data) for UARTn_BaseClock + a31g12x_hal_uartn.o(i.HAL_UART_Receive) refers to a31g12x_hal_uartn.o(i.HAL_UART_ReceiveByte) for HAL_UART_ReceiveByte + a31g12x_hal_uartn.o(i.HAL_UART_Transmit) refers to a31g12x_hal_uartn.o(i.HAL_UART_TransmitByte) for HAL_UART_TransmitByte + a31g12x_hal_uartn.o(i.uart_set_divisors) refers to uidiv.o(.text) for __aeabi_uidivmod + a31g12x_hal_uartn.o(i.uart_set_divisors) refers to a31g12x_hal_uartn.o(.data) for UARTn_BaseClock + a31g12x_hal_usart1n.o(i.HAL_USART_DataControlConfig) refers to segment_74hc595d.o(i.__ARM_common_switch8) for __ARM_common_switch8 + a31g12x_hal_usart1n.o(i.HAL_USART_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) for HAL_SCU_Peripheral_SetReset2 + a31g12x_hal_usart1n.o(i.HAL_USART_DeInit) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) for HAL_SCU_Peripheral_SetReset2 + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_usart1n.o(i.usart_set_divisors) for usart_set_divisors + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_usart1n.o(i.HAL_USART_ClearStatus) for HAL_USART_ClearStatus + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_usart1n.o(i.HAL_USART_ReceiveByte) for HAL_USART_ReceiveByte + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to system_a31g12x.o(.data) for SystemPeriClock + a31g12x_hal_usart1n.o(i.HAL_USART_Init) refers to a31g12x_hal_usart1n.o(.data) for USART1n_BaseClock + a31g12x_hal_usart1n.o(i.HAL_USART_Receive) refers to a31g12x_hal_usart1n.o(i.HAL_USART_ReceiveByte) for HAL_USART_ReceiveByte + a31g12x_hal_usart1n.o(i.HAL_USART_Transmit) refers to a31g12x_hal_usart1n.o(i.HAL_USART_TransmitByte) for HAL_USART_TransmitByte + a31g12x_hal_usart1n.o(i.HAL_USART_Transmit) refers to a31g12x_hal_usart1n.o(i.HAL_USART_ClearStatus) for HAL_USART_ClearStatus + a31g12x_hal_usart1n.o(i.usart_set_divisors) refers to uidiv.o(.text) for __aeabi_uidivmod + a31g12x_hal_usart1n.o(i.usart_set_divisors) refers to a31g12x_hal_usart1n.o(.data) for USART1n_BaseClock + a31g12x_hal_wdt.o(i.HAL_WDT_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + a31g12x_hal_wt.o(i.HAL_WT_Init) refers to a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) for HAL_SCU_Peripheral_EnableClock2 + startup_a31g12x.o(RESET) refers to startup_a31g12x.o(STACK) for __initial_sp + startup_a31g12x.o(RESET) refers to startup_a31g12x.o(.text) for Reset_Handler + startup_a31g12x.o(RESET) refers to a31g12x_interrupt.o(i.NMI_Handler) for NMI_Handler + startup_a31g12x.o(RESET) refers to a31g12x_interrupt.o(i.HardFault_Handler) for HardFault_Handler + startup_a31g12x.o(RESET) refers to a31g12x_interrupt.o(i.SVC_Handler) for SVC_Handler + startup_a31g12x.o(RESET) refers to a31g12x_interrupt.o(i.PendSV_Handler) for PendSV_Handler + startup_a31g12x.o(RESET) refers to systick_timer.o(i.SysTick_Handler) for SysTick_Handler + startup_a31g12x.o(RESET) refers to timer12.o(i.TIMER12_Handler) for TIMER12_Handler + startup_a31g12x.o(RESET) refers to uart1.o(i.UART1_Handler) for UART1_Handler + startup_a31g12x.o(.text) refers to system_a31g12x.o(i.SystemInit) for SystemInit + startup_a31g12x.o(.text) refers to entry.o(.ARM.Collect$$$$00000000) for __main + system_a31g12x.o(i.SystemCoreClockUpdate) refers to system_a31g12x.o(.data) for ClkSrcTbl + fatfs.o(i.MX_FATFS_Init) refers to ff_gen_drv.o(i.FATFS_LinkDriver) for FATFS_LinkDriver + fatfs.o(i.MX_FATFS_Init) refers to fatfs.o(.data) for USERPath + fatfs.o(i.MX_FATFS_Init) refers to user_diskio.o(.data) for USER_Driver + user_diskio.o(i.USER_initialize) refers to user_diskio_spi.o(i.USER_SPI_initialize) for USER_SPI_initialize + user_diskio.o(i.USER_ioctl) refers to user_diskio_spi.o(i.USER_SPI_ioctl) for USER_SPI_ioctl + user_diskio.o(i.USER_read) refers to user_diskio_spi.o(i.USER_SPI_read) for USER_SPI_read + user_diskio.o(i.USER_status) refers to user_diskio_spi.o(i.USER_SPI_status) for USER_SPI_status + user_diskio.o(i.USER_write) refers to user_diskio_spi.o(i.USER_SPI_write) for USER_SPI_write + user_diskio.o(.data) refers to user_diskio.o(i.USER_initialize) for USER_initialize + user_diskio.o(.data) refers to user_diskio.o(i.USER_status) for USER_status + user_diskio.o(.data) refers to user_diskio.o(i.USER_read) for USER_read + user_diskio.o(.data) refers to user_diskio.o(i.USER_write) for USER_write + user_diskio.o(.data) refers to user_diskio.o(i.USER_ioctl) for USER_ioctl + user_diskio_spi.o(i.SPI_Timer_On) refers to systick_timer.o(i.millis) for millis + user_diskio_spi.o(i.SPI_Timer_On) refers to user_diskio_spi.o(.data) for spiTimerTickStart + user_diskio_spi.o(i.SPI_Timer_Status) refers to systick_timer.o(i.millis) for millis + user_diskio_spi.o(i.SPI_Timer_Status) refers to user_diskio_spi.o(.data) for spiTimerTickStart + user_diskio_spi.o(i.despiselect) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) for HAL_GPIO_SetPin + user_diskio_spi.o(i.despiselect) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.rcvr_datablock) refers to user_diskio_spi.o(i.SPI_Timer_On) for SPI_Timer_On + user_diskio_spi.o(i.rcvr_datablock) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.rcvr_datablock) refers to user_diskio_spi.o(i.SPI_Timer_Status) for SPI_Timer_Status + user_diskio_spi.o(i.rcvr_datablock) refers to user_diskio_spi.o(i.rcvr_spi_multi) for rcvr_spi_multi + user_diskio_spi.o(i.rcvr_spi_multi) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.send_cmd) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.send_cmd) refers to user_diskio_spi.o(i.spiselect) for spiselect + user_diskio_spi.o(i.send_cmd) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.spiselect) refers to a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) for HAL_GPIO_ClearPin + user_diskio_spi.o(i.spiselect) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.spiselect) refers to user_diskio_spi.o(i.wait_ready) for wait_ready + user_diskio_spi.o(i.spiselect) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.wait_ready) refers to systick_timer.o(i.millis) for millis + user_diskio_spi.o(i.wait_ready) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.xchg_spi) refers to spi10.o(i.SPI10_SendReceiveByte) for SPI10_SendReceiveByte + user_diskio_spi.o(i.xmit_datablock) refers to user_diskio_spi.o(i.wait_ready) for wait_ready + user_diskio_spi.o(i.xmit_datablock) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.xmit_datablock) refers to user_diskio_spi.o(i.xmit_spi_multi) for xmit_spi_multi + user_diskio_spi.o(i.xmit_spi_multi) refers to spi10.o(i.SPI10_Transmit) for SPI10_Transmit + diskio.o(i.disk_initialize) refers to ff_gen_drv.o(.bss) for disk + diskio.o(i.disk_ioctl) refers to ff_gen_drv.o(.bss) for disk + diskio.o(i.disk_read) refers to ff_gen_drv.o(.bss) for disk + diskio.o(i.disk_status) refers to ff_gen_drv.o(.bss) for disk + diskio.o(i.disk_write) refers to ff_gen_drv.o(.bss) for disk + ff.o(i.check_fs) refers to ff.o(i.move_window) for move_window + ff.o(i.chk_lock) refers to ff.o(.bss) for Files + ff.o(i.clear_lock) refers to ff.o(.bss) for Files + ff.o(i.clmt_clust) refers to uidiv.o(.text) for __aeabi_uidivmod + ff.o(i.create_chain) refers to ff.o(i.get_fat) for get_fat + ff.o(i.create_chain) refers to ff.o(i.put_fat) for put_fat + ff.o(i.create_name) refers to ff.o(i.mem_set) for mem_set + ff.o(i.create_name) refers to ff.o(i.chk_chr) for chk_chr + ff.o(i.create_name) refers to ff.o(.constdata) for ExCvt + ff.o(i.dec_lock) refers to ff.o(.bss) for Files + ff.o(i.dir_alloc) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.dir_alloc) refers to ff.o(i.move_window) for move_window + ff.o(i.dir_alloc) refers to ff.o(i.dir_next) for dir_next + ff.o(i.dir_find) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.dir_find) refers to ff.o(i.move_window) for move_window + ff.o(i.dir_find) refers to ff.o(i.mem_cmp) for mem_cmp + ff.o(i.dir_find) refers to ff.o(i.dir_next) for dir_next + ff.o(i.dir_next) refers to ff.o(i.get_fat) for get_fat + ff.o(i.dir_next) refers to ff.o(i.create_chain) for create_chain + ff.o(i.dir_next) refers to ff.o(i.sync_window) for sync_window + ff.o(i.dir_next) refers to ff.o(i.mem_set) for mem_set + ff.o(i.dir_next) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.dir_read) refers to ff.o(i.move_window) for move_window + ff.o(i.dir_read) refers to ff.o(i.dir_next) for dir_next + ff.o(i.dir_register) refers to ff.o(i.dir_alloc) for dir_alloc + ff.o(i.dir_register) refers to ff.o(i.move_window) for move_window + ff.o(i.dir_register) refers to ff.o(i.mem_set) for mem_set + ff.o(i.dir_register) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.dir_remove) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.dir_remove) refers to ff.o(i.move_window) for move_window + ff.o(i.dir_remove) refers to ff.o(i.mem_set) for mem_set + ff.o(i.dir_sdi) refers to ff.o(i.get_fat) for get_fat + ff.o(i.dir_sdi) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.enq_lock) refers to ff.o(.bss) for Files + ff.o(i.f_chmod) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_chmod) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_chmod) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_close) refers to ff.o(i.f_sync) for f_sync + ff.o(i.f_close) refers to ff.o(i.validate) for validate + ff.o(i.f_close) refers to ff.o(i.dec_lock) for dec_lock + ff.o(i.f_closedir) refers to ff.o(i.validate) for validate + ff.o(i.f_closedir) refers to ff.o(i.dec_lock) for dec_lock + ff.o(i.f_getfree) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_getfree) refers to ff.o(i.get_fat) for get_fat + ff.o(i.f_getfree) refers to ff.o(i.move_window) for move_window + ff.o(i.f_gets) refers to ff.o(i.f_read) for f_read + ff.o(i.f_lseek) refers to ff.o(i.validate) for validate + ff.o(i.f_lseek) refers to ff.o(i.get_fat) for get_fat + ff.o(i.f_lseek) refers to ff.o(i.clmt_clust) for clmt_clust + ff.o(i.f_lseek) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.f_lseek) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_lseek) refers to diskio.o(i.disk_read) for disk_read + ff.o(i.f_lseek) refers to uidiv.o(.text) for __aeabi_uidivmod + ff.o(i.f_lseek) refers to ff.o(i.create_chain) for create_chain + ff.o(i.f_mkdir) refers to fatfs.o(i.get_fattime) for get_fattime + ff.o(i.f_mkdir) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_mkdir) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_mkdir) refers to ff.o(i.create_chain) for create_chain + ff.o(i.f_mkdir) refers to ff.o(i.sync_window) for sync_window + ff.o(i.f_mkdir) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.f_mkdir) refers to ff.o(i.mem_set) for mem_set + ff.o(i.f_mkdir) refers to ff.o(i.st_clust) for st_clust + ff.o(i.f_mkdir) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_mkdir) refers to ff.o(i.dir_register) for dir_register + ff.o(i.f_mkdir) refers to ff.o(i.remove_chain) for remove_chain + ff.o(i.f_mkdir) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_mkfs) refers to ff.o(i.get_ldnumber) for get_ldnumber + ff.o(i.f_mkfs) refers to diskio.o(i.disk_initialize) for disk_initialize + ff.o(i.f_mkfs) refers to diskio.o(i.disk_ioctl) for disk_ioctl + ff.o(i.f_mkfs) refers to uidiv.o(.text) for __aeabi_uidivmod + ff.o(i.f_mkfs) refers to ff.o(i.mem_set) for mem_set + ff.o(i.f_mkfs) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_mkfs) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_mkfs) refers to fatfs.o(i.get_fattime) for get_fattime + ff.o(i.f_mkfs) refers to ff.o(.data) for FatFs + ff.o(i.f_mkfs) refers to ff.o(.constdata) for vst + ff.o(i.f_mount) refers to ff.o(i.get_ldnumber) for get_ldnumber + ff.o(i.f_mount) refers to ff.o(i.clear_lock) for clear_lock + ff.o(i.f_mount) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_mount) refers to ff.o(.data) for FatFs + ff.o(i.f_open) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_open) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_open) refers to ff.o(i.chk_lock) for chk_lock + ff.o(i.f_open) refers to ff.o(i.enq_lock) for enq_lock + ff.o(i.f_open) refers to ff.o(i.dir_register) for dir_register + ff.o(i.f_open) refers to fatfs.o(i.get_fattime) for get_fattime + ff.o(i.f_open) refers to ff.o(i.ld_clust) for ld_clust + ff.o(i.f_open) refers to ff.o(i.st_clust) for st_clust + ff.o(i.f_open) refers to ff.o(i.remove_chain) for remove_chain + ff.o(i.f_open) refers to ff.o(i.move_window) for move_window + ff.o(i.f_open) refers to ff.o(i.inc_lock) for inc_lock + ff.o(i.f_opendir) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_opendir) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_opendir) refers to ff.o(i.ld_clust) for ld_clust + ff.o(i.f_opendir) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.f_opendir) refers to ff.o(i.inc_lock) for inc_lock + ff.o(i.f_printf) refers to ff.o(i.putc_bfd) for putc_bfd + ff.o(i.f_printf) refers to uidiv.o(.text) for __aeabi_uidivmod + ff.o(i.f_printf) refers to ff.o(i.f_write) for f_write + ff.o(i.f_putc) refers to ff.o(i.putc_bfd) for putc_bfd + ff.o(i.f_putc) refers to ff.o(i.f_write) for f_write + ff.o(i.f_puts) refers to ff.o(i.putc_bfd) for putc_bfd + ff.o(i.f_puts) refers to ff.o(i.f_write) for f_write + ff.o(i.f_read) refers to ff.o(i.validate) for validate + ff.o(i.f_read) refers to ff.o(i.clmt_clust) for clmt_clust + ff.o(i.f_read) refers to ff.o(i.get_fat) for get_fat + ff.o(i.f_read) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.f_read) refers to diskio.o(i.disk_read) for disk_read + ff.o(i.f_read) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_read) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_readdir) refers to ff.o(i.validate) for validate + ff.o(i.f_readdir) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.f_readdir) refers to ff.o(i.dir_read) for dir_read + ff.o(i.f_readdir) refers to ff.o(i.get_fileinfo) for get_fileinfo + ff.o(i.f_readdir) refers to ff.o(i.dir_next) for dir_next + ff.o(i.f_rename) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_rename) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_rename) refers to ff.o(i.chk_lock) for chk_lock + ff.o(i.f_rename) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_rename) refers to ff.o(i.get_ldnumber) for get_ldnumber + ff.o(i.f_rename) refers to ff.o(i.dir_register) for dir_register + ff.o(i.f_rename) refers to ff.o(i.ld_clust) for ld_clust + ff.o(i.f_rename) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.f_rename) refers to ff.o(i.move_window) for move_window + ff.o(i.f_rename) refers to ff.o(i.st_clust) for st_clust + ff.o(i.f_rename) refers to ff.o(i.dir_remove) for dir_remove + ff.o(i.f_rename) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_stat) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_stat) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_stat) refers to ff.o(i.get_fileinfo) for get_fileinfo + ff.o(i.f_sync) refers to ff.o(i.validate) for validate + ff.o(i.f_sync) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_sync) refers to ff.o(i.move_window) for move_window + ff.o(i.f_sync) refers to ff.o(i.st_clust) for st_clust + ff.o(i.f_sync) refers to fatfs.o(i.get_fattime) for get_fattime + ff.o(i.f_sync) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_truncate) refers to ff.o(i.validate) for validate + ff.o(i.f_truncate) refers to ff.o(i.remove_chain) for remove_chain + ff.o(i.f_truncate) refers to ff.o(i.get_fat) for get_fat + ff.o(i.f_truncate) refers to ff.o(i.put_fat) for put_fat + ff.o(i.f_truncate) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_unlink) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_unlink) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_unlink) refers to ff.o(i.chk_lock) for chk_lock + ff.o(i.f_unlink) refers to ff.o(i.ld_clust) for ld_clust + ff.o(i.f_unlink) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_unlink) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.f_unlink) refers to ff.o(i.dir_read) for dir_read + ff.o(i.f_unlink) refers to ff.o(i.dir_remove) for dir_remove + ff.o(i.f_unlink) refers to ff.o(i.remove_chain) for remove_chain + ff.o(i.f_unlink) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_utime) refers to ff.o(i.find_volume) for find_volume + ff.o(i.f_utime) refers to ff.o(i.follow_path) for follow_path + ff.o(i.f_utime) refers to ff.o(i.sync_fs) for sync_fs + ff.o(i.f_write) refers to ff.o(i.validate) for validate + ff.o(i.f_write) refers to ff.o(i.create_chain) for create_chain + ff.o(i.f_write) refers to ff.o(i.clmt_clust) for clmt_clust + ff.o(i.f_write) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.f_write) refers to ff.o(i.clust2sect) for clust2sect + ff.o(i.f_write) refers to ff.o(i.mem_cpy) for mem_cpy + ff.o(i.f_write) refers to diskio.o(i.disk_read) for disk_read + ff.o(i.find_volume) refers to ff.o(i.get_ldnumber) for get_ldnumber + ff.o(i.find_volume) refers to diskio.o(i.disk_status) for disk_status + ff.o(i.find_volume) refers to diskio.o(i.disk_initialize) for disk_initialize + ff.o(i.find_volume) refers to ff.o(i.check_fs) for check_fs + ff.o(i.find_volume) refers to uidiv.o(.text) for __aeabi_uidivmod + ff.o(i.find_volume) refers to ff.o(i.move_window) for move_window + ff.o(i.find_volume) refers to ff.o(.data) for FatFs + ff.o(i.find_volume) refers to ff.o(i.clear_lock) for clear_lock + ff.o(i.follow_path) refers to ff.o(i.dir_sdi) for dir_sdi + ff.o(i.follow_path) refers to ff.o(i.create_name) for create_name + ff.o(i.follow_path) refers to ff.o(i.dir_find) for dir_find + ff.o(i.follow_path) refers to ff.o(i.ld_clust) for ld_clust + ff.o(i.get_fat) refers to ff.o(i.move_window) for move_window + ff.o(i.inc_lock) refers to ff.o(.bss) for Files + ff.o(i.move_window) refers to ff.o(i.sync_window) for sync_window + ff.o(i.move_window) refers to diskio.o(i.disk_read) for disk_read + ff.o(i.put_fat) refers to ff.o(i.move_window) for move_window + ff.o(i.putc_bfd) refers to ff.o(i.f_write) for f_write + ff.o(i.remove_chain) refers to ff.o(i.get_fat) for get_fat + ff.o(i.remove_chain) refers to ff.o(i.put_fat) for put_fat + ff.o(i.sync_fs) refers to ff.o(i.sync_window) for sync_window + ff.o(i.sync_fs) refers to ff.o(i.mem_set) for mem_set + ff.o(i.sync_fs) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.sync_fs) refers to diskio.o(i.disk_ioctl) for disk_ioctl + ff.o(i.sync_window) refers to diskio.o(i.disk_write) for disk_write + ff.o(i.validate) refers to diskio.o(i.disk_status) for disk_status + ff_gen_drv.o(i.FATFS_GetAttachedDriversNbr) refers to ff_gen_drv.o(.bss) for disk + ff_gen_drv.o(i.FATFS_LinkDriver) refers to ff_gen_drv.o(i.FATFS_LinkDriverEx) for FATFS_LinkDriverEx + ff_gen_drv.o(i.FATFS_LinkDriverEx) refers to ff_gen_drv.o(.bss) for disk + ff_gen_drv.o(i.FATFS_UnLinkDriver) refers to ff_gen_drv.o(i.FATFS_UnLinkDriverEx) for FATFS_UnLinkDriverEx + ff_gen_drv.o(i.FATFS_UnLinkDriverEx) refers to ff_gen_drv.o(.bss) for disk + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry10a.o(.ARM.Collect$$$$0000000F) for __rt_final_cpp + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry11a.o(.ARM.Collect$$$$00000011) for __rt_final_exit + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry12b.o(.ARM.Collect$$$$0000000E) for __rt_lib_shutdown_fini + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry7b.o(.ARM.Collect$$$$00000008) for _main_clock + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry8b.o(.ARM.Collect$$$$0000000A) for _main_cpp_init + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry9a.o(.ARM.Collect$$$$0000000B) for _main_init + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry5.o(.ARM.Collect$$$$00000004) for _main_scatterload + entry.o(.ARM.Collect$$$$00000000) refers (Special) to entry2.o(.ARM.Collect$$$$00000001) for _main_stk + idiv.o(.text) refers to uidiv.o(.text) for __aeabi_uidivmod + localtime.o(.text) refers to uidiv.o(.text) for __aeabi_uidivmod + localtime.o(.text) refers to localtime.o(.bss) for .bss + localtime.o(.text) refers to localtime.o(.constdata) for .constdata + mktime.o(.text) refers to idiv.o(.text) for __aeabi_idivmod + mktime.o(.text) refers to localtime_i.o(.text) for _localtime + mktime.o(.text) refers to mktime.o(.constdata) for .constdata + printfb.o(i.__0fprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0fprintf$bare) refers to dbg_printf.o(i.fputc) for fputc + printfb.o(i.__0printf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0printf$bare) refers to dbg_printf.o(i.fputc) for fputc + printfb.o(i.__0printf$bare) refers to stdout.o(.data) for __stdout + printfb.o(i.__0snprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0snprintf$bare) refers to printfb.o(i._snputc) for _snputc + printfb.o(i.__0sprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0sprintf$bare) refers to printfb.o(i._sputc) for _sputc + printfb.o(i.__0vfprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0vfprintf$bare) refers to dbg_printf.o(i.fputc) for fputc + printfb.o(i.__0vprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0vprintf$bare) refers to dbg_printf.o(i.fputc) for fputc + printfb.o(i.__0vprintf$bare) refers to stdout.o(.data) for __stdout + printfb.o(i.__0vsnprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0vsnprintf$bare) refers to printfb.o(i._snputc) for _snputc + printfb.o(i.__0vsprintf$bare) refers to printfb.o(i._printf_core) for _printf_core + printfb.o(i.__0vsprintf$bare) refers to printfb.o(i._sputc) for _sputc + printf0.o(i.__0fprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0fprintf$0) refers to dbg_printf.o(i.fputc) for fputc + printf0.o(i.__0printf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0printf$0) refers to dbg_printf.o(i.fputc) for fputc + printf0.o(i.__0printf$0) refers to stdout.o(.data) for __stdout + printf0.o(i.__0snprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0snprintf$0) refers to printf0.o(i._snputc) for _snputc + printf0.o(i.__0sprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0sprintf$0) refers to printf0.o(i._sputc) for _sputc + printf0.o(i.__0vfprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0vfprintf$0) refers to dbg_printf.o(i.fputc) for fputc + printf0.o(i.__0vprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0vprintf$0) refers to dbg_printf.o(i.fputc) for fputc + printf0.o(i.__0vprintf$0) refers to stdout.o(.data) for __stdout + printf0.o(i.__0vsnprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0vsnprintf$0) refers to printf0.o(i._snputc) for _snputc + printf0.o(i.__0vsprintf$0) refers to printf0.o(i._printf_core) for _printf_core + printf0.o(i.__0vsprintf$0) refers to printf0.o(i._sputc) for _sputc + printf1.o(i.__0fprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0fprintf$1) refers to dbg_printf.o(i.fputc) for fputc + printf1.o(i.__0printf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0printf$1) refers to dbg_printf.o(i.fputc) for fputc + printf1.o(i.__0printf$1) refers to stdout.o(.data) for __stdout + printf1.o(i.__0snprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0snprintf$1) refers to printf1.o(i._snputc) for _snputc + printf1.o(i.__0sprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0sprintf$1) refers to printf1.o(i._sputc) for _sputc + printf1.o(i.__0vfprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0vfprintf$1) refers to dbg_printf.o(i.fputc) for fputc + printf1.o(i.__0vprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0vprintf$1) refers to dbg_printf.o(i.fputc) for fputc + printf1.o(i.__0vprintf$1) refers to stdout.o(.data) for __stdout + printf1.o(i.__0vsnprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0vsnprintf$1) refers to printf1.o(i._snputc) for _snputc + printf1.o(i.__0vsprintf$1) refers to printf1.o(i._printf_core) for _printf_core + printf1.o(i.__0vsprintf$1) refers to printf1.o(i._sputc) for _sputc + printf1.o(i._printf_core) refers to uidiv.o(.text) for __aeabi_uidivmod + printf2.o(i.__0fprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0fprintf$2) refers to dbg_printf.o(i.fputc) for fputc + printf2.o(i.__0printf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0printf$2) refers to dbg_printf.o(i.fputc) for fputc + printf2.o(i.__0printf$2) refers to stdout.o(.data) for __stdout + printf2.o(i.__0snprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0snprintf$2) refers to printf2.o(i._snputc) for _snputc + printf2.o(i.__0sprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0sprintf$2) refers to printf2.o(i._sputc) for _sputc + printf2.o(i.__0vfprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0vfprintf$2) refers to dbg_printf.o(i.fputc) for fputc + printf2.o(i.__0vprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0vprintf$2) refers to dbg_printf.o(i.fputc) for fputc + printf2.o(i.__0vprintf$2) refers to stdout.o(.data) for __stdout + printf2.o(i.__0vsnprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0vsnprintf$2) refers to printf2.o(i._snputc) for _snputc + printf2.o(i.__0vsprintf$2) refers to printf2.o(i._printf_core) for _printf_core + printf2.o(i.__0vsprintf$2) refers to printf2.o(i._sputc) for _sputc + printf3.o(i.__0fprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0fprintf$3) refers to dbg_printf.o(i.fputc) for fputc + printf3.o(i.__0printf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0printf$3) refers to dbg_printf.o(i.fputc) for fputc + printf3.o(i.__0printf$3) refers to stdout.o(.data) for __stdout + printf3.o(i.__0snprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0snprintf$3) refers to printf3.o(i._snputc) for _snputc + printf3.o(i.__0sprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0sprintf$3) refers to printf3.o(i._sputc) for _sputc + printf3.o(i.__0vfprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0vfprintf$3) refers to dbg_printf.o(i.fputc) for fputc + printf3.o(i.__0vprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0vprintf$3) refers to dbg_printf.o(i.fputc) for fputc + printf3.o(i.__0vprintf$3) refers to stdout.o(.data) for __stdout + printf3.o(i.__0vsnprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0vsnprintf$3) refers to printf3.o(i._snputc) for _snputc + printf3.o(i.__0vsprintf$3) refers to printf3.o(i._printf_core) for _printf_core + printf3.o(i.__0vsprintf$3) refers to printf3.o(i._sputc) for _sputc + printf3.o(i._printf_core) refers to uidiv.o(.text) for __aeabi_uidivmod + printf4.o(i.__0fprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0fprintf$4) refers to dbg_printf.o(i.fputc) for fputc + printf4.o(i.__0printf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0printf$4) refers to dbg_printf.o(i.fputc) for fputc + printf4.o(i.__0printf$4) refers to stdout.o(.data) for __stdout + printf4.o(i.__0snprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0snprintf$4) refers to printf4.o(i._snputc) for _snputc + printf4.o(i.__0sprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0sprintf$4) refers to printf4.o(i._sputc) for _sputc + printf4.o(i.__0vfprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0vfprintf$4) refers to dbg_printf.o(i.fputc) for fputc + printf4.o(i.__0vprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0vprintf$4) refers to dbg_printf.o(i.fputc) for fputc + printf4.o(i.__0vprintf$4) refers to stdout.o(.data) for __stdout + printf4.o(i.__0vsnprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0vsnprintf$4) refers to printf4.o(i._snputc) for _snputc + printf4.o(i.__0vsprintf$4) refers to printf4.o(i._printf_core) for _printf_core + printf4.o(i.__0vsprintf$4) refers to printf4.o(i._sputc) for _sputc + printf4.o(i._printf_core) refers to uldiv.o(.text) for __aeabi_uldivmod + printf5.o(i.__0fprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0fprintf$5) refers to dbg_printf.o(i.fputc) for fputc + printf5.o(i.__0printf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0printf$5) refers to dbg_printf.o(i.fputc) for fputc + printf5.o(i.__0printf$5) refers to stdout.o(.data) for __stdout + printf5.o(i.__0snprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0snprintf$5) refers to printf5.o(i._snputc) for _snputc + printf5.o(i.__0sprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0sprintf$5) refers to printf5.o(i._sputc) for _sputc + printf5.o(i.__0vfprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0vfprintf$5) refers to dbg_printf.o(i.fputc) for fputc + printf5.o(i.__0vprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0vprintf$5) refers to dbg_printf.o(i.fputc) for fputc + printf5.o(i.__0vprintf$5) refers to stdout.o(.data) for __stdout + printf5.o(i.__0vsnprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0vsnprintf$5) refers to printf5.o(i._snputc) for _snputc + printf5.o(i.__0vsprintf$5) refers to printf5.o(i._printf_core) for _printf_core + printf5.o(i.__0vsprintf$5) refers to printf5.o(i._sputc) for _sputc + printf5.o(i._printf_core) refers to uldiv.o(.text) for __aeabi_uldivmod + printf6.o(i.__0fprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0fprintf$6) refers to dbg_printf.o(i.fputc) for fputc + printf6.o(i.__0printf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0printf$6) refers to dbg_printf.o(i.fputc) for fputc + printf6.o(i.__0printf$6) refers to stdout.o(.data) for __stdout + printf6.o(i.__0snprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0snprintf$6) refers to printf6.o(i._snputc) for _snputc + printf6.o(i.__0sprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0sprintf$6) refers to printf6.o(i._sputc) for _sputc + printf6.o(i.__0vfprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0vfprintf$6) refers to dbg_printf.o(i.fputc) for fputc + printf6.o(i.__0vprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0vprintf$6) refers to dbg_printf.o(i.fputc) for fputc + printf6.o(i.__0vprintf$6) refers to stdout.o(.data) for __stdout + printf6.o(i.__0vsnprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0vsnprintf$6) refers to printf6.o(i._snputc) for _snputc + printf6.o(i.__0vsprintf$6) refers to printf6.o(i._printf_core) for _printf_core + printf6.o(i.__0vsprintf$6) refers to printf6.o(i._sputc) for _sputc + printf6.o(i._printf_core) refers to printf6.o(i._printf_pre_padding) for _printf_pre_padding + printf6.o(i._printf_core) refers to printf6.o(i._printf_post_padding) for _printf_post_padding + printf6.o(i._printf_core) refers to uidiv.o(.text) for __aeabi_uidivmod + printf7.o(i.__0fprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0fprintf$7) refers to dbg_printf.o(i.fputc) for fputc + printf7.o(i.__0printf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0printf$7) refers to dbg_printf.o(i.fputc) for fputc + printf7.o(i.__0printf$7) refers to stdout.o(.data) for __stdout + printf7.o(i.__0snprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0snprintf$7) refers to printf7.o(i._snputc) for _snputc + printf7.o(i.__0sprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0sprintf$7) refers to printf7.o(i._sputc) for _sputc + printf7.o(i.__0vfprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0vfprintf$7) refers to dbg_printf.o(i.fputc) for fputc + printf7.o(i.__0vprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0vprintf$7) refers to dbg_printf.o(i.fputc) for fputc + printf7.o(i.__0vprintf$7) refers to stdout.o(.data) for __stdout + printf7.o(i.__0vsnprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0vsnprintf$7) refers to printf7.o(i._snputc) for _snputc + printf7.o(i.__0vsprintf$7) refers to printf7.o(i._printf_core) for _printf_core + printf7.o(i.__0vsprintf$7) refers to printf7.o(i._sputc) for _sputc + printf7.o(i._printf_core) refers to printf7.o(i._printf_pre_padding) for _printf_pre_padding + printf7.o(i._printf_core) refers to printf7.o(i._printf_post_padding) for _printf_post_padding + printf7.o(i._printf_core) refers to uldiv.o(.text) for __aeabi_uldivmod + printf8.o(i.__0fprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0fprintf$8) refers to dbg_printf.o(i.fputc) for fputc + printf8.o(i.__0printf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0printf$8) refers to dbg_printf.o(i.fputc) for fputc + printf8.o(i.__0printf$8) refers to stdout.o(.data) for __stdout + printf8.o(i.__0snprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0snprintf$8) refers to printf8.o(i._snputc) for _snputc + printf8.o(i.__0sprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0sprintf$8) refers to printf8.o(i._sputc) for _sputc + printf8.o(i.__0vfprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0vfprintf$8) refers to dbg_printf.o(i.fputc) for fputc + printf8.o(i.__0vprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0vprintf$8) refers to dbg_printf.o(i.fputc) for fputc + printf8.o(i.__0vprintf$8) refers to stdout.o(.data) for __stdout + printf8.o(i.__0vsnprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0vsnprintf$8) refers to printf8.o(i._snputc) for _snputc + printf8.o(i.__0vsprintf$8) refers to printf8.o(i._printf_core) for _printf_core + printf8.o(i.__0vsprintf$8) refers to printf8.o(i._sputc) for _sputc + printf8.o(i._printf_core) refers to printf8.o(i._printf_pre_padding) for _printf_pre_padding + printf8.o(i._printf_core) refers to printf8.o(i._printf_post_padding) for _printf_post_padding + printf8.o(i._printf_core) refers to uldiv.o(.text) for __aeabi_uldivmod + printfa.o(i.__0fprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0fprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0fprintf) refers to dbg_printf.o(i.fputc) for fputc + printfa.o(i.__0printf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0printf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0printf) refers to dbg_printf.o(i.fputc) for fputc + printfa.o(i.__0printf) refers to stdout.o(.data) for __stdout + printfa.o(i.__0snprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0snprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0snprintf) refers to printfa.o(i._snputc) for _snputc + printfa.o(i.__0sprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0sprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0sprintf) refers to printfa.o(i._sputc) for _sputc + printfa.o(i.__0vfprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0vfprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0vfprintf) refers to dbg_printf.o(i.fputc) for fputc + printfa.o(i.__0vprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0vprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0vprintf) refers to dbg_printf.o(i.fputc) for fputc + printfa.o(i.__0vprintf) refers to stdout.o(.data) for __stdout + printfa.o(i.__0vsnprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0vsnprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0vsnprintf) refers to printfa.o(i._snputc) for _snputc + printfa.o(i.__0vsprintf) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i.__0vsprintf) refers to printfa.o(i._printf_core) for _printf_core + printfa.o(i.__0vsprintf) refers to printfa.o(i._sputc) for _sputc + printfa.o(i._fp_digits) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i._fp_digits) refers to dmul.o(.text) for __aeabi_dmul + printfa.o(i._fp_digits) refers to ddiv.o(.text) for __aeabi_ddiv + printfa.o(i._fp_digits) refers to cdrcmple.o(.text) for __aeabi_cdrcmple + printfa.o(i._fp_digits) refers to dadd.o(.text) for __aeabi_dadd + printfa.o(i._fp_digits) refers to dfixul.o(.text) for __aeabi_d2ulz + printfa.o(i._fp_digits) refers to uldiv.o(.text) for __aeabi_uldivmod + printfa.o(i._printf_core) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i._printf_core) refers to printfa.o(i._printf_pre_padding) for _printf_pre_padding + printfa.o(i._printf_core) refers to uldiv.o(.text) for __aeabi_uldivmod + printfa.o(i._printf_core) refers to printfa.o(i._printf_post_padding) for _printf_post_padding + printfa.o(i._printf_core) refers to printfa.o(i._fp_digits) for _fp_digits + printfa.o(i._printf_core) refers to uidiv.o(.text) for __aeabi_uidivmod + printfa.o(i._printf_post_padding) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i._printf_pre_padding) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i._snputc) refers (Special) to iusefp.o(.text) for __I$use$fp + printfa.o(i._sputc) refers (Special) to iusefp.o(.text) for __I$use$fp + fmul.o(.text) refers (Special) to iusefp.o(.text) for __I$use$fp + fdiv.o(.text) refers (Special) to iusefp.o(.text) for __I$use$fp + fdiv.o(.text) refers to fepilogue.o(.text) for _float_round + fflti.o(.text) refers (Special) to iusefp.o(.text) for __I$use$fp + fflti.o(.text) refers to fepilogue.o(.text) for _float_epilogue + ffixi.o(.text) refers (Special) to iusefp.o(.text) for __I$use$fp + entry2.o(.ARM.Collect$$$$00000001) refers to entry2.o(.ARM.Collect$$$$00002712) for __lit__00000000 + entry2.o(.ARM.Collect$$$$00002712) refers to startup_a31g12x.o(STACK) for __initial_sp + entry2.o(__vectab_stack_and_reset_area) refers to startup_a31g12x.o(STACK) for __initial_sp + entry2.o(__vectab_stack_and_reset_area) refers to entry.o(.ARM.Collect$$$$00000000) for __main + entry5.o(.ARM.Collect$$$$00000004) refers to init.o(.text) for __scatterload + entry9a.o(.ARM.Collect$$$$0000000B) refers to main.o(i.main) for main + entry9b.o(.ARM.Collect$$$$0000000C) refers to main.o(i.main) for main + uldiv.o(.text) refers to llushr.o(.text) for __aeabi_llsr + uldiv.o(.text) refers to llshl.o(.text) for __aeabi_llsl + localtime_w.o(.text) refers to localtime_i.o(.text) for _localtime + localtime_w.o(.text) refers to localtime_w.o(.bss) for .bss + localtime_i.o(.text) refers to uidiv.o(.text) for __aeabi_uidivmod + localtime_i.o(.text) refers to localtime_i.o(.constdata) for .constdata + dadd.o(.text) refers to llshl.o(.text) for __aeabi_llsl + dadd.o(.text) refers to llsshr.o(.text) for __aeabi_lasr + dadd.o(.text) refers to depilogue.o(.text) for _double_epilogue + dmul.o(.text) refers to depilogue.o(.text) for _double_epilogue + ddiv.o(.text) refers to depilogue.o(.text) for _double_round + dfixul.o(.text) refers to llushr.o(.text) for __aeabi_llsr + dfixul.o(.text) refers to llshl.o(.text) for __aeabi_llsl + init.o(.text) refers to entry5.o(.ARM.Collect$$$$00000004) for __main_after_scatterload + depilogue.o(.text) refers to depilogue.o(i.__ARM_clz) for __ARM_clz + depilogue.o(.text) refers to llshl.o(.text) for __aeabi_llsl + depilogue.o(.text) refers to llushr.o(.text) for __aeabi_llsr + user_diskio_spi.o(i.USER_SPI_initialize) refers to spi10.o(i.SPI10_Initialization) for SPI10_Initialization + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(i.send_cmd) for send_cmd + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(i.SPI_Timer_On) for SPI_Timer_On + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(i.SPI_Timer_Status) for SPI_Timer_Status + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.USER_SPI_initialize) refers to user_diskio_spi.o(.data) for Stat + user_diskio_spi.o(i.USER_SPI_status) refers to user_diskio_spi.o(.data) for Stat + user_diskio_spi.o(i.USER_SPI_read) refers to user_diskio_spi.o(i.send_cmd) for send_cmd + user_diskio_spi.o(i.USER_SPI_read) refers to user_diskio_spi.o(i.rcvr_datablock) for rcvr_datablock + user_diskio_spi.o(i.USER_SPI_read) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.USER_SPI_read) refers to user_diskio_spi.o(.data) for Stat + user_diskio_spi.o(i.USER_SPI_write) refers to user_diskio_spi.o(i.send_cmd) for send_cmd + user_diskio_spi.o(i.USER_SPI_write) refers to user_diskio_spi.o(i.xmit_datablock) for xmit_datablock + user_diskio_spi.o(i.USER_SPI_write) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.USER_SPI_write) refers to user_diskio_spi.o(.data) for Stat + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.spiselect) for spiselect + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.send_cmd) for send_cmd + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.rcvr_datablock) for rcvr_datablock + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.xchg_spi) for xchg_spi + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.wait_ready) for wait_ready + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(i.despiselect) for despiselect + user_diskio_spi.o(i.USER_SPI_ioctl) refers to user_diskio_spi.o(.data) for Stat + + +============================================================================== + +Removing Unused input sections from the image. + + Removing a31g12x_systemclock.o(.rev16_text), (4 bytes). + Removing a31g12x_systemclock.o(.revsh_text), (4 bytes). + Removing main.o(.rev16_text), (4 bytes). + Removing main.o(.revsh_text), (4 bytes). + Removing dbg_printf.o(.rev16_text), (4 bytes). + Removing dbg_printf.o(.revsh_text), (4 bytes). + Removing dbg_printf.o(i.fputc), (16 bytes). + Removing sw_timer.o(.rev16_text), (4 bytes). + Removing sw_timer.o(.revsh_text), (4 bytes). + Removing sw_timer.o(i.SW_Timer_Callback_UnRegister), (52 bytes). + Removing systick_timer.o(.rev16_text), (4 bytes). + Removing systick_timer.o(.revsh_text), (4 bytes). + Removing ring_buffer.o(.rev16_text), (4 bytes). + Removing ring_buffer.o(.revsh_text), (4 bytes). + Removing ring_buffer.o(i.RingBuffer_Clear), (34 bytes). + Removing gpio_state_led.o(.rev16_text), (4 bytes). + Removing gpio_state_led.o(.revsh_text), (4 bytes). + Removing gpio_state_led.o(i.Gpio_StateLed_Get_Mode), (12 bytes). + Removing gpio_switch.o(.rev16_text), (4 bytes). + Removing gpio_switch.o(.revsh_text), (4 bytes). + Removing gpio_switch.o(i.Gpio_Swtich_Set_Callback), (40 bytes). + Removing gpio_switch.o(i.Gpio_Swtich_Set_PushCount), (32 bytes). + Removing uart1.o(.rev16_text), (4 bytes). + Removing uart1.o(.revsh_text), (4 bytes). + Removing uart1.o(i.Uart1_Transmit), (20 bytes). + Removing uart1.o(i.Uart1_TransmitData), (32 bytes). + Removing segment_74hc595d.o(.rev16_text), (4 bytes). + Removing segment_74hc595d.o(.revsh_text), (4 bytes). + Removing segment_74hc595d.o(.bss), (32 bytes). + Removing timer12.o(.rev16_text), (4 bytes). + Removing timer12.o(.revsh_text), (4 bytes). + Removing gpio_i2c.o(.rev16_text), (4 bytes). + Removing gpio_i2c.o(.revsh_text), (4 bytes). + Removing gpio_i2c.o(i.GPIO_I2C0_Read), (148 bytes). + Removing gpio_i2c.o(i.GPIO_I2C0_Start), (76 bytes). + Removing gpio_i2c.o(i.GPIO_I2C0_Stop), (64 bytes). + Removing gpio_i2c.o(i.GPIO_I2C0_Write), (148 bytes). + Removing gpio_i2c.o(i.GPIO_I2C1_Read), (148 bytes). + Removing gpio_i2c.o(i.GPIO_I2C1_Start), (76 bytes). + Removing gpio_i2c.o(i.GPIO_I2C1_Stop), (64 bytes). + Removing gpio_i2c.o(i.GPIO_I2C1_Write), (148 bytes). + Removing gpio_i2c.o(i.I2C0_Read), (60 bytes). + Removing gpio_i2c.o(i.I2C0_Write), (46 bytes). + Removing gpio_i2c.o(i.I2C1_Read), (60 bytes). + Removing gpio_i2c.o(i.I2C1_Write), (46 bytes). + Removing spi10.o(.rev16_text), (4 bytes). + Removing spi10.o(.revsh_text), (4 bytes). + Removing spi10.o(i.SPI10_SendReceiveByte), (48 bytes). + Removing spi10.o(i.SPI10_SendRecv), (60 bytes). + Removing spi10.o(i.SPI10_Transmit), (60 bytes). + Removing save_file.o(.rev16_text), (4 bytes). + Removing save_file.o(.revsh_text), (4 bytes). + Removing save_file.o(i.Save_SensorData_SDCard), (4 bytes). + Removing save_file.o(.bss), (1628 bytes). + Removing save_file.o(.data), (18 bytes). + Removing driver_ds3231.o(i.ds3231_aging_offset_convert_to_data), (52 bytes). + Removing driver_ds3231.o(i.ds3231_alarm_clear), (160 bytes). + Removing driver_ds3231.o(i.ds3231_get_32khz_output), (100 bytes). + Removing driver_ds3231.o(i.ds3231_get_aging_offset), (88 bytes). + Removing driver_ds3231.o(i.ds3231_get_alarm1), (320 bytes). + Removing driver_ds3231.o(i.ds3231_get_alarm2), (300 bytes). + Removing driver_ds3231.o(i.ds3231_get_alarm_interrupt), (104 bytes). + Removing driver_ds3231.o(i.ds3231_get_oscillator), (100 bytes). + Removing driver_ds3231.o(i.ds3231_get_pin), (100 bytes). + Removing driver_ds3231.o(i.ds3231_get_reg), (54 bytes). + Removing driver_ds3231.o(i.ds3231_get_square_wave), (100 bytes). + Removing driver_ds3231.o(i.ds3231_get_status), (88 bytes). + Removing driver_ds3231.o(i.ds3231_get_temperature), (444 bytes). + Removing driver_ds3231.o(i.ds3231_info), (152 bytes). + Removing driver_ds3231.o(i.ds3231_irq_handler), (136 bytes). + Removing driver_ds3231.o(i.ds3231_set_alarm1), (944 bytes). + Removing driver_ds3231.o(i.ds3231_set_alarm2), (788 bytes). + Removing driver_ds3231.o(i.ds3231_set_reg), (54 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_deinit), (24 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_get_ascii_time), (228 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_get_temperature), (32 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_get_timestamp), (144 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_get_timestamp_time_zone), (20 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_set_timestamp), (128 bytes). + Removing driver_ds3231_basic.o(i.ds3231_basic_set_timestamp_time_zone), (16 bytes). + Removing driver_ds3231_basic.o(.data), (1 bytes). + Removing driver_ds3231_interface_template.o(.rev16_text), (4 bytes). + Removing driver_ds3231_interface_template.o(.revsh_text), (4 bytes). + Removing rtc_process.o(.rev16_text), (4 bytes). + Removing rtc_process.o(.revsh_text), (4 bytes). + Removing rtc_process.o(i.RTC_Get_Time), (20 bytes). + Removing action_process.o(.rev16_text), (4 bytes). + Removing action_process.o(.revsh_text), (4 bytes). + Removing action_process.o(.bss), (12 bytes). + Removing eeprom.o(.rev16_text), (4 bytes). + Removing eeprom.o(.revsh_text), (4 bytes). + Removing eeprom.o(i.EEPROM_Read_Mode), (144 bytes). + Removing eeprom.o(i.EEPROM_Write_Mode), (156 bytes). + Removing eeprom.o(i.FlashMem_Do_PageEr), (20 bytes). + Removing eeprom.o(i.FlashMem_Do_PageWt), (24 bytes). + Removing eeprom.o(.bss), (128 bytes). + Removing buzzer.o(.rev16_text), (4 bytes). + Removing buzzer.o(.revsh_text), (4 bytes). + Removing gpio_sensor.o(.rev16_text), (4 bytes). + Removing gpio_sensor.o(.revsh_text), (4 bytes). + Removing segment.o(.rev16_text), (4 bytes). + Removing segment.o(.revsh_text), (4 bytes). + Removing segment.o(i.Segment_All_Set_Data), (44 bytes). + Removing segment.o(i.Segment_In_Sensor_Error), (140 bytes). + Removing segment.o(i.Segment_In_Set_Humidity), (96 bytes). + Removing segment.o(i.Segment_In_Set_PM_10), (332 bytes). + Removing segment.o(i.Segment_In_Set_PM_1p0), (348 bytes). + Removing segment.o(i.Segment_In_Set_PM_2p5), (336 bytes). + Removing segment.o(i.Segment_In_Set_PM_4p0), (348 bytes). + Removing segment.o(i.Segment_In_Set_Temperature), (172 bytes). + Removing segment.o(i.Segment_Out_Sensor_Error), (148 bytes). + Removing segment.o(i.Segment_Out_Set_Humidity), (100 bytes). + Removing segment.o(i.Segment_Out_Set_PM_10), (344 bytes). + Removing segment.o(i.Segment_Out_Set_PM_1p0), (352 bytes). + Removing segment.o(i.Segment_Out_Set_PM_2p5), (344 bytes). + Removing segment.o(i.Segment_Out_Set_PM_4p0), (352 bytes). + Removing segment.o(i.Segment_Out_Set_Temperature), (172 bytes). + Removing segment.o(i.Segment_Show_Mode), (88 bytes). + Removing segment.o(i.Segment_Show_Version), (148 bytes). + Removing segment.o(.constdata), (38 bytes). + Removing uart_packet.o(.rev16_text), (4 bytes). + Removing uart_packet.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_adc.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_adc.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_ChannelSel), (28 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_ClearStatus), (22 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_ConfigInterrupt), (38 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_DeInit), (30 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_GetData), (12 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_GetStatus), (10 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_Init), (66 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_Start), (24 bytes). + Removing a31g12x_hal_adc.o(i.HAL_ADC_Stop), (24 bytes). + Removing a31g12x_hal_crc.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_crc.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_crc.o(i.HAL_CRC_ConfigAutoMode), (160 bytes). + Removing a31g12x_hal_crc.o(i.HAL_CRC_ConfigUserMode), (48 bytes). + Removing a31g12x_hal_crc.o(i.HAL_CRC_DeInit), (24 bytes). + Removing a31g12x_hal_crc.o(i.HAL_CRC_SetAddress), (40 bytes). + Removing a31g12x_hal_crc.o(i.HAL_CRC_UserInput), (76 bytes). + Removing a31g12x_hal_debug_frmwrk.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_debug_frmwrk.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTGetCh), (32 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTGetChar), (26 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutChar), (18 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutDec), (92 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutDec16), (168 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutDec32), (328 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutHex), (54 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutHex16), (54 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPutHex32), (54 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPuts), (28 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.UARTPuts_), (28 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.cprintf), (56 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.debug_frmwrk_init), (228 bytes). + Removing a31g12x_hal_debug_frmwrk.o(i.getstring), (128 bytes). + Removing a31g12x_hal_debug_frmwrk.o(.data), (44 bytes). + Removing a31g12x_hal_fmc.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_fmc.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_BulkErase), (76 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_FlashEntry), (96 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_FlashExit), (56 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_FlashFunction), (424 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_PageErase), (64 bytes). + Removing a31g12x_hal_fmc.o(i.HAL_FMC_PageWrite), (64 bytes). + Removing a31g12x_hal_fmc.o(.data), (16 bytes). + Removing a31g12x_hal_i2cn.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_i2cn.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_ConfigInterrupt), (128 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_DeInit), (88 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Init), (184 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_MasterTransferData), (568 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Master_GetState), (32 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Master_IRQHandler_IT), (328 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Master_Receive), (30 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Master_Transmit), (30 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_SlaveTransferData), (204 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_GetState), (32 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_IRQHandler_IT), (172 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_Receive), (30 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_SetAddress1), (34 bytes). + Removing a31g12x_hal_i2cn.o(i.HAL_I2C_Slave_SetAddress2), (34 bytes). + Removing a31g12x_hal_i2cn.o(i.I2Cn_MWait), (106 bytes). + Removing a31g12x_hal_i2cn.o(i.I2Cn_SWait), (114 bytes). + Removing a31g12x_hal_i2cn.o(i.I2Cn_getNum), (52 bytes). + Removing a31g12x_hal_i2cn.o(i.NVIC_ClearPendingIRQ), (20 bytes). + Removing a31g12x_hal_i2cn.o(i.NVIC_DisableIRQ), (20 bytes). + Removing a31g12x_hal_i2cn.o(i.NVIC_EnableIRQ), (20 bytes). + Removing a31g12x_hal_i2cn.o(.bss), (96 bytes). + Removing a31g12x_hal_i2cn.o(.data), (6 bytes). + Removing a31g12x_hal_intc.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_intc.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntCfg), (288 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPB_ClearIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPB_GetIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPC_ClearIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPC_GetIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPE_ClearIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPE_GetIntStatus), (12 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EIntPx_SetReg), (184 bytes). + Removing a31g12x_hal_intc.o(i.HAL_INT_EInt_MaskEnable), (16 bytes). + Removing a31g12x_hal_lcd.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_lcd.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_lcd.o(i.HAL_LCD_ClearDspRam), (28 bytes). + Removing a31g12x_hal_lcd.o(i.HAL_LCD_Init), (60 bytes). + Removing a31g12x_hal_lcd.o(i.HAL_LCD_SetRegister), (16 bytes). + Removing a31g12x_hal_lcd.o(i.HAL_LCD_WriteDspRam), (44 bytes). + Removing a31g12x_hal_pcu.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_pcu.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutDataMask), (26 bytes). + Removing a31g12x_hal_pcu.o(i.HAL_GPIO_Init), (18 bytes). + Removing a31g12x_hal_pcu.o(i.HAL_GPIO_TogglePin), (34 bytes). + Removing a31g12x_hal_pcu.o(i.HAL_GPIO_WritePin), (4 bytes). + Removing a31g12x_hal_pwr.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_pwr.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_pwr.o(i.HAL_PWR_EnterPowerDownMode), (24 bytes). + Removing a31g12x_hal_pwr.o(i.HAL_PWR_EnterSleepMode), (24 bytes). + Removing a31g12x_hal_scu.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_scu.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_CLKO_PinConfig), (64 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_ClockMonitoring_Disable), (32 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_ClockOutput), (20 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_ClockSource_Config), (36 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_ClockSource_Disable), (32 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_HIRCTRM_ClockConfig), (72 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_MainXtal_PinConfig), (76 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_ClockSelection), (24 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_ResetConfig), (36 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset1), (28 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_ResetSourceStatus), (16 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_SetNMI), (12 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_SetWakupData), (12 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_SoftwareReset_Config), (28 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_SubXtal_PinConfig), (60 bytes). + Removing a31g12x_hal_scu.o(i.HAL_SCU_WDTRCTRM_ClockConfig), (108 bytes). + Removing a31g12x_hal_sculv.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_sculv.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_sculv.o(i.HAL_LVI_Init), (32 bytes). + Removing a31g12x_hal_timer1n.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_timer1n.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_timer1n.o(i.HAL_TIMER1n_DeInit), (152 bytes). + Removing a31g12x_hal_timer1n.o(i.HAL_TIMER1n_SetRegister), (18 bytes). + Removing a31g12x_hal_timer2n.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_timer2n.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_ClearCounter), (32 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_ClearStatus), (24 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_Cmd), (40 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_ConfigInterrupt), (88 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_DeInit), (76 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_GetCaptureData), (6 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_GetStatus), (8 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_Init), (348 bytes). + Removing a31g12x_hal_timer2n.o(i.HAL_TIMER2n_UpdateCountValue), (34 bytes). + Removing a31g12x_hal_timer3n.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_timer3n.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_ClearStatus_IT), (16 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_ClockPrescaler), (20 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_ConfigInterrupt), (38 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_DeInit), (52 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_GetStatus_IT), (6 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_Init), (100 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_MPWMCmd), (32 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_OutputCtrl), (44 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetADCTrigger), (18 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetADuty), (18 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetBDuty), (18 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetCDuty), (18 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetDelayTime), (44 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetHizReg), (16 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_SetPeriod), (18 bytes). + Removing a31g12x_hal_timer3n.o(i.HAL_TIMER3n_Start), (42 bytes). + Removing a31g12x_hal_uartn.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_uartn.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_CheckBusy), (20 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_DataControlConfig), (78 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_DeInit), (64 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_ForceBreak), (22 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_GetLineStatus), (10 bytes). + Removing a31g12x_hal_uartn.o(i.HAL_UART_IFDelayConfig), (20 bytes). + Removing a31g12x_hal_uartn.o(.bss), (80 bytes). + Removing a31g12x_hal_usart1n.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_usart1n.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_CheckBusy), (20 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_ConfigInterrupt), (76 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_DeInit), (112 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_GetStatus), (8 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_Receive), (114 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_Transmit), (132 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_TransmitByte), (16 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_UART_Mode_Config), (34 bytes). + Removing a31g12x_hal_usart1n.o(i.HAL_USART_USRT_Mode_Config), (42 bytes). + Removing a31g12x_hal_wdt.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_wdt.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_ClearStatus), (16 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_ConfigInterrupt), (76 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_DeInit), (20 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_GetCurrentCount), (12 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_GetStatus), (12 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_Init), (88 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_ReloadTimeCounter), (16 bytes). + Removing a31g12x_hal_wdt.o(i.HAL_WDT_Start), (48 bytes). + Removing a31g12x_hal_wt.o(.rev16_text), (4 bytes). + Removing a31g12x_hal_wt.o(.revsh_text), (4 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_ClearStatus), (20 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_ConfigInterrupt), (44 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_DeInit), (12 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_GetCurrentCount), (16 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_GetStatus), (16 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_Init), (48 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_SetRegister), (16 bytes). + Removing a31g12x_hal_wt.o(i.HAL_WT_Start), (40 bytes). + Removing startup_a31g12x.o(HEAP), (128 bytes). + Removing system_a31g12x.o(.rev16_text), (4 bytes). + Removing system_a31g12x.o(.revsh_text), (4 bytes). + Removing system_a31g12x.o(i.SystemCoreClockUpdate), (136 bytes). + Removing fatfs.o(.rev16_text), (4 bytes). + Removing fatfs.o(.revsh_text), (4 bytes). + Removing fatfs.o(i.MX_FATFS_Init), (28 bytes). + Removing fatfs.o(i.get_fattime), (4 bytes). + Removing fatfs.o(.bss), (1116 bytes). + Removing fatfs.o(.data), (5 bytes). + Removing user_diskio.o(.rev16_text), (4 bytes). + Removing user_diskio.o(.revsh_text), (4 bytes). + Removing user_diskio.o(i.USER_initialize), (12 bytes). + Removing user_diskio.o(i.USER_ioctl), (20 bytes). + Removing user_diskio.o(i.USER_read), (24 bytes). + Removing user_diskio.o(i.USER_status), (12 bytes). + Removing user_diskio.o(i.USER_write), (24 bytes). + Removing user_diskio.o(.data), (24 bytes). + Removing user_diskio_spi.o(.rev16_text), (4 bytes). + Removing user_diskio_spi.o(.revsh_text), (4 bytes). + Removing user_diskio_spi.o(i.SPI_Timer_On), (28 bytes). + Removing user_diskio_spi.o(i.SPI_Timer_Status), (36 bytes). + Removing user_diskio_spi.o(i.despiselect), (20 bytes). + Removing user_diskio_spi.o(i.rcvr_datablock), (66 bytes). + Removing user_diskio_spi.o(i.rcvr_spi_multi), (26 bytes). + Removing user_diskio_spi.o(i.send_cmd), (156 bytes). + Removing user_diskio_spi.o(i.spiselect), (42 bytes). + Removing user_diskio_spi.o(i.wait_ready), (48 bytes). + Removing user_diskio_spi.o(i.xchg_spi), (16 bytes). + Removing user_diskio_spi.o(i.xmit_datablock), (76 bytes). + Removing user_diskio_spi.o(i.xmit_spi_multi), (16 bytes). + Removing user_diskio_spi.o(.data), (12 bytes). + Removing diskio.o(.rev16_text), (4 bytes). + Removing diskio.o(.revsh_text), (4 bytes). + Removing diskio.o(i.disk_initialize), (48 bytes). + Removing diskio.o(i.disk_ioctl), (36 bytes). + Removing diskio.o(i.disk_read), (44 bytes). + Removing diskio.o(i.disk_status), (28 bytes). + Removing diskio.o(i.disk_write), (44 bytes). + Removing diskio.o(i.get_fattime), (4 bytes). + Removing ff.o(.rev16_text), (4 bytes). + Removing ff.o(.revsh_text), (4 bytes). + Removing ff.o(i.check_fs), (164 bytes). + Removing ff.o(i.chk_chr), (22 bytes). + Removing ff.o(i.chk_lock), (152 bytes). + Removing ff.o(i.clear_lock), (44 bytes). + Removing ff.o(i.clmt_clust), (70 bytes). + Removing ff.o(i.clust2sect), (40 bytes). + Removing ff.o(i.create_chain), (260 bytes). + Removing ff.o(i.create_name), (296 bytes). + Removing ff.o(i.dec_lock), (76 bytes). + Removing ff.o(i.dir_alloc), (110 bytes). + Removing ff.o(i.dir_find), (114 bytes). + Removing ff.o(i.dir_next), (444 bytes). + Removing ff.o(i.dir_read), (142 bytes). + Removing ff.o(i.dir_register), (88 bytes). + Removing ff.o(i.dir_remove), (88 bytes). + Removing ff.o(i.dir_sdi), (264 bytes). + Removing ff.o(i.enq_lock), (40 bytes). + Removing ff.o(i.f_chmod), (100 bytes). + Removing ff.o(i.f_close), (56 bytes). + Removing ff.o(i.f_closedir), (54 bytes). + Removing ff.o(i.f_getfree), (304 bytes). + Removing ff.o(i.f_gets), (84 bytes). + Removing ff.o(i.f_lseek), (1104 bytes). + Removing ff.o(i.f_mkdir), (410 bytes). + Removing ff.o(i.f_mkfs), (1728 bytes). + Removing ff.o(i.f_mount), (112 bytes). + Removing ff.o(i.f_open), (540 bytes). + Removing ff.o(i.f_opendir), (210 bytes). + Removing ff.o(i.f_printf), (672 bytes). + Removing ff.o(i.f_putc), (66 bytes). + Removing ff.o(i.f_puts), (76 bytes). + Removing ff.o(i.f_read), (660 bytes). + Removing ff.o(i.f_readdir), (106 bytes). + Removing ff.o(i.f_rename), (338 bytes). + Removing ff.o(i.f_stat), (72 bytes). + Removing ff.o(i.f_sync), (256 bytes). + Removing ff.o(i.f_truncate), (308 bytes). + Removing ff.o(i.f_unlink), (226 bytes). + Removing ff.o(i.f_utime), (102 bytes). + Removing ff.o(i.f_write), (776 bytes). + Removing ff.o(i.find_volume), (1144 bytes). + Removing ff.o(i.follow_path), (174 bytes). + Removing ff.o(i.get_fat), (260 bytes). + Removing ff.o(i.get_fileinfo), (118 bytes). + Removing ff.o(i.get_ldnumber), (76 bytes). + Removing ff.o(i.inc_lock), (228 bytes). + Removing ff.o(i.ld_clust), (38 bytes). + Removing ff.o(i.mem_cmp), (42 bytes). + Removing ff.o(i.mem_cpy), (26 bytes). + Removing ff.o(i.mem_set), (20 bytes). + Removing ff.o(i.move_window), (68 bytes). + Removing ff.o(i.put_fat), (354 bytes). + Removing ff.o(i.putc_bfd), (78 bytes). + Removing ff.o(i.remove_chain), (140 bytes). + Removing ff.o(i.st_clust), (20 bytes). + Removing ff.o(i.sync_fs), (280 bytes). + Removing ff.o(i.sync_window), (116 bytes). + Removing ff.o(i.validate), (96 bytes). + Removing ff.o(.bss), (24 bytes). + Removing ff.o(.constdata), (172 bytes). + Removing ff.o(.data), (6 bytes). + Removing ff_gen_drv.o(.rev16_text), (4 bytes). + Removing ff_gen_drv.o(.revsh_text), (4 bytes). + Removing ff_gen_drv.o(i.FATFS_GetAttachedDriversNbr), (12 bytes). + Removing ff_gen_drv.o(i.FATFS_LinkDriver), (18 bytes). + Removing ff_gen_drv.o(i.FATFS_LinkDriverEx), (84 bytes). + Removing ff_gen_drv.o(i.FATFS_UnLinkDriver), (14 bytes). + Removing ff_gen_drv.o(i.FATFS_UnLinkDriverEx), (68 bytes). + Removing ff_gen_drv.o(.bss), (12 bytes). + Removing fmul.o(.text), (122 bytes). + Removing fflti.o(.text), (22 bytes). + Removing dadd.o(.text), (360 bytes). + Removing dmul.o(.text), (208 bytes). + Removing ddiv.o(.text), (240 bytes). + Removing dfixul.o(.text), (64 bytes). + Removing cdrcmple.o(.text), (40 bytes). + Removing depilogue.o(.text), (190 bytes). + Removing user_diskio_spi.o(i.USER_SPI_initialize), (352 bytes). + Removing user_diskio_spi.o(i.USER_SPI_status), (20 bytes). + Removing user_diskio_spi.o(i.USER_SPI_read), (160 bytes). + Removing user_diskio_spi.o(i.USER_SPI_write), (200 bytes). + Removing user_diskio_spi.o(i.USER_SPI_ioctl), (576 bytes). + Removing depilogue.o(i.__ARM_clz), (46 bytes). + +436 unused section(s) (total 41236 bytes) removed from the image. + +============================================================================== + +Image Symbol Table + + Local Symbols + + Symbol Name Value Ov Type Size Object(Section) + + RESET 0x00000000 Section 176 startup_a31g12x.o(RESET) + ../clib/microlib/division.c 0x00000000 Number 0 idiv.o ABSOLUTE + ../clib/microlib/division.c 0x00000000 Number 0 uldiv.o ABSOLUTE + ../clib/microlib/division.c 0x00000000 Number 0 uidiv.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry8a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry12b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry12a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry7b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry7a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry11b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry11a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry10b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry10a.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry8b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry5.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry2.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry9b.o ABSOLUTE + ../clib/microlib/init/entry.s 0x00000000 Number 0 entry9a.o ABSOLUTE + ../clib/microlib/longlong.c 0x00000000 Number 0 llshl.o ABSOLUTE + ../clib/microlib/longlong.c 0x00000000 Number 0 llsshr.o ABSOLUTE + ../clib/microlib/longlong.c 0x00000000 Number 0 llushr.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printfb.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf2.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf0.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf8.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printfa.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf6.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf5.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf4.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf3.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf1.o ABSOLUTE + ../clib/microlib/printf/printf.c 0x00000000 Number 0 printf7.o ABSOLUTE + ../clib/microlib/printf/stubs.s 0x00000000 Number 0 stubs.o ABSOLUTE + ../clib/microlib/stdio/streams.c 0x00000000 Number 0 stdout.o ABSOLUTE + ../clib/microlib/string/memcpy.c 0x00000000 Number 0 memcpya.o ABSOLUTE + ../clib/microlib/string/memcpy.c 0x00000000 Number 0 memcpyb.o ABSOLUTE + ../clib/microlib/string/memset.c 0x00000000 Number 0 memseta.o ABSOLUTE + ../clib/microlib/string/strncpy.c 0x00000000 Number 0 strncpy.o ABSOLUTE + ../clib/microlib/stubs.s 0x00000000 Number 0 iusefp.o ABSOLUTE + ../clib/microlib/time/localtime.c 0x00000000 Number 0 localtime.o ABSOLUTE + ../clib/microlib/time/localtime.c 0x00000000 Number 0 localtime_i.o ABSOLUTE + ../clib/microlib/time/localtime.c 0x00000000 Number 0 localtime_w.o ABSOLUTE + ../clib/microlib/time/mktime.c 0x00000000 Number 0 mktime.o ABSOLUTE + ../fplib/microlib/fpadd.c 0x00000000 Number 0 dadd.o ABSOLUTE + ../fplib/microlib/fpdiv.c 0x00000000 Number 0 fdiv.o ABSOLUTE + ../fplib/microlib/fpdiv.c 0x00000000 Number 0 ddiv.o ABSOLUTE + ../fplib/microlib/fpepilogue.c 0x00000000 Number 0 depilogue.o ABSOLUTE + ../fplib/microlib/fpepilogue.c 0x00000000 Number 0 fepilogue.o ABSOLUTE + ../fplib/microlib/fpfix.c 0x00000000 Number 0 dfixul.o ABSOLUTE + ../fplib/microlib/fpfix.c 0x00000000 Number 0 ffixi.o ABSOLUTE + ../fplib/microlib/fpflt.c 0x00000000 Number 0 fflti.o ABSOLUTE + ../fplib/microlib/fpmul.c 0x00000000 Number 0 dmul.o ABSOLUTE + ../fplib/microlib/fpmul.c 0x00000000 Number 0 fmul.o ABSOLUTE + Application\A31G12x_Interrupt.c 0x00000000 Number 0 a31g12x_interrupt.o ABSOLUTE + Application\A31G12x_SystemClock.c 0x00000000 Number 0 a31g12x_systemclock.o ABSOLUTE + Application\FATFS\App\fatfs.c 0x00000000 Number 0 fatfs.o ABSOLUTE + Application\FATFS\Target\user_diskio.c 0x00000000 Number 0 user_diskio.o ABSOLUTE + Application\FATFS\Target\user_diskio_spi.c 0x00000000 Number 0 user_diskio_spi.o ABSOLUTE + Application\Middlewares\Third_Party\FatFs\src\diskio.c 0x00000000 Number 0 diskio.o ABSOLUTE + Application\Middlewares\Third_Party\FatFs\src\ff.c 0x00000000 Number 0 ff.o ABSOLUTE + Application\Middlewares\Third_Party\FatFs\src\ff_gen_drv.c 0x00000000 Number 0 ff_gen_drv.o ABSOLUTE + Application\\A31G12x_SystemClock.c 0x00000000 Number 0 a31g12x_systemclock.o ABSOLUTE + Application\\FATFS\\App\\fatfs.c 0x00000000 Number 0 fatfs.o ABSOLUTE + Application\\FATFS\\Target\\user_diskio.c 0x00000000 Number 0 user_diskio.o ABSOLUTE + Application\\FATFS\\Target\\user_diskio_spi.c 0x00000000 Number 0 user_diskio_spi.o ABSOLUTE + Application\\Middlewares\\Third_Party\\FatFs\\src\\diskio.c 0x00000000 Number 0 diskio.o ABSOLUTE + Application\\Middlewares\\Third_Party\\FatFs\\src\\ff.c 0x00000000 Number 0 ff.o ABSOLUTE + Application\\Middlewares\\Third_Party\\FatFs\\src\\ff_gen_drv.c 0x00000000 Number 0 ff_gen_drv.o ABSOLUTE + Application\\action_process.c 0x00000000 Number 0 action_process.o ABSOLUTE + Application\\buzzer.c 0x00000000 Number 0 buzzer.o ABSOLUTE + Application\\dbg_printf.c 0x00000000 Number 0 dbg_printf.o ABSOLUTE + Application\\driver_ds3231_interface_template.c 0x00000000 Number 0 driver_ds3231_interface_template.o ABSOLUTE + Application\\eeprom.c 0x00000000 Number 0 eeprom.o ABSOLUTE + Application\\gpio_i2c.c 0x00000000 Number 0 gpio_i2c.o ABSOLUTE + Application\\gpio_sensor.c 0x00000000 Number 0 gpio_sensor.o ABSOLUTE + Application\\gpio_state_led.c 0x00000000 Number 0 gpio_state_led.o ABSOLUTE + Application\\gpio_switch.c 0x00000000 Number 0 gpio_switch.o ABSOLUTE + Application\\main.c 0x00000000 Number 0 main.o ABSOLUTE + Application\\ring_buffer.c 0x00000000 Number 0 ring_buffer.o ABSOLUTE + Application\\rtc_process.c 0x00000000 Number 0 rtc_process.o ABSOLUTE + Application\\save_file.c 0x00000000 Number 0 save_file.o ABSOLUTE + Application\\segment.c 0x00000000 Number 0 segment.o ABSOLUTE + Application\\segment_74hc595d.c 0x00000000 Number 0 segment_74hc595d.o ABSOLUTE + Application\\spi10.c 0x00000000 Number 0 spi10.o ABSOLUTE + Application\\sw_timer.c 0x00000000 Number 0 sw_timer.o ABSOLUTE + Application\\systick_timer.c 0x00000000 Number 0 systick_timer.o ABSOLUTE + Application\\timer12.c 0x00000000 Number 0 timer12.o ABSOLUTE + Application\\uart1.c 0x00000000 Number 0 uart1.o ABSOLUTE + Application\\uart_packet.c 0x00000000 Number 0 uart_packet.o ABSOLUTE + Application\action_process.c 0x00000000 Number 0 action_process.o ABSOLUTE + Application\buzzer.c 0x00000000 Number 0 buzzer.o ABSOLUTE + Application\dbg_printf.c 0x00000000 Number 0 dbg_printf.o ABSOLUTE + Application\driver_ds3231.c 0x00000000 Number 0 driver_ds3231.o ABSOLUTE + Application\driver_ds3231_basic.c 0x00000000 Number 0 driver_ds3231_basic.o ABSOLUTE + Application\driver_ds3231_interface_template.c 0x00000000 Number 0 driver_ds3231_interface_template.o ABSOLUTE + Application\eeprom.c 0x00000000 Number 0 eeprom.o ABSOLUTE + Application\gpio_i2c.c 0x00000000 Number 0 gpio_i2c.o ABSOLUTE + Application\gpio_sensor.c 0x00000000 Number 0 gpio_sensor.o ABSOLUTE + Application\gpio_state_led.c 0x00000000 Number 0 gpio_state_led.o ABSOLUTE + Application\gpio_switch.c 0x00000000 Number 0 gpio_switch.o ABSOLUTE + Application\main.c 0x00000000 Number 0 main.o ABSOLUTE + Application\ring_buffer.c 0x00000000 Number 0 ring_buffer.o ABSOLUTE + Application\rtc_process.c 0x00000000 Number 0 rtc_process.o ABSOLUTE + Application\save_file.c 0x00000000 Number 0 save_file.o ABSOLUTE + Application\segment.c 0x00000000 Number 0 segment.o ABSOLUTE + Application\segment_74hc595d.c 0x00000000 Number 0 segment_74hc595d.o ABSOLUTE + Application\spi10.c 0x00000000 Number 0 spi10.o ABSOLUTE + Application\sw_timer.c 0x00000000 Number 0 sw_timer.o ABSOLUTE + Application\systick_timer.c 0x00000000 Number 0 systick_timer.o ABSOLUTE + Application\timer12.c 0x00000000 Number 0 timer12.o ABSOLUTE + Application\uart1.c 0x00000000 Number 0 uart1.o ABSOLUTE + Application\uart_packet.c 0x00000000 Number 0 uart_packet.o ABSOLUTE + SDK_V2_5_0\Device\Startup\startup_A31G12x.s 0x00000000 Number 0 startup_a31g12x.o ABSOLUTE + SDK_V2_5_0\Device\Startup\system_A31G12x.c 0x00000000 Number 0 system_a31g12x.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_adc.c 0x00000000 Number 0 a31g12x_hal_adc.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_crc.c 0x00000000 Number 0 a31g12x_hal_crc.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_debug_frmwrk.c 0x00000000 Number 0 a31g12x_hal_debug_frmwrk.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_fmc.c 0x00000000 Number 0 a31g12x_hal_fmc.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_i2cn.c 0x00000000 Number 0 a31g12x_hal_i2cn.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_intc.c 0x00000000 Number 0 a31g12x_hal_intc.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_lcd.c 0x00000000 Number 0 a31g12x_hal_lcd.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_pcu.c 0x00000000 Number 0 a31g12x_hal_pcu.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_pwr.c 0x00000000 Number 0 a31g12x_hal_pwr.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_scu.c 0x00000000 Number 0 a31g12x_hal_scu.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_sculv.c 0x00000000 Number 0 a31g12x_hal_sculv.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer1n.c 0x00000000 Number 0 a31g12x_hal_timer1n.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer2n.c 0x00000000 Number 0 a31g12x_hal_timer2n.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_timer3n.c 0x00000000 Number 0 a31g12x_hal_timer3n.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_uartn.c 0x00000000 Number 0 a31g12x_hal_uartn.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_usart1n.c 0x00000000 Number 0 a31g12x_hal_usart1n.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_wdt.c 0x00000000 Number 0 a31g12x_hal_wdt.o ABSOLUTE + SDK_V2_5_0\Drivers\Source\A31G12x_hal_wt.c 0x00000000 Number 0 a31g12x_hal_wt.o ABSOLUTE + SDK_V2_5_0\Option\option_A31G12x.s 0x00000000 Number 0 option_a31g12x.o ABSOLUTE + SDK_V2_5_0\\Device\\Startup\\system_A31G12x.c 0x00000000 Number 0 system_a31g12x.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_adc.c 0x00000000 Number 0 a31g12x_hal_adc.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_crc.c 0x00000000 Number 0 a31g12x_hal_crc.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_debug_frmwrk.c 0x00000000 Number 0 a31g12x_hal_debug_frmwrk.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_fmc.c 0x00000000 Number 0 a31g12x_hal_fmc.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_i2cn.c 0x00000000 Number 0 a31g12x_hal_i2cn.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_intc.c 0x00000000 Number 0 a31g12x_hal_intc.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_lcd.c 0x00000000 Number 0 a31g12x_hal_lcd.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_pcu.c 0x00000000 Number 0 a31g12x_hal_pcu.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_pwr.c 0x00000000 Number 0 a31g12x_hal_pwr.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_scu.c 0x00000000 Number 0 a31g12x_hal_scu.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_sculv.c 0x00000000 Number 0 a31g12x_hal_sculv.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_timer1n.c 0x00000000 Number 0 a31g12x_hal_timer1n.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_timer2n.c 0x00000000 Number 0 a31g12x_hal_timer2n.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_timer3n.c 0x00000000 Number 0 a31g12x_hal_timer3n.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_uartn.c 0x00000000 Number 0 a31g12x_hal_uartn.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_usart1n.c 0x00000000 Number 0 a31g12x_hal_usart1n.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_wdt.c 0x00000000 Number 0 a31g12x_hal_wdt.o ABSOLUTE + SDK_V2_5_0\\Drivers\\Source\\A31G12x_hal_wt.c 0x00000000 Number 0 a31g12x_hal_wt.o ABSOLUTE + cdrcmple.s 0x00000000 Number 0 cdrcmple.o ABSOLUTE + dc.s 0x00000000 Number 0 dc.o ABSOLUTE + handlers.s 0x00000000 Number 0 handlers.o ABSOLUTE + init.s 0x00000000 Number 0 init.o ABSOLUTE + .ARM.Collect$$$$00000000 0x000000b0 Section 0 entry.o(.ARM.Collect$$$$00000000) + .ARM.Collect$$$$00000001 0x000000b0 Section 4 entry2.o(.ARM.Collect$$$$00000001) + .ARM.Collect$$$$00000004 0x000000b4 Section 4 entry5.o(.ARM.Collect$$$$00000004) + .ARM.Collect$$$$00000008 0x000000b8 Section 0 entry7b.o(.ARM.Collect$$$$00000008) + .ARM.Collect$$$$0000000A 0x000000b8 Section 0 entry8b.o(.ARM.Collect$$$$0000000A) + .ARM.Collect$$$$0000000B 0x000000b8 Section 8 entry9a.o(.ARM.Collect$$$$0000000B) + .ARM.Collect$$$$0000000E 0x000000c0 Section 4 entry12b.o(.ARM.Collect$$$$0000000E) + .ARM.Collect$$$$0000000F 0x000000c4 Section 0 entry10a.o(.ARM.Collect$$$$0000000F) + .ARM.Collect$$$$00000011 0x000000c4 Section 0 entry11a.o(.ARM.Collect$$$$00000011) + .ARM.Collect$$$$00002712 0x000000c4 Section 4 entry2.o(.ARM.Collect$$$$00002712) + __lit__00000000 0x000000c4 Data 4 entry2.o(.ARM.Collect$$$$00002712) + .text 0x000000c8 Section 28 startup_a31g12x.o(.text) + .text 0x000000e4 Section 0 uidiv.o(.text) + .text 0x00000110 Section 0 idiv.o(.text) + .text 0x00000138 Section 0 memcpya.o(.text) + .text 0x0000015c Section 0 memseta.o(.text) + .text 0x00000180 Section 0 fdiv.o(.text) + .text 0x000001fc Section 0 ffixi.o(.text) + .text 0x0000022e Section 0 iusefp.o(.text) + .text 0x0000022e Section 0 fepilogue.o(.text) + .text 0x000002b0 Section 36 init.o(.text) + i.Action_Initialization 0x000002d4 Section 0 action_process.o(i.Action_Initialization) + i.Action_Process 0x000002e8 Section 0 action_process.o(i.Action_Process) + Action_Process 0x000002e9 Thumb Code 2 action_process.o(i.Action_Process) + i.Buzzer_Initialization 0x000002ec Section 0 buzzer.o(i.Buzzer_Initialization) + i.Buzzer_On 0x00000318 Section 0 buzzer.o(i.Buzzer_On) + i.Buzzer_Output_Process 0x0000033c Section 0 buzzer.o(i.Buzzer_Output_Process) + Buzzer_Output_Process 0x0000033d Thumb Code 64 buzzer.o(i.Buzzer_Output_Process) + i.Delay_I2C_Delay 0x0000038c Section 0 gpio_i2c.o(i.Delay_I2C_Delay) + i.Delay_ms 0x0000039c Section 0 systick_timer.o(i.Delay_ms) + i.FlashMEM_Clock_Initialization 0x000003b0 Section 0 eeprom.o(i.FlashMEM_Clock_Initialization) + i.GPIO_I2C0_Initialization 0x000003c0 Section 0 gpio_i2c.o(i.GPIO_I2C0_Initialization) + i.GPIO_I2C1_Initialization 0x00000400 Section 0 gpio_i2c.o(i.GPIO_I2C1_Initialization) + i.GPIO_I2C2_Initialization 0x00000440 Section 0 gpio_i2c.o(i.GPIO_I2C2_Initialization) + i.GPIO_I2C2_Read 0x00000480 Section 0 gpio_i2c.o(i.GPIO_I2C2_Read) + i.GPIO_I2C2_Start 0x00000514 Section 0 gpio_i2c.o(i.GPIO_I2C2_Start) + i.GPIO_I2C2_Stop 0x00000560 Section 0 gpio_i2c.o(i.GPIO_I2C2_Stop) + i.GPIO_I2C2_Write 0x000005a0 Section 0 gpio_i2c.o(i.GPIO_I2C2_Write) + i.Gpio_Sensor_PWR_Initialization 0x00000634 Section 0 gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) + i.Gpio_StateLed_Initialization 0x00000658 Section 0 gpio_state_led.o(i.Gpio_StateLed_Initialization) + i.Gpio_StateLed_Set_Mode 0x00000688 Section 0 gpio_state_led.o(i.Gpio_StateLed_Set_Mode) + i.Gpio_Switch_Check_Process 0x000006bc Section 0 gpio_switch.o(i.Gpio_Switch_Check_Process) + Gpio_Switch_Check_Process 0x000006bd Thumb Code 314 gpio_switch.o(i.Gpio_Switch_Check_Process) + i.Gpio_Switch_Port_Initialization 0x000007fc Section 0 gpio_switch.o(i.Gpio_Switch_Port_Initialization) + i.HAL_CRC_Init 0x00000834 Section 0 a31g12x_hal_crc.o(i.HAL_CRC_Init) + i.HAL_GPIO_ClearPin 0x00000842 Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) + i.HAL_GPIO_ConfigFunction 0x00000846 Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigFunction) + i.HAL_GPIO_ConfigOutput 0x0000088a Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) + i.HAL_GPIO_ConfigPullup 0x000008d0 Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) + i.HAL_GPIO_ReadPin 0x000008ee Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_ReadPin) + i.HAL_GPIO_SetDebouncePin 0x000008f6 Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_SetDebouncePin) + i.HAL_GPIO_SetPin 0x0000090c Section 0 a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) + i.HAL_INT_EInt_MaskDisable 0x00000910 Section 0 a31g12x_hal_intc.o(i.HAL_INT_EInt_MaskDisable) + i.HAL_SCU_ClockMonitoring 0x00000920 Section 0 a31g12x_hal_scu.o(i.HAL_SCU_ClockMonitoring) + i.HAL_SCU_ClockSource_Enable 0x0000095c Section 0 a31g12x_hal_scu.o(i.HAL_SCU_ClockSource_Enable) + i.HAL_SCU_Peripheral_ClockConfig 0x00000980 Section 0 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_ClockConfig) + i.HAL_SCU_Peripheral_EnableClock1 0x0000098c Section 0 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock1) + i.HAL_SCU_Peripheral_EnableClock2 0x000009ac Section 0 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) + i.HAL_SCU_Peripheral_SetReset2 0x000009cc Section 0 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) + i.HAL_SCU_SystemClockChange 0x000009e8 Section 0 a31g12x_hal_scu.o(i.HAL_SCU_SystemClockChange) + i.HAL_SCU_SystemClockDivider 0x000009fc Section 0 a31g12x_hal_scu.o(i.HAL_SCU_SystemClockDivider) + i.HAL_TIMER1n_Cmd 0x00000a08 Section 0 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Cmd) + i.HAL_TIMER1n_ConfigInterrupt 0x00000a2e Section 0 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_ConfigInterrupt) + i.HAL_TIMER1n_Init 0x00000a68 Section 0 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Init) + i.HAL_UART_ConfigInterrupt 0x00000bd0 Section 0 a31g12x_hal_uartn.o(i.HAL_UART_ConfigInterrupt) + i.HAL_UART_ConfigStructInit 0x00000c1c Section 0 a31g12x_hal_uartn.o(i.HAL_UART_ConfigStructInit) + i.HAL_UART_Init 0x00000c3c Section 0 a31g12x_hal_uartn.o(i.HAL_UART_Init) + i.HAL_UART_Receive 0x00000d40 Section 0 a31g12x_hal_uartn.o(i.HAL_UART_Receive) + i.HAL_UART_ReceiveByte 0x00000db4 Section 0 a31g12x_hal_uartn.o(i.HAL_UART_ReceiveByte) + i.HAL_UART_Transmit 0x00000dbc Section 0 a31g12x_hal_uartn.o(i.HAL_UART_Transmit) + i.HAL_UART_TransmitByte 0x00000e3c Section 0 a31g12x_hal_uartn.o(i.HAL_UART_TransmitByte) + i.HAL_USART_ClearStatus 0x00000e4c Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_ClearStatus) + i.HAL_USART_DataControlConfig 0x00000e86 Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_DataControlConfig) + i.HAL_USART_Enable 0x00000ef0 Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_Enable) + i.HAL_USART_Init 0x00000f18 Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_Init) + i.HAL_USART_ReceiveByte 0x0000100c Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_ReceiveByte) + i.HAL_USART_SPI_Mode_Config 0x00001014 Section 0 a31g12x_hal_usart1n.o(i.HAL_USART_SPI_Mode_Config) + i.HardFault_Handler 0x0000103c Section 0 a31g12x_interrupt.o(i.HardFault_Handler) + i.I2C2_Read 0x00001040 Section 0 gpio_i2c.o(i.I2C2_Read) + i.I2C2_Write 0x0000107c Section 0 gpio_i2c.o(i.I2C2_Write) + i.NMI_Handler 0x000010aa Section 0 a31g12x_interrupt.o(i.NMI_Handler) + i.NVIC_SetPriority 0x000010ac Section 0 a31g12x_systemclock.o(i.NVIC_SetPriority) + NVIC_SetPriority 0x000010ad Thumb Code 110 a31g12x_systemclock.o(i.NVIC_SetPriority) + i.NVIC_SetPriority 0x00001124 Section 0 uart1.o(i.NVIC_SetPriority) + NVIC_SetPriority 0x00001125 Thumb Code 110 uart1.o(i.NVIC_SetPriority) + i.NVIC_SetPriority 0x0000119c Section 0 timer12.o(i.NVIC_SetPriority) + NVIC_SetPriority 0x0000119d Thumb Code 110 timer12.o(i.NVIC_SetPriority) + i.PendSV_Handler 0x00001214 Section 0 a31g12x_interrupt.o(i.PendSV_Handler) + i.RTC_Get_IC_Time_Process 0x00001218 Section 0 rtc_process.o(i.RTC_Get_IC_Time_Process) + RTC_Get_IC_Time_Process 0x00001219 Thumb Code 84 rtc_process.o(i.RTC_Get_IC_Time_Process) + i.RTC_Process_Initialization 0x00001270 Section 0 rtc_process.o(i.RTC_Process_Initialization) + i.RingBuffer_Dequeue 0x00001288 Section 0 ring_buffer.o(i.RingBuffer_Dequeue) + i.RingBuffer_Enqueue 0x000012c6 Section 0 ring_buffer.o(i.RingBuffer_Enqueue) + i.RingBuffer_GetData 0x00001306 Section 0 ring_buffer.o(i.RingBuffer_GetData) + i.RingBuffer_Get_DataSize 0x00001314 Section 0 ring_buffer.o(i.RingBuffer_Get_DataSize) + i.RingBuffer_Initialization 0x00001346 Section 0 ring_buffer.o(i.RingBuffer_Initialization) + i.RingBuffer_PopData 0x0000136c Section 0 ring_buffer.o(i.RingBuffer_PopData) + i.RingBuffer_isEmpty 0x00001392 Section 0 ring_buffer.o(i.RingBuffer_isEmpty) + i.RingBuffer_isFull 0x000013a4 Section 0 ring_buffer.o(i.RingBuffer_isFull) + i.SPI10_Initialization 0x000013c8 Section 0 spi10.o(i.SPI10_Initialization) + i.SVC_Handler 0x000014bc Section 0 a31g12x_interrupt.o(i.SVC_Handler) + i.SW_Timer_Callback_Process 0x000014c0 Section 0 sw_timer.o(i.SW_Timer_Callback_Process) + i.SW_Timer_Callback_Register 0x00001540 Section 0 sw_timer.o(i.SW_Timer_Callback_Register) + i.Segemet_Output_Process 0x00001594 Section 0 segment_74hc595d.o(i.Segemet_Output_Process) + Segemet_Output_Process 0x00001595 Thumb Code 388 segment_74hc595d.o(i.Segemet_Output_Process) + i.Segment_Initialization 0x00001738 Section 0 segment_74hc595d.o(i.Segment_Initialization) + i.Segment_Output_Data 0x000017bc Section 0 segment_74hc595d.o(i.Segment_Output_Data) + Segment_Output_Data 0x000017bd Thumb Code 190 segment_74hc595d.o(i.Segment_Output_Data) + i.State_Led_Output_Process 0x00001884 Section 0 gpio_state_led.o(i.State_Led_Output_Process) + State_Led_Output_Process 0x00001885 Thumb Code 128 gpio_state_led.o(i.State_Led_Output_Process) + i.SysTick_Handler 0x00001914 Section 0 systick_timer.o(i.SysTick_Handler) + i.SystemInit 0x0000193c Section 0 system_a31g12x.o(i.SystemInit) + i.System_Clock_Initialization 0x00001960 Section 0 a31g12x_systemclock.o(i.System_Clock_Initialization) + i.Systick_Initialization 0x000019b0 Section 0 a31g12x_systemclock.o(i.Systick_Initialization) + i.TIMER12_Handler 0x00001a08 Section 0 timer12.o(i.TIMER12_Handler) + i.Timer12_Initialization 0x00001a3c Section 0 timer12.o(i.Timer12_Initialization) + i.Timer12_Set_Match_Interrupt_Callback 0x00001a98 Section 0 timer12.o(i.Timer12_Set_Match_Interrupt_Callback) + i.UART1_Handler 0x00001aa4 Section 0 uart1.o(i.UART1_Handler) + i.Uart1_Get_RecvData 0x00001acc Section 0 uart1.o(i.Uart1_Get_RecvData) + i.Uart1_Get_RecvDataCount 0x00001ae0 Section 0 uart1.o(i.Uart1_Get_RecvDataCount) + i.Uart1_Initialization 0x00001af0 Section 0 uart1.o(i.Uart1_Initialization) + i.Uart1_Receive_Handler 0x00001bb0 Section 0 uart1.o(i.Uart1_Receive_Handler) + Uart1_Receive_Handler 0x00001bb1 Thumb Code 42 uart1.o(i.Uart1_Receive_Handler) + i.Uart1_Transmit_Process 0x00001be4 Section 0 uart1.o(i.Uart1_Transmit_Process) + Uart1_Transmit_Process 0x00001be5 Thumb Code 46 uart1.o(i.Uart1_Transmit_Process) + i.Uart_Packet_Initialization 0x00001c1c Section 0 uart_packet.o(i.Uart_Packet_Initialization) + i.Uart_Packet_Make_Process 0x00001c38 Section 0 uart_packet.o(i.Uart_Packet_Make_Process) + Uart_Packet_Make_Process 0x00001c39 Thumb Code 308 uart_packet.o(i.Uart_Packet_Make_Process) + i.Uart_Packet_Process 0x00001d7c Section 0 uart_packet.o(i.Uart_Packet_Process) + Uart_Packet_Process 0x00001d7d Thumb Code 150 uart_packet.o(i.Uart_Packet_Process) + i.__ARM_common_switch8 0x00001e12 Section 0 segment_74hc595d.o(i.__ARM_common_switch8) + i.__scatterload_copy 0x00001e2e Section 14 handlers.o(i.__scatterload_copy) + i.__scatterload_null 0x00001e3c Section 2 handlers.o(i.__scatterload_null) + i.__scatterload_zeroinit 0x00001e3e Section 14 handlers.o(i.__scatterload_zeroinit) + i.a_ds3231_bcd2hex 0x00001e4c Section 0 driver_ds3231.o(i.a_ds3231_bcd2hex) + a_ds3231_bcd2hex 0x00001e4d Thumb Code 20 driver_ds3231.o(i.a_ds3231_bcd2hex) + i.a_ds3231_hex2bcd 0x00001e60 Section 0 driver_ds3231.o(i.a_ds3231_hex2bcd) + a_ds3231_hex2bcd 0x00001e61 Thumb Code 34 driver_ds3231.o(i.a_ds3231_hex2bcd) + i.a_ds3231_iic_multiple_read 0x00001e82 Section 0 driver_ds3231.o(i.a_ds3231_iic_multiple_read) + a_ds3231_iic_multiple_read 0x00001e83 Thumb Code 36 driver_ds3231.o(i.a_ds3231_iic_multiple_read) + i.a_ds3231_iic_write 0x00001ea6 Section 0 driver_ds3231.o(i.a_ds3231_iic_write) + a_ds3231_iic_write 0x00001ea7 Thumb Code 30 driver_ds3231.o(i.a_ds3231_iic_write) + i.ds3231_aging_offset_convert_to_register 0x00001ec4 Section 0 driver_ds3231.o(i.ds3231_aging_offset_convert_to_register) + i.ds3231_basic_get_time 0x00001ef8 Section 0 driver_ds3231_basic.o(i.ds3231_basic_get_time) + i.ds3231_basic_init 0x00001f14 Section 0 driver_ds3231_basic.o(i.ds3231_basic_init) + i.ds3231_basic_set_time 0x000021a8 Section 0 driver_ds3231_basic.o(i.ds3231_basic_set_time) + i.ds3231_deinit 0x000021c4 Section 0 driver_ds3231.o(i.ds3231_deinit) + i.ds3231_get_time 0x00002210 Section 0 driver_ds3231.o(i.ds3231_get_time) + i.ds3231_init 0x0000231c Section 0 driver_ds3231.o(i.ds3231_init) + i.ds3231_interface_debug_print 0x00002508 Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_debug_print) + i.ds3231_interface_delay_ms 0x0000250a Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_delay_ms) + i.ds3231_interface_iic_deinit 0x00002516 Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_iic_deinit) + i.ds3231_interface_iic_init 0x0000251a Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_iic_init) + i.ds3231_interface_iic_read 0x0000251e Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_iic_read) + i.ds3231_interface_iic_write 0x00002540 Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_iic_write) + i.ds3231_interface_receive_callback 0x00002570 Section 0 driver_ds3231_interface_template.o(i.ds3231_interface_receive_callback) + i.ds3231_set_32khz_output 0x000025c4 Section 0 driver_ds3231.o(i.ds3231_set_32khz_output) + i.ds3231_set_aging_offset 0x00002670 Section 0 driver_ds3231.o(i.ds3231_set_aging_offset) + i.ds3231_set_alarm_interrupt 0x000026c8 Section 0 driver_ds3231.o(i.ds3231_set_alarm_interrupt) + i.ds3231_set_oscillator 0x00002778 Section 0 driver_ds3231.o(i.ds3231_set_oscillator) + i.ds3231_set_pin 0x0000282c Section 0 driver_ds3231.o(i.ds3231_set_pin) + i.ds3231_set_square_wave 0x000028d8 Section 0 driver_ds3231.o(i.ds3231_set_square_wave) + i.ds3231_set_time 0x00002984 Section 0 driver_ds3231.o(i.ds3231_set_time) + i.main 0x00002e98 Section 0 main.o(i.main) + i.millis 0x00002f0c Section 0 systick_timer.o(i.millis) + i.timer_test 0x00002f18 Section 0 main.o(i.timer_test) + i.uart_set_divisors 0x00002f1c Section 0 a31g12x_hal_uartn.o(i.uart_set_divisors) + uart_set_divisors 0x00002f1d Thumb Code 56 a31g12x_hal_uartn.o(i.uart_set_divisors) + i.usart_set_divisors 0x00002f58 Section 0 a31g12x_hal_usart1n.o(i.usart_set_divisors) + usart_set_divisors 0x00002f59 Thumb Code 58 a31g12x_hal_usart1n.o(i.usart_set_divisors) + .ARM.__AT_0x1FFFF200 0x1ffff200 Section 68 option_a31g12x.o(.ARM.__AT_0x1FFFF200) + .ARM.__AT_0x1FFFF400 0x1ffff400 Section 128 option_a31g12x.o(.ARM.__AT_0x1FFFF400) + .ARM.__AT_0x1FFFF600 0x1ffff600 Section 128 option_a31g12x.o(.ARM.__AT_0x1FFFF600) + .data 0x20000000 Section 8 systick_timer.o(.data) + tick_count 0x20000000 Data 4 systick_timer.o(.data) + .data 0x20000008 Section 64 gpio_state_led.o(.data) + StateLedMode 0x20000008 Data 1 gpio_state_led.o(.data) + StateLedStep 0x20000009 Data 1 gpio_state_led.o(.data) + StateLedTime 0x2000000c Data 8 gpio_state_led.o(.data) + StateLedCheckTime 0x20000014 Data 4 gpio_state_led.o(.data) + StateLedOnOffTime 0x20000018 Data 48 gpio_state_led.o(.data) + .data 0x20000048 Section 36 gpio_switch.o(.data) + KeyCheckInfo 0x20000048 Data 36 gpio_switch.o(.data) + .data 0x2000006c Section 16 segment_74hc595d.o(.data) + SegmentOutputStep 0x2000006c Data 1 segment_74hc595d.o(.data) + HC595_OutputData 0x2000006d Data 5 segment_74hc595d.o(.data) + HC595_OutputMode 0x20000072 Data 1 segment_74hc595d.o(.data) + ToggleTickCount 0x20000074 Data 4 segment_74hc595d.o(.data) + isToggle 0x20000078 Data 1 segment_74hc595d.o(.data) + temp 0x2000007b Data 1 segment_74hc595d.o(.data) + .data 0x2000007c Section 4 timer12.o(.data) + Timer12_Match_Callback 0x2000007c Data 4 timer12.o(.data) + .data 0x20000080 Section 8 rtc_process.o(.data) + rtc_Time 0x20000080 Data 8 rtc_process.o(.data) + .data 0x20000088 Section 12 buzzer.o(.data) + isBuzzerOn 0x20000088 Data 1 buzzer.o(.data) + BuzzerStartTick 0x2000008c Data 4 buzzer.o(.data) + BuzzerOnTimeCount 0x20000090 Data 4 buzzer.o(.data) + .data 0x20000094 Section 3 uart_packet.o(.data) + Pack_Index 0x20000094 Data 1 uart_packet.o(.data) + Pack_CheckSum 0x20000095 Data 1 uart_packet.o(.data) + Pack_DataLen 0x20000096 Data 1 uart_packet.o(.data) + .data 0x20000098 Section 12 a31g12x_hal_uartn.o(.data) + UARTn_BaseClock 0x20000098 Data 4 a31g12x_hal_uartn.o(.data) + .data 0x200000a4 Section 4 a31g12x_hal_usart1n.o(.data) + .data 0x200000a8 Section 28 system_a31g12x.o(.data) + .bss 0x200000c4 Section 160 sw_timer.o(.bss) + SW_Timer_Info 0x200000c4 Data 160 sw_timer.o(.bss) + .bss 0x20000164 Section 340 uart1.o(.bss) + Tx_Buffer 0x20000164 Data 200 uart1.o(.bss) + Rx_Buffer 0x2000022c Data 100 uart1.o(.bss) + RingBuffer_Tx 0x20000290 Data 20 uart1.o(.bss) + RingBuffer_Rx 0x200002a4 Data 20 uart1.o(.bss) + .bss 0x200002b8 Section 32 driver_ds3231_basic.o(.bss) + gs_handle 0x200002b8 Data 32 driver_ds3231_basic.o(.bss) + .bss 0x200002d8 Section 32 segment.o(.bss) + .bss 0x200002f8 Section 100 uart_packet.o(.bss) + Pack_Buff 0x200002f8 Data 100 uart_packet.o(.bss) + STACK 0x20000360 Section 1280 startup_a31g12x.o(STACK) + + Global Symbols + + Symbol Name Value Ov Type Size Object(Section) + + BuildAttributes$$THM_ISAv3M$S$PE$A:L22$X:L11$S22$IEEE1$IW$USESV6$~STKCKD$USESV7$~SHL$OTIME$ROPI$EBA8$MICROLIB$REQ8$PRES8$EABIv2 0x00000000 Number 0 anon$$obj.o ABSOLUTE + __ARM_use_no_argv 0x00000000 Number 0 main.o ABSOLUTE + __Vectors 0x00000000 Data 4 startup_a31g12x.o(RESET) + _printf_a 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_c 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_charcount 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_d 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_e 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_f 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_flags 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_fp_dec 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_fp_hex 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_g 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_i 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_int_dec 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_l 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_lc 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_ll 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_lld 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_lli 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_llo 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_llu 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_llx 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_longlong_dec 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_longlong_hex 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_longlong_oct 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_ls 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_mbtowc 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_n 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_o 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_p 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_percent 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_pre_padding 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_return_value 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_s 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_sizespec 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_str 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_truncate_signed 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_truncate_unsigned 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_u 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_wc 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_wctomb 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_widthprec 0x00000000 Number 0 stubs.o ABSOLUTE + _printf_x 0x00000000 Number 0 stubs.o ABSOLUTE + __arm_fini_ - Undefined Weak Reference + __cpp_initialize__aeabi_ - Undefined Weak Reference + __cxa_finalize - Undefined Weak Reference + __decompress - Undefined Weak Reference + _clock_init - Undefined Weak Reference + _microlib_exit - Undefined Weak Reference + __Vectors_End 0x000000b0 Data 0 startup_a31g12x.o(RESET) + __Vectors_Size 0x000000b0 Number 0 startup_a31g12x.o ABSOLUTE + __main 0x000000b1 Thumb Code 0 entry.o(.ARM.Collect$$$$00000000) + _main_stk 0x000000b1 Thumb Code 0 entry2.o(.ARM.Collect$$$$00000001) + _main_scatterload 0x000000b5 Thumb Code 0 entry5.o(.ARM.Collect$$$$00000004) + __main_after_scatterload 0x000000b9 Thumb Code 0 entry5.o(.ARM.Collect$$$$00000004) + _main_clock 0x000000b9 Thumb Code 0 entry7b.o(.ARM.Collect$$$$00000008) + _main_cpp_init 0x000000b9 Thumb Code 0 entry8b.o(.ARM.Collect$$$$0000000A) + _main_init 0x000000b9 Thumb Code 0 entry9a.o(.ARM.Collect$$$$0000000B) + __rt_lib_shutdown_fini 0x000000c1 Thumb Code 0 entry12b.o(.ARM.Collect$$$$0000000E) + __rt_final_cpp 0x000000c5 Thumb Code 0 entry10a.o(.ARM.Collect$$$$0000000F) + __rt_final_exit 0x000000c5 Thumb Code 0 entry11a.o(.ARM.Collect$$$$00000011) + Reset_Handler 0x000000c9 Thumb Code 8 startup_a31g12x.o(.text) + ADC_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + EINT0_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + EINT1_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + EINT2_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + EINT3_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + I2C0_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + I2C1_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + I2C2_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + LVI_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER10_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER11_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER13_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER14_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER15_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER16_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER20_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER21_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + TIMER30_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + UART0_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + USART10_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + USART11_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + USART12_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + USART13_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + WDT_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + WT_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + WUT_Handler 0x000000db Thumb Code 0 startup_a31g12x.o(.text) + __aeabi_uidiv 0x000000e5 Thumb Code 0 uidiv.o(.text) + __aeabi_uidivmod 0x000000e5 Thumb Code 44 uidiv.o(.text) + __aeabi_idiv 0x00000111 Thumb Code 0 idiv.o(.text) + __aeabi_idivmod 0x00000111 Thumb Code 40 idiv.o(.text) + __aeabi_memcpy 0x00000139 Thumb Code 36 memcpya.o(.text) + __aeabi_memcpy4 0x00000139 Thumb Code 0 memcpya.o(.text) + __aeabi_memcpy8 0x00000139 Thumb Code 0 memcpya.o(.text) + __aeabi_memset 0x0000015d Thumb Code 14 memseta.o(.text) + __aeabi_memset4 0x0000015d Thumb Code 0 memseta.o(.text) + __aeabi_memset8 0x0000015d Thumb Code 0 memseta.o(.text) + __aeabi_memclr 0x0000016b Thumb Code 4 memseta.o(.text) + __aeabi_memclr4 0x0000016b Thumb Code 0 memseta.o(.text) + __aeabi_memclr8 0x0000016b Thumb Code 0 memseta.o(.text) + _memset$wrapper 0x0000016f Thumb Code 18 memseta.o(.text) + __aeabi_fdiv 0x00000181 Thumb Code 124 fdiv.o(.text) + __aeabi_f2iz 0x000001fd Thumb Code 50 ffixi.o(.text) + __I$use$fp 0x0000022f Thumb Code 0 iusefp.o(.text) + _float_round 0x0000022f Thumb Code 16 fepilogue.o(.text) + _float_epilogue 0x0000023f Thumb Code 114 fepilogue.o(.text) + __scatterload 0x000002b1 Thumb Code 28 init.o(.text) + __scatterload_rt2 0x000002b1 Thumb Code 0 init.o(.text) + Action_Initialization 0x000002d5 Thumb Code 14 action_process.o(i.Action_Initialization) + Buzzer_Initialization 0x000002ed Thumb Code 34 buzzer.o(i.Buzzer_Initialization) + Buzzer_On 0x00000319 Thumb Code 24 buzzer.o(i.Buzzer_On) + Delay_I2C_Delay 0x0000038d Thumb Code 14 gpio_i2c.o(i.Delay_I2C_Delay) + Delay_ms 0x0000039d Thumb Code 16 systick_timer.o(i.Delay_ms) + FlashMEM_Clock_Initialization 0x000003b1 Thumb Code 16 eeprom.o(i.FlashMEM_Clock_Initialization) + GPIO_I2C0_Initialization 0x000003c1 Thumb Code 60 gpio_i2c.o(i.GPIO_I2C0_Initialization) + GPIO_I2C1_Initialization 0x00000401 Thumb Code 60 gpio_i2c.o(i.GPIO_I2C1_Initialization) + GPIO_I2C2_Initialization 0x00000441 Thumb Code 60 gpio_i2c.o(i.GPIO_I2C2_Initialization) + GPIO_I2C2_Read 0x00000481 Thumb Code 142 gpio_i2c.o(i.GPIO_I2C2_Read) + GPIO_I2C2_Start 0x00000515 Thumb Code 70 gpio_i2c.o(i.GPIO_I2C2_Start) + GPIO_I2C2_Stop 0x00000561 Thumb Code 58 gpio_i2c.o(i.GPIO_I2C2_Stop) + GPIO_I2C2_Write 0x000005a1 Thumb Code 142 gpio_i2c.o(i.GPIO_I2C2_Write) + Gpio_Sensor_PWR_Initialization 0x00000635 Thumb Code 32 gpio_sensor.o(i.Gpio_Sensor_PWR_Initialization) + Gpio_StateLed_Initialization 0x00000659 Thumb Code 40 gpio_state_led.o(i.Gpio_StateLed_Initialization) + Gpio_StateLed_Set_Mode 0x00000689 Thumb Code 36 gpio_state_led.o(i.Gpio_StateLed_Set_Mode) + Gpio_Switch_Port_Initialization 0x000007fd Thumb Code 46 gpio_switch.o(i.Gpio_Switch_Port_Initialization) + HAL_CRC_Init 0x00000835 Thumb Code 14 a31g12x_hal_crc.o(i.HAL_CRC_Init) + HAL_GPIO_ClearPin 0x00000843 Thumb Code 4 a31g12x_hal_pcu.o(i.HAL_GPIO_ClearPin) + HAL_GPIO_ConfigFunction 0x00000847 Thumb Code 68 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigFunction) + HAL_GPIO_ConfigOutput 0x0000088b Thumb Code 70 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigOutput) + HAL_GPIO_ConfigPullup 0x000008d1 Thumb Code 30 a31g12x_hal_pcu.o(i.HAL_GPIO_ConfigPullup) + HAL_GPIO_ReadPin 0x000008ef Thumb Code 8 a31g12x_hal_pcu.o(i.HAL_GPIO_ReadPin) + HAL_GPIO_SetDebouncePin 0x000008f7 Thumb Code 22 a31g12x_hal_pcu.o(i.HAL_GPIO_SetDebouncePin) + HAL_GPIO_SetPin 0x0000090d Thumb Code 4 a31g12x_hal_pcu.o(i.HAL_GPIO_SetPin) + HAL_INT_EInt_MaskDisable 0x00000911 Thumb Code 12 a31g12x_hal_intc.o(i.HAL_INT_EInt_MaskDisable) + HAL_SCU_ClockMonitoring 0x00000921 Thumb Code 50 a31g12x_hal_scu.o(i.HAL_SCU_ClockMonitoring) + HAL_SCU_ClockSource_Enable 0x0000095d Thumb Code 22 a31g12x_hal_scu.o(i.HAL_SCU_ClockSource_Enable) + HAL_SCU_Peripheral_ClockConfig 0x00000981 Thumb Code 8 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_ClockConfig) + HAL_SCU_Peripheral_EnableClock1 0x0000098d Thumb Code 28 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock1) + HAL_SCU_Peripheral_EnableClock2 0x000009ad Thumb Code 28 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_EnableClock2) + HAL_SCU_Peripheral_SetReset2 0x000009cd Thumb Code 24 a31g12x_hal_scu.o(i.HAL_SCU_Peripheral_SetReset2) + HAL_SCU_SystemClockChange 0x000009e9 Thumb Code 10 a31g12x_hal_scu.o(i.HAL_SCU_SystemClockChange) + HAL_SCU_SystemClockDivider 0x000009fd Thumb Code 8 a31g12x_hal_scu.o(i.HAL_SCU_SystemClockDivider) + HAL_TIMER1n_Cmd 0x00000a09 Thumb Code 38 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Cmd) + HAL_TIMER1n_ConfigInterrupt 0x00000a2f Thumb Code 56 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_ConfigInterrupt) + HAL_TIMER1n_Init 0x00000a69 Thumb Code 332 a31g12x_hal_timer1n.o(i.HAL_TIMER1n_Init) + HAL_UART_ConfigInterrupt 0x00000bd1 Thumb Code 76 a31g12x_hal_uartn.o(i.HAL_UART_ConfigInterrupt) + HAL_UART_ConfigStructInit 0x00000c1d Thumb Code 30 a31g12x_hal_uartn.o(i.HAL_UART_ConfigStructInit) + HAL_UART_Init 0x00000c3d Thumb Code 244 a31g12x_hal_uartn.o(i.HAL_UART_Init) + HAL_UART_Receive 0x00000d41 Thumb Code 110 a31g12x_hal_uartn.o(i.HAL_UART_Receive) + HAL_UART_ReceiveByte 0x00000db5 Thumb Code 8 a31g12x_hal_uartn.o(i.HAL_UART_ReceiveByte) + HAL_UART_Transmit 0x00000dbd Thumb Code 122 a31g12x_hal_uartn.o(i.HAL_UART_Transmit) + HAL_UART_TransmitByte 0x00000e3d Thumb Code 16 a31g12x_hal_uartn.o(i.HAL_UART_TransmitByte) + HAL_USART_ClearStatus 0x00000e4d Thumb Code 58 a31g12x_hal_usart1n.o(i.HAL_USART_ClearStatus) + HAL_USART_DataControlConfig 0x00000e87 Thumb Code 106 a31g12x_hal_usart1n.o(i.HAL_USART_DataControlConfig) + HAL_USART_Enable 0x00000ef1 Thumb Code 38 a31g12x_hal_usart1n.o(i.HAL_USART_Enable) + HAL_USART_Init 0x00000f19 Thumb Code 218 a31g12x_hal_usart1n.o(i.HAL_USART_Init) + HAL_USART_ReceiveByte 0x0000100d Thumb Code 8 a31g12x_hal_usart1n.o(i.HAL_USART_ReceiveByte) + HAL_USART_SPI_Mode_Config 0x00001015 Thumb Code 40 a31g12x_hal_usart1n.o(i.HAL_USART_SPI_Mode_Config) + HardFault_Handler 0x0000103d Thumb Code 4 a31g12x_interrupt.o(i.HardFault_Handler) + I2C2_Read 0x00001041 Thumb Code 60 gpio_i2c.o(i.I2C2_Read) + I2C2_Write 0x0000107d Thumb Code 46 gpio_i2c.o(i.I2C2_Write) + NMI_Handler 0x000010ab Thumb Code 2 a31g12x_interrupt.o(i.NMI_Handler) + PendSV_Handler 0x00001215 Thumb Code 2 a31g12x_interrupt.o(i.PendSV_Handler) + RTC_Process_Initialization 0x00001271 Thumb Code 20 rtc_process.o(i.RTC_Process_Initialization) + RingBuffer_Dequeue 0x00001289 Thumb Code 62 ring_buffer.o(i.RingBuffer_Dequeue) + RingBuffer_Enqueue 0x000012c7 Thumb Code 64 ring_buffer.o(i.RingBuffer_Enqueue) + RingBuffer_GetData 0x00001307 Thumb Code 14 ring_buffer.o(i.RingBuffer_GetData) + RingBuffer_Get_DataSize 0x00001315 Thumb Code 50 ring_buffer.o(i.RingBuffer_Get_DataSize) + RingBuffer_Initialization 0x00001347 Thumb Code 38 ring_buffer.o(i.RingBuffer_Initialization) + RingBuffer_PopData 0x0000136d Thumb Code 38 ring_buffer.o(i.RingBuffer_PopData) + RingBuffer_isEmpty 0x00001393 Thumb Code 18 ring_buffer.o(i.RingBuffer_isEmpty) + RingBuffer_isFull 0x000013a5 Thumb Code 36 ring_buffer.o(i.RingBuffer_isFull) + SPI10_Initialization 0x000013c9 Thumb Code 234 spi10.o(i.SPI10_Initialization) + SVC_Handler 0x000014bd Thumb Code 2 a31g12x_interrupt.o(i.SVC_Handler) + SW_Timer_Callback_Process 0x000014c1 Thumb Code 124 sw_timer.o(i.SW_Timer_Callback_Process) + SW_Timer_Callback_Register 0x00001541 Thumb Code 78 sw_timer.o(i.SW_Timer_Callback_Register) + Segment_Initialization 0x00001739 Thumb Code 114 segment_74hc595d.o(i.Segment_Initialization) + SysTick_Handler 0x00001915 Thumb Code 30 systick_timer.o(i.SysTick_Handler) + SystemInit 0x0000193d Thumb Code 22 system_a31g12x.o(i.SystemInit) + System_Clock_Initialization 0x00001961 Thumb Code 66 a31g12x_systemclock.o(i.System_Clock_Initialization) + Systick_Initialization 0x000019b1 Thumb Code 76 a31g12x_systemclock.o(i.Systick_Initialization) + TIMER12_Handler 0x00001a09 Thumb Code 44 timer12.o(i.TIMER12_Handler) + Timer12_Initialization 0x00001a3d Thumb Code 84 timer12.o(i.Timer12_Initialization) + Timer12_Set_Match_Interrupt_Callback 0x00001a99 Thumb Code 6 timer12.o(i.Timer12_Set_Match_Interrupt_Callback) + UART1_Handler 0x00001aa5 Thumb Code 34 uart1.o(i.UART1_Handler) + Uart1_Get_RecvData 0x00001acd Thumb Code 16 uart1.o(i.Uart1_Get_RecvData) + Uart1_Get_RecvDataCount 0x00001ae1 Thumb Code 10 uart1.o(i.Uart1_Get_RecvDataCount) + Uart1_Initialization 0x00001af1 Thumb Code 158 uart1.o(i.Uart1_Initialization) + Uart_Packet_Initialization 0x00001c1d Thumb Code 20 uart_packet.o(i.Uart_Packet_Initialization) + __ARM_common_switch8 0x00001e13 Thumb Code 28 segment_74hc595d.o(i.__ARM_common_switch8) + __scatterload_copy 0x00001e2f Thumb Code 14 handlers.o(i.__scatterload_copy) + __scatterload_null 0x00001e3d Thumb Code 2 handlers.o(i.__scatterload_null) + __scatterload_zeroinit 0x00001e3f Thumb Code 14 handlers.o(i.__scatterload_zeroinit) + ds3231_aging_offset_convert_to_register 0x00001ec5 Thumb Code 48 driver_ds3231.o(i.ds3231_aging_offset_convert_to_register) + ds3231_basic_get_time 0x00001ef9 Thumb Code 24 driver_ds3231_basic.o(i.ds3231_basic_get_time) + ds3231_basic_init 0x00001f15 Thumb Code 316 driver_ds3231_basic.o(i.ds3231_basic_init) + ds3231_basic_set_time 0x000021a9 Thumb Code 24 driver_ds3231_basic.o(i.ds3231_basic_set_time) + ds3231_deinit 0x000021c5 Thumb Code 48 driver_ds3231.o(i.ds3231_deinit) + ds3231_get_time 0x00002211 Thumb Code 208 driver_ds3231.o(i.ds3231_get_time) + ds3231_init 0x0000231d Thumb Code 218 driver_ds3231.o(i.ds3231_init) + ds3231_interface_debug_print 0x00002509 Thumb Code 2 driver_ds3231_interface_template.o(i.ds3231_interface_debug_print) + ds3231_interface_delay_ms 0x0000250b Thumb Code 12 driver_ds3231_interface_template.o(i.ds3231_interface_delay_ms) + ds3231_interface_iic_deinit 0x00002517 Thumb Code 4 driver_ds3231_interface_template.o(i.ds3231_interface_iic_deinit) + ds3231_interface_iic_init 0x0000251b Thumb Code 4 driver_ds3231_interface_template.o(i.ds3231_interface_iic_init) + ds3231_interface_iic_read 0x0000251f Thumb Code 34 driver_ds3231_interface_template.o(i.ds3231_interface_iic_read) + ds3231_interface_iic_write 0x00002541 Thumb Code 46 driver_ds3231_interface_template.o(i.ds3231_interface_iic_write) + ds3231_interface_receive_callback 0x00002571 Thumb Code 34 driver_ds3231_interface_template.o(i.ds3231_interface_receive_callback) + ds3231_set_32khz_output 0x000025c5 Thumb Code 106 driver_ds3231.o(i.ds3231_set_32khz_output) + ds3231_set_aging_offset 0x00002671 Thumb Code 54 driver_ds3231.o(i.ds3231_set_aging_offset) + ds3231_set_alarm_interrupt 0x000026c9 Thumb Code 112 driver_ds3231.o(i.ds3231_set_alarm_interrupt) + ds3231_set_oscillator 0x00002779 Thumb Code 114 driver_ds3231.o(i.ds3231_set_oscillator) + ds3231_set_pin 0x0000282d Thumb Code 106 driver_ds3231.o(i.ds3231_set_pin) + ds3231_set_square_wave 0x000028d9 Thumb Code 106 driver_ds3231.o(i.ds3231_set_square_wave) + ds3231_set_time 0x00002985 Thumb Code 662 driver_ds3231.o(i.ds3231_set_time) + main 0x00002e99 Thumb Code 108 main.o(i.main) + millis 0x00002f0d Thumb Code 6 systick_timer.o(i.millis) + timer_test 0x00002f19 Thumb Code 2 main.o(i.timer_test) + Region$$Table$$Base 0x00002f98 Number 0 anon$$obj.o(Region$$Table) + Region$$Table$$Limit 0x00002fb8 Number 0 anon$$obj.o(Region$$Table) + nDelayCount 0x20000004 Data 4 systick_timer.o(.data) + Segment_Toggle_In 0x20000079 Data 1 segment_74hc595d.o(.data) + Segment_Toggle_Out 0x2000007a Data 1 segment_74hc595d.o(.data) + InFlag 0x2000009c Data 4 a31g12x_hal_uartn.o(.data) + InCount 0x200000a0 Data 4 a31g12x_hal_uartn.o(.data) + USART1n_BaseClock 0x200000a4 Data 4 a31g12x_hal_usart1n.o(.data) + mclk 0x200000a8 Data 4 system_a31g12x.o(.data) + SystemCoreClock 0x200000ac Data 4 system_a31g12x.o(.data) + SystemPeriClock 0x200000b0 Data 4 system_a31g12x.o(.data) + ClkSrcTbl 0x200000b4 Data 16 system_a31g12x.o(.data) + Segment_OutputBuff 0x200002d8 Data 32 segment.o(.bss) + __initial_sp 0x20000860 Data 0 startup_a31g12x.o(STACK) + + + +============================================================================== + +Memory Map of the image + + Image Entry point : 0x000000b1 + + Load Region LR_IROM1 (Base: 0x00000000, Size: 0x0000307c, Max: 0x00010000, ABSOLUTE) + + Execution Region ER_IROM1 (Exec base: 0x00000000, Load base: 0x00000000, Size: 0x00002fb8, Max: 0x00010000, ABSOLUTE) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x00000000 0x00000000 0x000000b0 Data RO 2940 RESET startup_a31g12x.o + 0x000000b0 0x000000b0 0x00000000 Code RO 3654 * .ARM.Collect$$$$00000000 mc_p.l(entry.o) + 0x000000b0 0x000000b0 0x00000004 Code RO 3945 .ARM.Collect$$$$00000001 mc_p.l(entry2.o) + 0x000000b4 0x000000b4 0x00000004 Code RO 3948 .ARM.Collect$$$$00000004 mc_p.l(entry5.o) + 0x000000b8 0x000000b8 0x00000000 Code RO 3950 .ARM.Collect$$$$00000008 mc_p.l(entry7b.o) + 0x000000b8 0x000000b8 0x00000000 Code RO 3952 .ARM.Collect$$$$0000000A mc_p.l(entry8b.o) + 0x000000b8 0x000000b8 0x00000008 Code RO 3953 .ARM.Collect$$$$0000000B mc_p.l(entry9a.o) + 0x000000c0 0x000000c0 0x00000004 Code RO 3960 .ARM.Collect$$$$0000000E mc_p.l(entry12b.o) + 0x000000c4 0x000000c4 0x00000000 Code RO 3955 .ARM.Collect$$$$0000000F mc_p.l(entry10a.o) + 0x000000c4 0x000000c4 0x00000000 Code RO 3957 .ARM.Collect$$$$00000011 mc_p.l(entry11a.o) + 0x000000c4 0x000000c4 0x00000004 Code RO 3946 .ARM.Collect$$$$00002712 mc_p.l(entry2.o) + 0x000000c8 0x000000c8 0x0000001c Code RO 2941 .text startup_a31g12x.o + 0x000000e4 0x000000e4 0x0000002c Code RO 3657 .text mc_p.l(uidiv.o) + 0x00000110 0x00000110 0x00000028 Code RO 3659 .text mc_p.l(idiv.o) + 0x00000138 0x00000138 0x00000024 Code RO 3668 .text mc_p.l(memcpya.o) + 0x0000015c 0x0000015c 0x00000024 Code RO 3670 .text mc_p.l(memseta.o) + 0x00000180 0x00000180 0x0000007c Code RO 3939 .text mf_p.l(fdiv.o) + 0x000001fc 0x000001fc 0x00000032 Code RO 3943 .text mf_p.l(ffixi.o) + 0x0000022e 0x0000022e 0x00000000 Code RO 3970 .text mc_p.l(iusefp.o) + 0x0000022e 0x0000022e 0x00000082 Code RO 3971 .text mf_p.l(fepilogue.o) + 0x000002b0 0x000002b0 0x00000024 Code RO 3983 .text mc_p.l(init.o) + 0x000002d4 0x000002d4 0x00000014 Code RO 1276 i.Action_Initialization action_process.o + 0x000002e8 0x000002e8 0x00000002 Code RO 1277 i.Action_Process action_process.o + 0x000002ea 0x000002ea 0x00000002 PAD + 0x000002ec 0x000002ec 0x0000002c Code RO 1352 i.Buzzer_Initialization buzzer.o + 0x00000318 0x00000318 0x00000024 Code RO 1353 i.Buzzer_On buzzer.o + 0x0000033c 0x0000033c 0x00000050 Code RO 1354 i.Buzzer_Output_Process buzzer.o + 0x0000038c 0x0000038c 0x0000000e Code RO 673 i.Delay_I2C_Delay gpio_i2c.o + 0x0000039a 0x0000039a 0x00000002 PAD + 0x0000039c 0x0000039c 0x00000014 Code RO 341 i.Delay_ms systick_timer.o + 0x000003b0 0x000003b0 0x00000010 Code RO 1308 i.FlashMEM_Clock_Initialization eeprom.o + 0x000003c0 0x000003c0 0x00000040 Code RO 674 i.GPIO_I2C0_Initialization gpio_i2c.o + 0x00000400 0x00000400 0x00000040 Code RO 679 i.GPIO_I2C1_Initialization gpio_i2c.o + 0x00000440 0x00000440 0x00000040 Code RO 684 i.GPIO_I2C2_Initialization gpio_i2c.o + 0x00000480 0x00000480 0x00000094 Code RO 685 i.GPIO_I2C2_Read gpio_i2c.o + 0x00000514 0x00000514 0x0000004c Code RO 686 i.GPIO_I2C2_Start gpio_i2c.o + 0x00000560 0x00000560 0x00000040 Code RO 687 i.GPIO_I2C2_Stop gpio_i2c.o + 0x000005a0 0x000005a0 0x00000094 Code RO 688 i.GPIO_I2C2_Write gpio_i2c.o + 0x00000634 0x00000634 0x00000024 Code RO 1385 i.Gpio_Sensor_PWR_Initialization gpio_sensor.o + 0x00000658 0x00000658 0x00000030 Code RO 448 i.Gpio_StateLed_Initialization gpio_state_led.o + 0x00000688 0x00000688 0x00000034 Code RO 449 i.Gpio_StateLed_Set_Mode gpio_state_led.o + 0x000006bc 0x000006bc 0x00000140 Code RO 486 i.Gpio_Switch_Check_Process gpio_switch.o + 0x000007fc 0x000007fc 0x00000038 Code RO 487 i.Gpio_Switch_Port_Initialization gpio_switch.o + 0x00000834 0x00000834 0x0000000e Code RO 1635 i.HAL_CRC_Init a31g12x_hal_crc.o + 0x00000842 0x00000842 0x00000004 Code RO 2094 i.HAL_GPIO_ClearPin a31g12x_hal_pcu.o + 0x00000846 0x00000846 0x00000044 Code RO 2095 i.HAL_GPIO_ConfigFunction a31g12x_hal_pcu.o + 0x0000088a 0x0000088a 0x00000046 Code RO 2097 i.HAL_GPIO_ConfigOutput a31g12x_hal_pcu.o + 0x000008d0 0x000008d0 0x0000001e Code RO 2098 i.HAL_GPIO_ConfigPullup a31g12x_hal_pcu.o + 0x000008ee 0x000008ee 0x00000008 Code RO 2100 i.HAL_GPIO_ReadPin a31g12x_hal_pcu.o + 0x000008f6 0x000008f6 0x00000016 Code RO 2101 i.HAL_GPIO_SetDebouncePin a31g12x_hal_pcu.o + 0x0000090c 0x0000090c 0x00000004 Code RO 2102 i.HAL_GPIO_SetPin a31g12x_hal_pcu.o + 0x00000910 0x00000910 0x00000010 Code RO 1990 i.HAL_INT_EInt_MaskDisable a31g12x_hal_intc.o + 0x00000920 0x00000920 0x0000003c Code RO 2201 i.HAL_SCU_ClockMonitoring a31g12x_hal_scu.o + 0x0000095c 0x0000095c 0x00000024 Code RO 2206 i.HAL_SCU_ClockSource_Enable a31g12x_hal_scu.o + 0x00000980 0x00000980 0x0000000c Code RO 2209 i.HAL_SCU_Peripheral_ClockConfig a31g12x_hal_scu.o + 0x0000098c 0x0000098c 0x00000020 Code RO 2211 i.HAL_SCU_Peripheral_EnableClock1 a31g12x_hal_scu.o + 0x000009ac 0x000009ac 0x00000020 Code RO 2212 i.HAL_SCU_Peripheral_EnableClock2 a31g12x_hal_scu.o + 0x000009cc 0x000009cc 0x0000001c Code RO 2215 i.HAL_SCU_Peripheral_SetReset2 a31g12x_hal_scu.o + 0x000009e8 0x000009e8 0x00000014 Code RO 2221 i.HAL_SCU_SystemClockChange a31g12x_hal_scu.o + 0x000009fc 0x000009fc 0x0000000c Code RO 2222 i.HAL_SCU_SystemClockDivider a31g12x_hal_scu.o + 0x00000a08 0x00000a08 0x00000026 Code RO 2378 i.HAL_TIMER1n_Cmd a31g12x_hal_timer1n.o + 0x00000a2e 0x00000a2e 0x00000038 Code RO 2379 i.HAL_TIMER1n_ConfigInterrupt a31g12x_hal_timer1n.o + 0x00000a66 0x00000a66 0x00000002 PAD + 0x00000a68 0x00000a68 0x00000168 Code RO 2381 i.HAL_TIMER1n_Init a31g12x_hal_timer1n.o + 0x00000bd0 0x00000bd0 0x0000004c Code RO 2601 i.HAL_UART_ConfigInterrupt a31g12x_hal_uartn.o + 0x00000c1c 0x00000c1c 0x0000001e Code RO 2602 i.HAL_UART_ConfigStructInit a31g12x_hal_uartn.o + 0x00000c3a 0x00000c3a 0x00000002 PAD + 0x00000c3c 0x00000c3c 0x00000104 Code RO 2608 i.HAL_UART_Init a31g12x_hal_uartn.o + 0x00000d40 0x00000d40 0x00000074 Code RO 2609 i.HAL_UART_Receive a31g12x_hal_uartn.o + 0x00000db4 0x00000db4 0x00000008 Code RO 2610 i.HAL_UART_ReceiveByte a31g12x_hal_uartn.o + 0x00000dbc 0x00000dbc 0x00000080 Code RO 2611 i.HAL_UART_Transmit a31g12x_hal_uartn.o + 0x00000e3c 0x00000e3c 0x00000010 Code RO 2612 i.HAL_UART_TransmitByte a31g12x_hal_uartn.o + 0x00000e4c 0x00000e4c 0x0000003a Code RO 2705 i.HAL_USART_ClearStatus a31g12x_hal_usart1n.o + 0x00000e86 0x00000e86 0x0000006a Code RO 2707 i.HAL_USART_DataControlConfig a31g12x_hal_usart1n.o + 0x00000ef0 0x00000ef0 0x00000026 Code RO 2709 i.HAL_USART_Enable a31g12x_hal_usart1n.o + 0x00000f16 0x00000f16 0x00000002 PAD + 0x00000f18 0x00000f18 0x000000f4 Code RO 2711 i.HAL_USART_Init a31g12x_hal_usart1n.o + 0x0000100c 0x0000100c 0x00000008 Code RO 2713 i.HAL_USART_ReceiveByte a31g12x_hal_usart1n.o + 0x00001014 0x00001014 0x00000028 Code RO 2714 i.HAL_USART_SPI_Mode_Config a31g12x_hal_usart1n.o + 0x0000103c 0x0000103c 0x00000004 Code RO 1 i.HardFault_Handler a31g12x_interrupt.o + 0x00001040 0x00001040 0x0000003c Code RO 693 i.I2C2_Read gpio_i2c.o + 0x0000107c 0x0000107c 0x0000002e Code RO 694 i.I2C2_Write gpio_i2c.o + 0x000010aa 0x000010aa 0x00000002 Code RO 2 i.NMI_Handler a31g12x_interrupt.o + 0x000010ac 0x000010ac 0x00000078 Code RO 38 i.NVIC_SetPriority a31g12x_systemclock.o + 0x00001124 0x00001124 0x00000078 Code RO 525 i.NVIC_SetPriority uart1.o + 0x0000119c 0x0000119c 0x00000078 Code RO 634 i.NVIC_SetPriority timer12.o + 0x00001214 0x00001214 0x00000002 Code RO 3 i.PendSV_Handler a31g12x_interrupt.o + 0x00001216 0x00001216 0x00000002 PAD + 0x00001218 0x00001218 0x00000058 Code RO 1243 i.RTC_Get_IC_Time_Process rtc_process.o + 0x00001270 0x00001270 0x00000018 Code RO 1245 i.RTC_Process_Initialization rtc_process.o + 0x00001288 0x00001288 0x0000003e Code RO 377 i.RingBuffer_Dequeue ring_buffer.o + 0x000012c6 0x000012c6 0x00000040 Code RO 378 i.RingBuffer_Enqueue ring_buffer.o + 0x00001306 0x00001306 0x0000000e Code RO 379 i.RingBuffer_GetData ring_buffer.o + 0x00001314 0x00001314 0x00000032 Code RO 380 i.RingBuffer_Get_DataSize ring_buffer.o + 0x00001346 0x00001346 0x00000026 Code RO 381 i.RingBuffer_Initialization ring_buffer.o + 0x0000136c 0x0000136c 0x00000026 Code RO 382 i.RingBuffer_PopData ring_buffer.o + 0x00001392 0x00001392 0x00000012 Code RO 383 i.RingBuffer_isEmpty ring_buffer.o + 0x000013a4 0x000013a4 0x00000024 Code RO 384 i.RingBuffer_isFull ring_buffer.o + 0x000013c8 0x000013c8 0x000000f4 Code RO 819 i.SPI10_Initialization spi10.o + 0x000014bc 0x000014bc 0x00000002 Code RO 4 i.SVC_Handler a31g12x_interrupt.o + 0x000014be 0x000014be 0x00000002 PAD + 0x000014c0 0x000014c0 0x00000080 Code RO 307 i.SW_Timer_Callback_Process sw_timer.o + 0x00001540 0x00001540 0x00000054 Code RO 308 i.SW_Timer_Callback_Register sw_timer.o + 0x00001594 0x00001594 0x000001a4 Code RO 595 i.Segemet_Output_Process segment_74hc595d.o + 0x00001738 0x00001738 0x00000084 Code RO 596 i.Segment_Initialization segment_74hc595d.o + 0x000017bc 0x000017bc 0x000000c8 Code RO 597 i.Segment_Output_Data segment_74hc595d.o + 0x00001884 0x00001884 0x00000090 Code RO 450 i.State_Led_Output_Process gpio_state_led.o + 0x00001914 0x00001914 0x00000028 Code RO 342 i.SysTick_Handler systick_timer.o + 0x0000193c 0x0000193c 0x00000024 Code RO 2948 i.SystemInit system_a31g12x.o + 0x00001960 0x00001960 0x00000050 Code RO 39 i.System_Clock_Initialization a31g12x_systemclock.o + 0x000019b0 0x000019b0 0x00000058 Code RO 40 i.Systick_Initialization a31g12x_systemclock.o + 0x00001a08 0x00001a08 0x00000034 Code RO 635 i.TIMER12_Handler timer12.o + 0x00001a3c 0x00001a3c 0x0000005c Code RO 636 i.Timer12_Initialization timer12.o + 0x00001a98 0x00001a98 0x0000000c Code RO 637 i.Timer12_Set_Match_Interrupt_Callback timer12.o + 0x00001aa4 0x00001aa4 0x00000028 Code RO 526 i.UART1_Handler uart1.o + 0x00001acc 0x00001acc 0x00000014 Code RO 527 i.Uart1_Get_RecvData uart1.o + 0x00001ae0 0x00001ae0 0x00000010 Code RO 528 i.Uart1_Get_RecvDataCount uart1.o + 0x00001af0 0x00001af0 0x000000c0 Code RO 529 i.Uart1_Initialization uart1.o + 0x00001bb0 0x00001bb0 0x00000034 Code RO 530 i.Uart1_Receive_Handler uart1.o + 0x00001be4 0x00001be4 0x00000038 Code RO 533 i.Uart1_Transmit_Process uart1.o + 0x00001c1c 0x00001c1c 0x0000001c Code RO 1528 i.Uart_Packet_Initialization uart_packet.o + 0x00001c38 0x00001c38 0x00000144 Code RO 1529 i.Uart_Packet_Make_Process uart_packet.o + 0x00001d7c 0x00001d7c 0x00000096 Code RO 1530 i.Uart_Packet_Process uart_packet.o + 0x00001e12 0x00001e12 0x0000001c Code RO 624 i.__ARM_common_switch8 segment_74hc595d.o + 0x00001e2e 0x00001e2e 0x0000000e Code RO 3997 i.__scatterload_copy mc_p.l(handlers.o) + 0x00001e3c 0x00001e3c 0x00000002 Code RO 3998 i.__scatterload_null mc_p.l(handlers.o) + 0x00001e3e 0x00001e3e 0x0000000e Code RO 3999 i.__scatterload_zeroinit mc_p.l(handlers.o) + 0x00001e4c 0x00001e4c 0x00000014 Code RO 908 i.a_ds3231_bcd2hex driver_ds3231.o + 0x00001e60 0x00001e60 0x00000022 Code RO 909 i.a_ds3231_hex2bcd driver_ds3231.o + 0x00001e82 0x00001e82 0x00000024 Code RO 910 i.a_ds3231_iic_multiple_read driver_ds3231.o + 0x00001ea6 0x00001ea6 0x0000001e Code RO 911 i.a_ds3231_iic_write driver_ds3231.o + 0x00001ec4 0x00001ec4 0x00000034 Code RO 913 i.ds3231_aging_offset_convert_to_register driver_ds3231.o + 0x00001ef8 0x00001ef8 0x0000001c Code RO 1115 i.ds3231_basic_get_time driver_ds3231_basic.o + 0x00001f14 0x00001f14 0x00000294 Code RO 1118 i.ds3231_basic_init driver_ds3231_basic.o + 0x000021a8 0x000021a8 0x0000001c Code RO 1119 i.ds3231_basic_set_time driver_ds3231_basic.o + 0x000021c4 0x000021c4 0x0000004c Code RO 915 i.ds3231_deinit driver_ds3231.o + 0x00002210 0x00002210 0x0000010c Code RO 927 i.ds3231_get_time driver_ds3231.o + 0x0000231c 0x0000231c 0x000001ec Code RO 929 i.ds3231_init driver_ds3231.o + 0x00002508 0x00002508 0x00000002 Code RO 1187 i.ds3231_interface_debug_print driver_ds3231_interface_template.o + 0x0000250a 0x0000250a 0x0000000c Code RO 1188 i.ds3231_interface_delay_ms driver_ds3231_interface_template.o + 0x00002516 0x00002516 0x00000004 Code RO 1189 i.ds3231_interface_iic_deinit driver_ds3231_interface_template.o + 0x0000251a 0x0000251a 0x00000004 Code RO 1190 i.ds3231_interface_iic_init driver_ds3231_interface_template.o + 0x0000251e 0x0000251e 0x00000022 Code RO 1191 i.ds3231_interface_iic_read driver_ds3231_interface_template.o + 0x00002540 0x00002540 0x0000002e Code RO 1192 i.ds3231_interface_iic_write driver_ds3231_interface_template.o + 0x0000256e 0x0000256e 0x00000002 PAD + 0x00002570 0x00002570 0x00000054 Code RO 1193 i.ds3231_interface_receive_callback driver_ds3231_interface_template.o + 0x000025c4 0x000025c4 0x000000ac Code RO 931 i.ds3231_set_32khz_output driver_ds3231.o + 0x00002670 0x00002670 0x00000058 Code RO 932 i.ds3231_set_aging_offset driver_ds3231.o + 0x000026c8 0x000026c8 0x000000b0 Code RO 935 i.ds3231_set_alarm_interrupt driver_ds3231.o + 0x00002778 0x00002778 0x000000b4 Code RO 936 i.ds3231_set_oscillator driver_ds3231.o + 0x0000282c 0x0000282c 0x000000ac Code RO 937 i.ds3231_set_pin driver_ds3231.o + 0x000028d8 0x000028d8 0x000000ac Code RO 939 i.ds3231_set_square_wave driver_ds3231.o + 0x00002984 0x00002984 0x00000514 Code RO 940 i.ds3231_set_time driver_ds3231.o + 0x00002e98 0x00002e98 0x00000074 Code RO 187 i.main main.o + 0x00002f0c 0x00002f0c 0x0000000c Code RO 343 i.millis systick_timer.o + 0x00002f18 0x00002f18 0x00000002 Code RO 188 i.timer_test main.o + 0x00002f1a 0x00002f1a 0x00000002 PAD + 0x00002f1c 0x00002f1c 0x0000003c Code RO 2613 i.uart_set_divisors a31g12x_hal_uartn.o + 0x00002f58 0x00002f58 0x00000040 Code RO 2719 i.usart_set_divisors a31g12x_hal_usart1n.o + 0x00002f98 0x00002f98 0x00000020 Data RO 3995 Region$$Table anon$$obj.o + + + Execution Region RW_IRAM1 (Exec base: 0x20000000, Load base: 0x00002fb8, Size: 0x00000860, Max: 0x00001800, ABSOLUTE) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x20000000 0x00002fb8 0x00000008 Data RW 344 .data systick_timer.o + 0x20000008 0x00002fc0 0x00000040 Data RW 451 .data gpio_state_led.o + 0x20000048 0x00003000 0x00000024 Data RW 490 .data gpio_switch.o + 0x2000006c 0x00003024 0x00000010 Data RW 599 .data segment_74hc595d.o + 0x2000007c 0x00003034 0x00000004 Data RW 638 .data timer12.o + 0x20000080 0x00003038 0x00000008 Data RW 1246 .data rtc_process.o + 0x20000088 0x00003040 0x0000000c Data RW 1355 .data buzzer.o + 0x20000094 0x0000304c 0x00000003 Data RW 1532 .data uart_packet.o + 0x20000097 0x0000304f 0x00000001 PAD + 0x20000098 0x00003050 0x0000000c Data RW 2615 .data a31g12x_hal_uartn.o + 0x200000a4 0x0000305c 0x00000004 Data RW 2720 .data a31g12x_hal_usart1n.o + 0x200000a8 0x00003060 0x0000001c Data RW 2949 .data system_a31g12x.o + 0x200000c4 - 0x000000a0 Zero RW 310 .bss sw_timer.o + 0x20000164 - 0x00000154 Zero RW 534 .bss uart1.o + 0x200002b8 - 0x00000020 Zero RW 1122 .bss driver_ds3231_basic.o + 0x200002d8 - 0x00000020 Zero RW 1422 .bss segment.o + 0x200002f8 - 0x00000064 Zero RW 1531 .bss uart_packet.o + 0x2000035c 0x0000307c 0x00000004 PAD + 0x20000360 - 0x00000500 Zero RW 2938 STACK startup_a31g12x.o + + + + Load Region LR$$.ARM.__AT_0x1FFFF200 (Base: 0x1ffff200, Size: 0x00000044, Max: 0x00000044, ABSOLUTE) + + Execution Region ER$$.ARM.__AT_0x1FFFF200 (Exec base: 0x1ffff200, Load base: 0x1ffff200, Size: 0x00000044, Max: 0x00000044, ABSOLUTE, UNINIT) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x1ffff200 0x1ffff200 0x00000044 Code RO 2983 .ARM.__AT_0x1FFFF200 option_a31g12x.o + + + + Load Region LR$$.ARM.__AT_0x1FFFF400 (Base: 0x1ffff400, Size: 0x00000080, Max: 0x00000080, ABSOLUTE) + + Execution Region ER$$.ARM.__AT_0x1FFFF400 (Exec base: 0x1ffff400, Load base: 0x1ffff400, Size: 0x00000080, Max: 0x00000080, ABSOLUTE, UNINIT) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x1ffff400 0x1ffff400 0x00000080 Code RO 2984 .ARM.__AT_0x1FFFF400 option_a31g12x.o + + + + Load Region LR$$.ARM.__AT_0x1FFFF600 (Base: 0x1ffff600, Size: 0x00000080, Max: 0x00000080, ABSOLUTE) + + Execution Region ER$$.ARM.__AT_0x1FFFF600 (Exec base: 0x1ffff600, Load base: 0x1ffff600, Size: 0x00000080, Max: 0x00000080, ABSOLUTE, UNINIT) + + Exec Addr Load Addr Size Type Attr Idx E Section Name Object + + 0x1ffff600 0x1ffff600 0x00000080 Code RO 2985 .ARM.__AT_0x1FFFF600 option_a31g12x.o + + +============================================================================== + +Image component sizes + + + Code (inc. data) RO Data RW Data ZI Data Debug Object Name + + 0 0 0 0 0 2184 a31g12x_hal_adc.o + 14 0 0 0 0 491 a31g12x_hal_crc.o + 16 4 0 0 0 507 a31g12x_hal_intc.o + 206 0 0 0 0 4837 a31g12x_hal_pcu.o + 232 54 0 0 0 4444 a31g12x_hal_scu.o + 454 28 0 0 0 3039 a31g12x_hal_timer1n.o + 694 32 0 12 0 9205 a31g12x_hal_uartn.o + 558 44 0 4 0 5550 a31g12x_hal_usart1n.o + 10 0 0 0 0 3109 a31g12x_interrupt.o + 288 36 0 0 0 424063 a31g12x_systemclock.o + 22 6 0 0 0 828 action_process.o + 160 38 0 12 0 1596 buzzer.o + 3268 1366 0 0 0 11951 driver_ds3231.o + 716 352 0 0 32 2636 driver_ds3231_basic.o + 186 50 0 0 0 4702 driver_ds3231_interface_template.o + 16 0 0 0 0 460 eeprom.o + 748 36 0 0 0 5794 gpio_i2c.o + 36 4 0 0 0 473 gpio_sensor.o + 244 40 0 64 0 2148 gpio_state_led.o + 376 16 0 36 0 1958 gpio_switch.o + 118 8 0 0 0 8592 main.o + 324 324 0 0 0 648 option_a31g12x.o + 320 0 0 0 0 5599 ring_buffer.o + 112 8 0 8 0 1105 rtc_process.o + 0 0 0 0 32 689 segment.o + 780 70 0 16 0 5065 segment_74hc595d.o + 244 10 0 0 0 751 spi10.o + 28 8 176 0 1280 628 startup_a31g12x.o + 212 10 0 0 160 2057 sw_timer.o + 36 14 0 28 0 1270 system_a31g12x.o + 72 20 0 8 0 1920 systick_timer.o + 276 32 0 4 0 2242 timer12.o + 496 80 0 0 340 11182 uart1.o + 502 24 0 3 100 2757 uart_packet.o + + ---------------------------------------------------------------------- + 11782 2714 208 196 1948 534480 Object Totals + 0 0 32 0 0 0 (incl. Generated) + 18 0 0 1 4 0 (incl. Padding) + + ---------------------------------------------------------------------- + + Code (inc. data) RO Data RW Data ZI Data Debug Library Member Name + + 0 0 0 0 0 0 entry.o + 0 0 0 0 0 0 entry10a.o + 0 0 0 0 0 0 entry11a.o + 4 0 0 0 0 0 entry12b.o + 8 4 0 0 0 0 entry2.o + 4 0 0 0 0 0 entry5.o + 0 0 0 0 0 0 entry7b.o + 0 0 0 0 0 0 entry8b.o + 8 4 0 0 0 0 entry9a.o + 30 0 0 0 0 0 handlers.o + 40 0 0 0 0 72 idiv.o + 36 8 0 0 0 68 init.o + 0 0 0 0 0 0 iusefp.o + 36 0 0 0 0 60 memcpya.o + 36 0 0 0 0 100 memseta.o + 44 0 0 0 0 72 uidiv.o + 124 0 0 0 0 72 fdiv.o + 130 0 0 0 0 144 fepilogue.o + 50 0 0 0 0 60 ffixi.o + + ---------------------------------------------------------------------- + 550 16 0 0 0 648 Library Totals + 0 0 0 0 0 0 (incl. Padding) + + ---------------------------------------------------------------------- + + Code (inc. data) RO Data RW Data ZI Data Debug Library Name + + 246 16 0 0 0 372 mc_p.l + 304 0 0 0 0 276 mf_p.l + + ---------------------------------------------------------------------- + 550 16 0 0 0 648 Library Totals + + ---------------------------------------------------------------------- + +============================================================================== + + + Code (inc. data) RO Data RW Data ZI Data Debug + + 12332 2730 208 196 1948 529692 Grand Totals + 12332 2730 208 196 1948 529692 ELF Image Totals + 12332 2730 208 196 0 0 ROM Totals + +============================================================================== + + Total RO Size (Code + RO Data) 12540 ( 12.25kB) + Total RW Size (RW Data + ZI Data) 2144 ( 2.09kB) + Total ROM Size (Code + RO Data + RW Data) 12736 ( 12.44kB) + +============================================================================== + diff --git a/Project/Listings/option_a31g12x.lst b/Project/Listings/option_a31g12x.lst new file mode 100644 index 0000000..cdd18d0 --- /dev/null +++ b/Project/Listings/option_a31g12x.lst @@ -0,0 +1,1299 @@ + + + +ARM Macro Assembler Page 1 + + + 1 00000000 ;------------------------------------------------------- + ------------------------ + 2 00000000 ; This file is used for configure option setting, Area 1 + /2/3. + 3 00000000 ;------------------------------------------------------- + ------------------------ + 4 00000000 ;// <<< Use Configuration Wizard in Context Menu >>> + 5 00000000 ;/****************************************************** + ***********************/ + 6 00000000 ;/* This file is part of the uVision/ARM development too + ls. */ + 7 00000000 ;/* Copyright (c) 2005-2008 Keil Software. All rights re + served. */ + 8 00000000 ;/* This software may only be used under the terms of a + valid, current, */ + 9 00000000 ;/* end user licence from KEIL for a compatible version + of KEIL software */ + 10 00000000 ;/* development tools. Nothing else gives you the right + to use this software. */ + 11 00000000 ;/****************************************************** + ***********************/ + 12 00000000 + 13 00000000 + 14 00000000 ;// Configure Option Page 1 + 15 00000000 00000001 + CONFIGURE_OPTION_1 + EQU 1 + 16 00000000 + 17 00000000 ;// Read Protection Configuration + 18 00000000 ;// Read Protection + 19 00000000 ;// <3=> Level 0 <2=> Level 1 <0=> Level 2 + 20 00000000 ;// + 21 00000000 69C8A273 + RPCNFIG EQU 0x69C8A273 + 22 00000000 + 23 00000000 ;// Extra User Data + 24 00000000 ;// Word 0 <0x0000-0xFFFF> + 25 00000000 ;// Word 1 <0x0000-0xFFFF> + 26 00000000 ;// + 27 00000000 0000FFFF + EX0CNFIG + EQU 0xFFFF + 28 00000000 0000FFFF + EX1CNFIG + EQU 0xFFFF + 29 00000000 00000000 + nEX0CNFIG + EQU EX0CNFIG:EOR:0xFFFF + 30 00000000 00000000 + nEX1CNFIG + EQU EX1CNFIG:EOR:0xFFFF + 31 00000000 + 32 00000000 ;// Watch-Dog Timer Configuration + 33 00000000 ;// WDTRC Enable Selection + 34 00000000 ;// <0x96D=> By S/W (CLKSRCR Register) <0x2A7=> En + able but disable at deep sleep <0xFFF=> Always Enable + 35 00000000 ;// Watch-Dog Timer Clock Selection + 36 00000000 ;// <0=> By S/W (PPCLKSR Register) <1=> WDTRC + 37 00000000 ;// Watch-Dog Timer Reset Enable Selection + + + +ARM Macro Assembler Page 2 + + + 38 00000000 ;// <0=> Enable WDT Reset <1=> By S/W (WDTCR Regis + ter) + 39 00000000 ;// Watch-Dog Timer Counter Enable Selection + 40 00000000 ;// <0=> Enable WDT Counter <1=> By S/W (WDTCR Reg + ister) + 41 00000000 ;// + 42 00000000 FFFF96DB + WDTCNFIG + EQU 0xFFFF96DB + 43 00000000 + 44 00000000 ;// Low Voltage Reset Configuration + 45 00000000 ;// LVR Operation Control Selection + 46 00000000 ;// <0xAA=> By S/W (LVRCR Register) <0xFF=> Always + Enable + 47 00000000 ;// LVR Voltage Selection + 48 00000000 ;// <15=> 1.62V <11=> 2.00V <10=> 2.13V <9=> 2.28V + <8=> 2.46V <7=> 2.67V <6=> 3.04V <5=> 3.20V <4=> 3.55V + <3=> 3.75V <2=> 3.99V <1=> 4.25V <0=> 4.55V + 49 00000000 ;// + 50 00000000 FFFFAAFF + LVRCNFIG + EQU 0xFFFFAAFF + 51 00000000 + 52 00000000 ;// Configure Option Page Erase/Write Protection + 53 00000000 ;// Option Page 1, 0x1FFFF200 to 0x1FFFF27F + 54 00000000 ;// Option Page 2, 0x1FFFF400 to 0x1FFFF47F + 55 00000000 ;// Option Page 3, 0x1FFFF600 to 0x1FFFF67F + 56 00000000 ;// + 57 00000000 00000000 + OPTIONPAGE + EQU 0x00000000 + 58 00000000 FFFFFFFF + CNFIGWTP1 + EQU ~OPTIONPAGE + 59 00000000 + 60 00000000 ;// Flash Memory Erase/Write Protection + 61 00000000 ;// Sector 0, 0x10000000 to 0x100007FF + 62 00000000 ;// Sector 1, 0x10000800 to 0x10000FFF + 63 00000000 ;// Sector 2, 0x10001000 to 0x100017FF + 64 00000000 ;// Sector 3, 0x10001800 to 0x10001FFF + 65 00000000 ;// Sector 4, 0x10002000 to 0x100027FF + 66 00000000 ;// Sector 5, 0x10002800 to 0x10002FFF + 67 00000000 ;// Sector 6, 0x10003000 to 0x100037FF + 68 00000000 ;// Sector 7, 0x10003800 to 0x10003FFF + 69 00000000 ;// Sector 8, 0x10004000 to 0x100047FF + 70 00000000 ;// Sector 9, 0x10004800 to 0x10004FFF + 71 00000000 ;// Sector 10, 0x10005000 to 0x100057FF + 72 00000000 ;// Sector 11, 0x10005800 to 0x10005FFF + 73 00000000 ;// Sector 12, 0x10006000 to 0x100067FF + 74 00000000 ;// Sector 13, 0x10006800 to 0x10006FFF + 75 00000000 ;// Sector 14, 0x10007000 to 0x100077FF + 76 00000000 ;// Sector 15, 0x10007800 to 0x10007FFF + 77 00000000 ;// Sector 16, 0x10008000 to 0x100087FF + 78 00000000 ;// Sector 17, 0x10008800 to 0x10008FFF + 79 00000000 ;// Sector 18, 0x10009000 to 0x100097FF + 80 00000000 ;// Sector 19, 0x10009800 to 0x10009FFF + 81 00000000 ;// Sector 20, 0x1000A000 to 0x1000A7FF + 82 00000000 ;// Sector 21, 0x1000A800 to 0x1000AFFF + 83 00000000 ;// Sector 22, 0x1000B000 to 0x1000B7FF + + + +ARM Macro Assembler Page 3 + + + 84 00000000 ;// Sector 23, 0x1000B800 to 0x1000BFFF + 85 00000000 ;// Sector 24, 0x1000C000 to 0x1000C7FF + 86 00000000 ;// Sector 25, 0x1000C800 to 0x1000CFFF + 87 00000000 ;// Sector 26, 0x1000D000 to 0x1000D7FF + 88 00000000 ;// Sector 27, 0x1000D800 to 0x1000DFFF + 89 00000000 ;// Sector 28, 0x1000E000 to 0x1000E7FF + 90 00000000 ;// Sector 29, 0x1000E800 to 0x1000EFFF + 91 00000000 ;// Sector 30, 0x1000F000 to 0x1000F7FF + 92 00000000 ;// Sector 31, 0x1000F800 to 0x1000FFFF + 93 00000000 ;// + 94 00000000 00000000 + FLASHSECTOR + EQU 0x00000000 + 95 00000000 FFFFFFFF + FMWTP0 EQU ~FLASHSECTOR + 96 00000000 ;// + 97 00000000 + 98 00000000 ;// Configure Option Page 2 + 99 00000000 00000001 + CONFIGURE_OPTION_2 + EQU 1 + 100 00000000 + 101 00000000 ;// User Data Area 0 + 102 00000000 ;// Double 0 <0x00000000-0xFFFFFFFF> + 103 00000000 ;// Double 1 <0x00000000-0xFFFFFFFF> + 104 00000000 ;// Double 2 <0x00000000-0xFFFFFFFF> + 105 00000000 ;// Double 3 <0x00000000-0xFFFFFFFF> + 106 00000000 ;// Double 4 <0x00000000-0xFFFFFFFF> + 107 00000000 ;// Double 5 <0x00000000-0xFFFFFFFF> + 108 00000000 ;// Double 6 <0x00000000-0xFFFFFFFF> + 109 00000000 ;// Double 7 <0x00000000-0xFFFFFFFF> + 110 00000000 ;// Double 8 <0x00000000-0xFFFFFFFF> + 111 00000000 ;// Double 9 <0x00000000-0xFFFFFFFF> + 112 00000000 ;// Double 10 <0x00000000-0xFFFFFFFF> + 113 00000000 ;// Double 11 <0x00000000-0xFFFFFFFF> + 114 00000000 ;// Double 12 <0x00000000-0xFFFFFFFF> + 115 00000000 ;// Double 13 <0x00000000-0xFFFFFFFF> + 116 00000000 ;// Double 14 <0x00000000-0xFFFFFFFF> + 117 00000000 ;// Double 15 <0x00000000-0xFFFFFFFF> + 118 00000000 ;// Double 16 <0x00000000-0xFFFFFFFF> + 119 00000000 ;// Double 17 <0x00000000-0xFFFFFFFF> + 120 00000000 ;// Double 18 <0x00000000-0xFFFFFFFF> + 121 00000000 ;// Double 19 <0x00000000-0xFFFFFFFF> + 122 00000000 ;// Double 20 <0x00000000-0xFFFFFFFF> + 123 00000000 ;// Double 21 <0x00000000-0xFFFFFFFF> + 124 00000000 ;// Double 22 <0x00000000-0xFFFFFFFF> + 125 00000000 ;// Double 23 <0x00000000-0xFFFFFFFF> + 126 00000000 ;// Double 24 <0x00000000-0xFFFFFFFF> + 127 00000000 ;// Double 25 <0x00000000-0xFFFFFFFF> + 128 00000000 ;// Double 26 <0x00000000-0xFFFFFFFF> + 129 00000000 ;// Double 27 <0x00000000-0xFFFFFFFF> + 130 00000000 ;// Double 28 <0x00000000-0xFFFFFFFF> + 131 00000000 ;// Double 29 <0x00000000-0xFFFFFFFF> + 132 00000000 ;// Double 30 <0x00000000-0xFFFFFFFF> + 133 00000000 ;// Double 31 <0x00000000-0xFFFFFFFF> + 134 00000000 ;// + 135 00000000 E2E2E2E2 + AREA0_0 EQU 0xe2e2e2e2 + 136 00000000 FFFFFFFF + + + +ARM Macro Assembler Page 4 + + + AREA0_1 EQU 0xFFFFFFFF + 137 00000000 FFFFFFFF + AREA0_2 EQU 0xFFFFFFFF + 138 00000000 FFFFFFFF + AREA0_3 EQU 0xFFFFFFFF + 139 00000000 FFFFFFFF + AREA0_4 EQU 0xFFFFFFFF + 140 00000000 FFFFFFFF + AREA0_5 EQU 0xFFFFFFFF + 141 00000000 FFFFFFFF + AREA0_6 EQU 0xFFFFFFFF + 142 00000000 FFFFFFFF + AREA0_7 EQU 0xFFFFFFFF + 143 00000000 FFFFFFFF + AREA0_8 EQU 0xFFFFFFFF + 144 00000000 FFFFFFFF + AREA0_9 EQU 0xFFFFFFFF + 145 00000000 FFFFFFFF + AREA0_10 + EQU 0xFFFFFFFF + 146 00000000 FFFFFFFF + AREA0_11 + EQU 0xFFFFFFFF + 147 00000000 FFFFFFFF + AREA0_12 + EQU 0xFFFFFFFF + 148 00000000 FFFFFFFF + AREA0_13 + EQU 0xFFFFFFFF + 149 00000000 FFFFFFFF + AREA0_14 + EQU 0xFFFFFFFF + 150 00000000 FFFFFFFF + AREA0_15 + EQU 0xFFFFFFFF + 151 00000000 FFFFFFFF + AREA0_16 + EQU 0xFFFFFFFF + 152 00000000 FFFFFFFF + AREA0_17 + EQU 0xFFFFFFFF + 153 00000000 FFFFFFFF + AREA0_18 + EQU 0xFFFFFFFF + 154 00000000 FFFFFFFF + AREA0_19 + EQU 0xFFFFFFFF + 155 00000000 FFFFFFFF + AREA0_20 + EQU 0xFFFFFFFF + 156 00000000 FFFFFFFF + AREA0_21 + EQU 0xFFFFFFFF + 157 00000000 FFFFFFFF + AREA0_22 + EQU 0xFFFFFFFF + 158 00000000 FFFFFFFF + AREA0_23 + EQU 0xFFFFFFFF + + + +ARM Macro Assembler Page 5 + + + 159 00000000 FFFFFFFF + AREA0_24 + EQU 0xFFFFFFFF + 160 00000000 FFFFFFFF + AREA0_25 + EQU 0xFFFFFFFF + 161 00000000 FFFFFFFF + AREA0_26 + EQU 0xFFFFFFFF + 162 00000000 FFFFFFFF + AREA0_27 + EQU 0xFFFFFFFF + 163 00000000 FFFFFFFF + AREA0_28 + EQU 0xFFFFFFFF + 164 00000000 FFFFFFFF + AREA0_29 + EQU 0xFFFFFFFF + 165 00000000 FFFFFFFF + AREA0_30 + EQU 0xFFFFFFFF + 166 00000000 E2E2E2E2 + AREA0_31 + EQU 0xe2e2e2e2 + 167 00000000 ;// + 168 00000000 + 169 00000000 ;// Configure Option Page 3 + 170 00000000 00000001 + CONFIGURE_OPTION_3 + EQU 1 + 171 00000000 + 172 00000000 ;// User Data Area 1 + 173 00000000 ;// Double 0 <0x00000000-0xFFFFFFFF> + 174 00000000 ;// Double 1 <0x00000000-0xFFFFFFFF> + 175 00000000 ;// Double 2 <0x00000000-0xFFFFFFFF> + 176 00000000 ;// Double 3 <0x00000000-0xFFFFFFFF> + 177 00000000 ;// Double 4 <0x00000000-0xFFFFFFFF> + 178 00000000 ;// Double 5 <0x00000000-0xFFFFFFFF> + 179 00000000 ;// Double 6 <0x00000000-0xFFFFFFFF> + 180 00000000 ;// Double 7 <0x00000000-0xFFFFFFFF> + 181 00000000 ;// Double 8 <0x00000000-0xFFFFFFFF> + 182 00000000 ;// Double 9 <0x00000000-0xFFFFFFFF> + 183 00000000 ;// Double 10 <0x00000000-0xFFFFFFFF> + 184 00000000 ;// Double 11 <0x00000000-0xFFFFFFFF> + 185 00000000 ;// Double 12 <0x00000000-0xFFFFFFFF> + 186 00000000 ;// Double 13 <0x00000000-0xFFFFFFFF> + 187 00000000 ;// Double 14 <0x00000000-0xFFFFFFFF> + 188 00000000 ;// Double 15 <0x00000000-0xFFFFFFFF> + 189 00000000 ;// Double 16 <0x00000000-0xFFFFFFFF> + 190 00000000 ;// Double 17 <0x00000000-0xFFFFFFFF> + 191 00000000 ;// Double 18 <0x00000000-0xFFFFFFFF> + 192 00000000 ;// Double 19 <0x00000000-0xFFFFFFFF> + 193 00000000 ;// Double 20 <0x00000000-0xFFFFFFFF> + 194 00000000 ;// Double 21 <0x00000000-0xFFFFFFFF> + 195 00000000 ;// Double 22 <0x00000000-0xFFFFFFFF> + 196 00000000 ;// Double 23 <0x00000000-0xFFFFFFFF> + 197 00000000 ;// Double 24 <0x00000000-0xFFFFFFFF> + 198 00000000 ;// Double 25 <0x00000000-0xFFFFFFFF> + 199 00000000 ;// Double 26 <0x00000000-0xFFFFFFFF> + + + +ARM Macro Assembler Page 6 + + + 200 00000000 ;// Double 27 <0x00000000-0xFFFFFFFF> + 201 00000000 ;// Double 28 <0x00000000-0xFFFFFFFF> + 202 00000000 ;// Double 29 <0x00000000-0xFFFFFFFF> + 203 00000000 ;// Double 30 <0x00000000-0xFFFFFFFF> + 204 00000000 ;// Double 31 <0x00000000-0xFFFFFFFF> + 205 00000000 ;// + 206 00000000 E3E3E3E3 + AREA1_0 EQU 0xe3e3e3e3 + 207 00000000 FFFFFFFF + AREA1_1 EQU 0xFFFFFFFF + 208 00000000 FFFFFFFF + AREA1_2 EQU 0xFFFFFFFF + 209 00000000 FFFFFFFF + AREA1_3 EQU 0xFFFFFFFF + 210 00000000 FFFFFFFF + AREA1_4 EQU 0xFFFFFFFF + 211 00000000 FFFFFFFF + AREA1_5 EQU 0xFFFFFFFF + 212 00000000 FFFFFFFF + AREA1_6 EQU 0xFFFFFFFF + 213 00000000 FFFFFFFF + AREA1_7 EQU 0xFFFFFFFF + 214 00000000 FFFFFFFF + AREA1_8 EQU 0xFFFFFFFF + 215 00000000 FFFFFFFF + AREA1_9 EQU 0xFFFFFFFF + 216 00000000 FFFFFFFF + AREA1_10 + EQU 0xFFFFFFFF + 217 00000000 FFFFFFFF + AREA1_11 + EQU 0xFFFFFFFF + 218 00000000 FFFFFFFF + AREA1_12 + EQU 0xFFFFFFFF + 219 00000000 FFFFFFFF + AREA1_13 + EQU 0xFFFFFFFF + 220 00000000 FFFFFFFF + AREA1_14 + EQU 0xFFFFFFFF + 221 00000000 FFFFFFFF + AREA1_15 + EQU 0xFFFFFFFF + 222 00000000 FFFFFFFF + AREA1_16 + EQU 0xFFFFFFFF + 223 00000000 FFFFFFFF + AREA1_17 + EQU 0xFFFFFFFF + 224 00000000 FFFFFFFF + AREA1_18 + EQU 0xFFFFFFFF + 225 00000000 FFFFFFFF + AREA1_19 + EQU 0xFFFFFFFF + 226 00000000 FFFFFFFF + AREA1_20 + EQU 0xFFFFFFFF + + + +ARM Macro Assembler Page 7 + + + 227 00000000 FFFFFFFF + AREA1_21 + EQU 0xFFFFFFFF + 228 00000000 FFFFFFFF + AREA1_22 + EQU 0xFFFFFFFF + 229 00000000 FFFFFFFF + AREA1_23 + EQU 0xFFFFFFFF + 230 00000000 FFFFFFFF + AREA1_24 + EQU 0xFFFFFFFF + 231 00000000 FFFFFFFF + AREA1_25 + EQU 0xFFFFFFFF + 232 00000000 FFFFFFFF + AREA1_26 + EQU 0xFFFFFFFF + 233 00000000 FFFFFFFF + AREA1_27 + EQU 0xFFFFFFFF + 234 00000000 FFFFFFFF + AREA1_28 + EQU 0xFFFFFFFF + 235 00000000 FFFFFFFF + AREA1_29 + EQU 0xFFFFFFFF + 236 00000000 FFFFFFFF + AREA1_30 + EQU 0xFFFFFFFF + 237 00000000 E3E3E3E3 + AREA1_31 + EQU 0xe3e3e3e3 + 238 00000000 ;// + 239 00000000 + 240 00000000 IF CONFIGURE_OPTION_1 <> 0 + 241 00000000 AREA |.ARM.__AT_0x1FFFF200|, CODE, R +EADONLY + 242 00000000 69C8A273 DCD RPCNFIG + 243 00000004 FF FF 00 + 00 FF FF + 00 00 DCW EX0CNFIG, nEX0CNFIG, EX1CNFIG, +nEX1CNFIG + 244 0000000C FFFF96DB DCD WDTCNFIG + 245 00000010 FFFFAAFF DCD LVRCNFIG + 246 00000014 FFFFFFFF DCD CNFIGWTP1 + 247 00000018 FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + FF FF FF + + + +ARM Macro Assembler Page 8 + + + FF FILL 40,0xFF,1 + 248 00000040 FFFFFFFF DCD FMWTP0 + 249 00000044 ENDIF + 250 00000044 + 251 00000044 IF CONFIGURE_OPTION_2 <> 0 + 252 00000044 AREA |.ARM.__AT_0x1FFFF400|, CODE, R +EADONLY + 253 00000000 E2E2E2E2 + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA0_0, AREA0_1, AREA0_2, AREA +0_3, AREA0_4, AREA0_5, AREA0_6, AREA0_7 + 254 00000020 FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA0_8, AREA0_9, AREA0_10, ARE +A0_11, AREA0_12, AREA0_13, AREA0_14, AREA0_15 + 255 00000040 FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA0_16, AREA0_17, AREA0_18, A +REA0_19, AREA0_20, AREA0_21, AREA0_22, AREA0_23 + 256 00000060 FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + E2E2E2E2 DCD AREA0_24, AREA0_25, AREA0_26, A +REA0_27, AREA0_28, AREA0_29, AREA0_30, AREA0_31 + 257 00000080 ENDIF + 258 00000080 + 259 00000080 IF CONFIGURE_OPTION_3 <> 0 + 260 00000080 AREA |.ARM.__AT_0x1FFFF600|, CODE, R +EADONLY + 261 00000000 E3E3E3E3 + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA1_0, AREA1_1, AREA1_2, AREA +1_3, AREA1_4, AREA1_5, AREA1_6, AREA1_7 + 262 00000020 FFFFFFFF + FFFFFFFF + + + +ARM Macro Assembler Page 9 + + + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA1_8, AREA1_9, AREA1_10, ARE +A1_11, AREA1_12, AREA1_13, AREA1_14, AREA1_15 + 263 00000040 FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF DCD AREA1_16, AREA1_17, AREA1_18, A +REA1_19, AREA1_20, AREA1_21, AREA1_22, AREA1_23 + 264 00000060 FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + FFFFFFFF + E3E3E3E3 DCD AREA1_24, AREA1_25, AREA1_26, A +REA1_27, AREA1_28, AREA1_29, AREA1_30, AREA1_31 + 265 00000080 ENDIF + 266 00000080 + 267 00000080 END +Command Line: --debug --xref --diag_suppress=9931 --cpu=Cortex-M0 --apcs=interw +ork --depend=.\objects\option_a31g12x.d -o.\objects\option_a31g12x.o -IC:\Users +\Imbis\AppData\Local\Arm\Packs\ABOV\CM0_DFP\1.0.4\A31G12x\Core\include -I"C:\Pr +ogram Files (x86)\Keil_v5\ARM\CMSIS\Include" --predefine="__MICROLIB SETA 1" -- +predefine="__UVISION_VERSION SETA 533" --list=.\listings\option_a31g12x.lst SDK +_V2_5_0\Option\option_A31G12x.s + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +.ARM.__AT_0x1FFFF200 00000000 + +Symbol: .ARM.__AT_0x1FFFF200 + Definitions + At line 241 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + None +Comment: .ARM.__AT_0x1FFFF200 unused +1 symbol + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +.ARM.__AT_0x1FFFF400 00000000 + +Symbol: .ARM.__AT_0x1FFFF400 + Definitions + At line 252 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + None +Comment: .ARM.__AT_0x1FFFF400 unused +1 symbol + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +.ARM.__AT_0x1FFFF600 00000000 + +Symbol: .ARM.__AT_0x1FFFF600 + Definitions + At line 260 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + None +Comment: .ARM.__AT_0x1FFFF600 unused +1 symbol + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Absolute symbols + +AREA0_0 E2E2E2E2 + +Symbol: AREA0_0 + Definitions + At line 135 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_0 used once +AREA0_1 FFFFFFFF + +Symbol: AREA0_1 + Definitions + At line 136 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_1 used once +AREA0_10 FFFFFFFF + +Symbol: AREA0_10 + Definitions + At line 145 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_10 used once +AREA0_11 FFFFFFFF + +Symbol: AREA0_11 + Definitions + At line 146 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_11 used once +AREA0_12 FFFFFFFF + +Symbol: AREA0_12 + Definitions + At line 147 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_12 used once +AREA0_13 FFFFFFFF + +Symbol: AREA0_13 + Definitions + At line 148 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_13 used once +AREA0_14 FFFFFFFF + +Symbol: AREA0_14 + Definitions + At line 149 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_14 used once +AREA0_15 FFFFFFFF + +Symbol: AREA0_15 + + + +ARM Macro Assembler Page 2 Alphabetic symbol ordering +Absolute symbols + + Definitions + At line 150 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_15 used once +AREA0_16 FFFFFFFF + +Symbol: AREA0_16 + Definitions + At line 151 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_16 used once +AREA0_17 FFFFFFFF + +Symbol: AREA0_17 + Definitions + At line 152 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_17 used once +AREA0_18 FFFFFFFF + +Symbol: AREA0_18 + Definitions + At line 153 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_18 used once +AREA0_19 FFFFFFFF + +Symbol: AREA0_19 + Definitions + At line 154 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_19 used once +AREA0_2 FFFFFFFF + +Symbol: AREA0_2 + Definitions + At line 137 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_2 used once +AREA0_20 FFFFFFFF + +Symbol: AREA0_20 + Definitions + At line 155 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_20 used once +AREA0_21 FFFFFFFF + +Symbol: AREA0_21 + Definitions + At line 156 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + + + +ARM Macro Assembler Page 3 Alphabetic symbol ordering +Absolute symbols + + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_21 used once +AREA0_22 FFFFFFFF + +Symbol: AREA0_22 + Definitions + At line 157 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_22 used once +AREA0_23 FFFFFFFF + +Symbol: AREA0_23 + Definitions + At line 158 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 255 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_23 used once +AREA0_24 FFFFFFFF + +Symbol: AREA0_24 + Definitions + At line 159 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_24 used once +AREA0_25 FFFFFFFF + +Symbol: AREA0_25 + Definitions + At line 160 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_25 used once +AREA0_26 FFFFFFFF + +Symbol: AREA0_26 + Definitions + At line 161 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_26 used once +AREA0_27 FFFFFFFF + +Symbol: AREA0_27 + Definitions + At line 162 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_27 used once +AREA0_28 FFFFFFFF + +Symbol: AREA0_28 + Definitions + At line 163 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_28 used once +AREA0_29 FFFFFFFF + + + +ARM Macro Assembler Page 4 Alphabetic symbol ordering +Absolute symbols + + +Symbol: AREA0_29 + Definitions + At line 164 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_29 used once +AREA0_3 FFFFFFFF + +Symbol: AREA0_3 + Definitions + At line 138 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_3 used once +AREA0_30 FFFFFFFF + +Symbol: AREA0_30 + Definitions + At line 165 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_30 used once +AREA0_31 E2E2E2E2 + +Symbol: AREA0_31 + Definitions + At line 166 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 256 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_31 used once +AREA0_4 FFFFFFFF + +Symbol: AREA0_4 + Definitions + At line 139 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_4 used once +AREA0_5 FFFFFFFF + +Symbol: AREA0_5 + Definitions + At line 140 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_5 used once +AREA0_6 FFFFFFFF + +Symbol: AREA0_6 + Definitions + At line 141 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_6 used once +AREA0_7 FFFFFFFF + +Symbol: AREA0_7 + Definitions + + + +ARM Macro Assembler Page 5 Alphabetic symbol ordering +Absolute symbols + + At line 142 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 253 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_7 used once +AREA0_8 FFFFFFFF + +Symbol: AREA0_8 + Definitions + At line 143 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_8 used once +AREA0_9 FFFFFFFF + +Symbol: AREA0_9 + Definitions + At line 144 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 254 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA0_9 used once +AREA1_0 E3E3E3E3 + +Symbol: AREA1_0 + Definitions + At line 206 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_0 used once +AREA1_1 FFFFFFFF + +Symbol: AREA1_1 + Definitions + At line 207 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_1 used once +AREA1_10 FFFFFFFF + +Symbol: AREA1_10 + Definitions + At line 216 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_10 used once +AREA1_11 FFFFFFFF + +Symbol: AREA1_11 + Definitions + At line 217 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_11 used once +AREA1_12 FFFFFFFF + +Symbol: AREA1_12 + Definitions + At line 218 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s + + + +ARM Macro Assembler Page 6 Alphabetic symbol ordering +Absolute symbols + +Comment: AREA1_12 used once +AREA1_13 FFFFFFFF + +Symbol: AREA1_13 + Definitions + At line 219 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_13 used once +AREA1_14 FFFFFFFF + +Symbol: AREA1_14 + Definitions + At line 220 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_14 used once +AREA1_15 FFFFFFFF + +Symbol: AREA1_15 + Definitions + At line 221 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_15 used once +AREA1_16 FFFFFFFF + +Symbol: AREA1_16 + Definitions + At line 222 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_16 used once +AREA1_17 FFFFFFFF + +Symbol: AREA1_17 + Definitions + At line 223 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_17 used once +AREA1_18 FFFFFFFF + +Symbol: AREA1_18 + Definitions + At line 224 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_18 used once +AREA1_19 FFFFFFFF + +Symbol: AREA1_19 + Definitions + At line 225 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_19 used once +AREA1_2 FFFFFFFF + + + + +ARM Macro Assembler Page 7 Alphabetic symbol ordering +Absolute symbols + +Symbol: AREA1_2 + Definitions + At line 208 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_2 used once +AREA1_20 FFFFFFFF + +Symbol: AREA1_20 + Definitions + At line 226 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_20 used once +AREA1_21 FFFFFFFF + +Symbol: AREA1_21 + Definitions + At line 227 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_21 used once +AREA1_22 FFFFFFFF + +Symbol: AREA1_22 + Definitions + At line 228 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_22 used once +AREA1_23 FFFFFFFF + +Symbol: AREA1_23 + Definitions + At line 229 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 263 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_23 used once +AREA1_24 FFFFFFFF + +Symbol: AREA1_24 + Definitions + At line 230 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_24 used once +AREA1_25 FFFFFFFF + +Symbol: AREA1_25 + Definitions + At line 231 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_25 used once +AREA1_26 FFFFFFFF + +Symbol: AREA1_26 + Definitions + At line 232 in file SDK_V2_5_0\Option\option_A31G12x.s + + + +ARM Macro Assembler Page 8 Alphabetic symbol ordering +Absolute symbols + + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_26 used once +AREA1_27 FFFFFFFF + +Symbol: AREA1_27 + Definitions + At line 233 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_27 used once +AREA1_28 FFFFFFFF + +Symbol: AREA1_28 + Definitions + At line 234 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_28 used once +AREA1_29 FFFFFFFF + +Symbol: AREA1_29 + Definitions + At line 235 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_29 used once +AREA1_3 FFFFFFFF + +Symbol: AREA1_3 + Definitions + At line 209 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_3 used once +AREA1_30 FFFFFFFF + +Symbol: AREA1_30 + Definitions + At line 236 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_30 used once +AREA1_31 E3E3E3E3 + +Symbol: AREA1_31 + Definitions + At line 237 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 264 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_31 used once +AREA1_4 FFFFFFFF + +Symbol: AREA1_4 + Definitions + At line 210 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_4 used once + + + +ARM Macro Assembler Page 9 Alphabetic symbol ordering +Absolute symbols + +AREA1_5 FFFFFFFF + +Symbol: AREA1_5 + Definitions + At line 211 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_5 used once +AREA1_6 FFFFFFFF + +Symbol: AREA1_6 + Definitions + At line 212 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_6 used once +AREA1_7 FFFFFFFF + +Symbol: AREA1_7 + Definitions + At line 213 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 261 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_7 used once +AREA1_8 FFFFFFFF + +Symbol: AREA1_8 + Definitions + At line 214 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_8 used once +AREA1_9 FFFFFFFF + +Symbol: AREA1_9 + Definitions + At line 215 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 262 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: AREA1_9 used once +CNFIGWTP1 FFFFFFFF + +Symbol: CNFIGWTP1 + Definitions + At line 58 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 246 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: CNFIGWTP1 used once +CONFIGURE_OPTION_1 00000001 + +Symbol: CONFIGURE_OPTION_1 + Definitions + At line 15 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 240 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: CONFIGURE_OPTION_1 used once +CONFIGURE_OPTION_2 00000001 + +Symbol: CONFIGURE_OPTION_2 + + + +ARM Macro Assembler Page 10 Alphabetic symbol ordering +Absolute symbols + + Definitions + At line 99 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 251 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: CONFIGURE_OPTION_2 used once +CONFIGURE_OPTION_3 00000001 + +Symbol: CONFIGURE_OPTION_3 + Definitions + At line 170 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 259 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: CONFIGURE_OPTION_3 used once +EX0CNFIG 0000FFFF + +Symbol: EX0CNFIG + Definitions + At line 27 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 29 in file SDK_V2_5_0\Option\option_A31G12x.s + At line 243 in file SDK_V2_5_0\Option\option_A31G12x.s + +EX1CNFIG 0000FFFF + +Symbol: EX1CNFIG + Definitions + At line 28 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 30 in file SDK_V2_5_0\Option\option_A31G12x.s + At line 243 in file SDK_V2_5_0\Option\option_A31G12x.s + +FLASHSECTOR 00000000 + +Symbol: FLASHSECTOR + Definitions + At line 94 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 95 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: FLASHSECTOR used once +FMWTP0 FFFFFFFF + +Symbol: FMWTP0 + Definitions + At line 95 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 248 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: FMWTP0 used once +LVRCNFIG FFFFAAFF + +Symbol: LVRCNFIG + Definitions + At line 50 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 245 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: LVRCNFIG used once +OPTIONPAGE 00000000 + +Symbol: OPTIONPAGE + Definitions + + + +ARM Macro Assembler Page 11 Alphabetic symbol ordering +Absolute symbols + + At line 57 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 58 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: OPTIONPAGE used once +RPCNFIG 69C8A273 + +Symbol: RPCNFIG + Definitions + At line 21 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 242 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: RPCNFIG used once +WDTCNFIG FFFF96DB + +Symbol: WDTCNFIG + Definitions + At line 42 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 244 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: WDTCNFIG used once +nEX0CNFIG 00000000 + +Symbol: nEX0CNFIG + Definitions + At line 29 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 243 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: nEX0CNFIG used once +nEX1CNFIG 00000000 + +Symbol: nEX1CNFIG + Definitions + At line 30 in file SDK_V2_5_0\Option\option_A31G12x.s + Uses + At line 243 in file SDK_V2_5_0\Option\option_A31G12x.s +Comment: nEX1CNFIG used once +78 symbols +413 symbols in table diff --git a/Project/Listings/startup_a31g12x.lst b/Project/Listings/startup_a31g12x.lst new file mode 100644 index 0000000..04e6a05 --- /dev/null +++ b/Project/Listings/startup_a31g12x.lst @@ -0,0 +1,866 @@ + + + +ARM Macro Assembler Page 1 + + + 1 00000000 ;/****************************************************** + ********************//** + 2 00000000 ; * @file startup_A31G12x.s + 3 00000000 ; * @brief CMSIS Core Device Startup File for + 4 00000000 ; * A31G12x Device Series + 5 00000000 ; * @version V5.00 + 6 00000000 ; * @date 02. March 2016 + 7 00000000 ; ****************************************************** + ************************/ + 8 00000000 ;/* + 9 00000000 ; * Copyright (c) 2009-2016 ARM Limited. All rights rese + rved. + 10 00000000 ; * + 11 00000000 ; * SPDX-License-Identifier: Apache-2.0 + 12 00000000 ; * + 13 00000000 ; * Licensed under the Apache License, Version 2.0 (the + License); you may + 14 00000000 ; * not use this file except in compliance with the Lice + nse. + 15 00000000 ; * You may obtain a copy of the License at + 16 00000000 ; * + 17 00000000 ; * www.apache.org/licenses/LICENSE-2.0 + 18 00000000 ; * + 19 00000000 ; * Unless required by applicable law or agreed to in wr + iting, software + 20 00000000 ; * distributed under the License is distributed on an A + S IS BASIS, WITHOUT + 21 00000000 ; * WARRANTIES OR CONDITIONS OF ANY KIND, either express + or implied. + 22 00000000 ; * See the License for the specific language governing + permissions and + 23 00000000 ; * limitations under the License. + 24 00000000 ; */ + 25 00000000 + 26 00000000 ;/* + 27 00000000 ;//-------- <<< Use Configuration Wizard in Context Menu + >>> ------------------ + 28 00000000 ;*/ + 29 00000000 + 30 00000000 + 31 00000000 ; Stack Configuration + 32 00000000 ; Stack Size (in Bytes) <0x0-0xFFFFFFFF:8> + 33 00000000 ; + 34 00000000 + 35 00000000 00000500 + Stack_Size + EQU 0x00000500 + 36 00000000 + 37 00000000 AREA STACK, NOINIT, READWRITE, ALIGN +=3 + 38 00000000 Stack_Mem + SPACE Stack_Size + 39 00000500 __initial_sp + 40 00000500 + 41 00000500 + 42 00000500 ; Heap Configuration + 43 00000500 ; Heap Size (in Bytes) <0x0-0xFFFFFFFF:8> + 44 00000500 ; + 45 00000500 + + + +ARM Macro Assembler Page 2 + + + 46 00000500 00000080 + Heap_Size + EQU 0x00000080 + 47 00000500 + 48 00000500 AREA HEAP, NOINIT, READWRITE, ALIGN= +3 + 49 00000000 __heap_base + 50 00000000 Heap_Mem + SPACE Heap_Size + 51 00000080 __heap_limit + 52 00000080 + 53 00000080 + 54 00000080 PRESERVE8 + 55 00000080 THUMB + 56 00000080 + 57 00000080 + 58 00000080 ; Vector Table Mapped to Address 0 at Reset + 59 00000080 + 60 00000080 AREA RESET, DATA, READONLY + 61 00000000 EXPORT __Vectors + 62 00000000 EXPORT __Vectors_End + 63 00000000 EXPORT __Vectors_Size + 64 00000000 + 65 00000000 00000000 + __Vectors + DCD __initial_sp ; Top of Stack + 66 00000004 00000000 DCD Reset_Handler ; Reset Handler + 67 00000008 00000000 DCD NMI_Handler ; NMI Handler + 68 0000000C 00000000 DCD HardFault_Handler ; Hard Fault + Handler + 69 00000010 00000000 DCD 0 ; Reserved + 70 00000014 00000000 DCD 0 ; Reserved + 71 00000018 00000000 DCD 0 ; Reserved + 72 0000001C 00000000 DCD 0 ; Reserved + 73 00000020 00000000 DCD 0 ; Reserved + 74 00000024 00000000 DCD 0 ; Reserved + 75 00000028 00000000 DCD 0 ; Reserved + 76 0000002C 00000000 DCD SVC_Handler ; SVCall Handler + 77 00000030 00000000 DCD 0 ; Reserved + 78 00000034 00000000 DCD 0 ; Reserved + 79 00000038 00000000 DCD PendSV_Handler ; PendSV Handler + + 80 0000003C 00000000 DCD SysTick_Handler + ; SysTick Handler + 81 00000040 + 82 00000040 ; External Interrupts + 83 00000040 00000000 DCD LVI_Handler ; IRQ 0 + 84 00000044 00000000 DCD WUT_Handler ; IRQ 1 + 85 00000048 00000000 DCD WDT_Handler ; IRQ 2 + 86 0000004C 00000000 DCD EINT0_Handler ; IRQ 3 + 87 00000050 00000000 DCD EINT1_Handler ; IRQ 4 + 88 00000054 00000000 DCD EINT2_Handler ; IRQ 5 + 89 00000058 00000000 DCD EINT3_Handler ; IRQ 6 + 90 0000005C 00000000 DCD TIMER10_Handler ; IRQ 7 + 91 00000060 00000000 DCD TIMER11_Handler ; IRQ 8 + 92 00000064 00000000 DCD TIMER12_Handler ; IRQ 9 + 93 00000068 00000000 DCD I2C0_Handler ; IRQ 10 + 94 0000006C 00000000 DCD USART10_Handler ; IRQ 11 + 95 00000070 00000000 DCD WT_Handler ; IRQ 12 + + + +ARM Macro Assembler Page 3 + + + 96 00000074 00000000 DCD TIMER30_Handler ; IRQ 13 + 97 00000078 00000000 DCD I2C1_Handler ; IRQ 14 + 98 0000007C 00000000 DCD TIMER20_Handler ; IRQ 15 + 99 00000080 00000000 DCD TIMER21_Handler ; IRQ 16 + 100 00000084 00000000 DCD USART11_Handler ; IRQ 17 + 101 00000088 00000000 DCD ADC_Handler ; IRQ 18 + 102 0000008C 00000000 DCD UART0_Handler ; IRQ 19 + 103 00000090 00000000 DCD UART1_Handler ; IRQ 20 + 104 00000094 00000000 DCD TIMER13_Handler ; IRQ 21 + 105 00000098 00000000 DCD TIMER14_Handler ; IRQ 22 + 106 0000009C 00000000 DCD TIMER15_Handler ; IRQ 23 + 107 000000A0 00000000 DCD TIMER16_Handler ; IRQ 24 + 108 000000A4 00000000 DCD I2C2_Handler ; IRQ 25 + 109 000000A8 00000000 DCD USART12_Handler ; IRQ 26 + 110 000000AC 00000000 DCD USART13_Handler ; IRQ 27 + 111 000000B0 ;DCD RESERVED_Handler ; IRQ 28 + 112 000000B0 ;DCD RESERVED_Handler ; IRQ 29 + 113 000000B0 ;DCD RESERVED_Handler ; IRQ 30 + 114 000000B0 ;DCD RESERVED_Handler ; IRQ 31 + 115 000000B0 __Vectors_End + 116 000000B0 + 117 000000B0 000000B0 + __Vectors_Size + EQU __Vectors_End - __Vectors + 118 000000B0 + 119 000000B0 AREA |.text|, CODE, READONLY + 120 00000000 + 121 00000000 + 122 00000000 ; Reset Handler + 123 00000000 + 124 00000000 Reset_Handler + PROC + 125 00000000 EXPORT Reset_Handler [WEAK +] + 126 00000000 IMPORT SystemInit + 127 00000000 IMPORT __main + 128 00000000 4804 LDR R0, =SystemInit + 129 00000002 4780 BLX R0 + 130 00000004 4804 LDR R0, =__main + 131 00000006 4700 BX R0 + 132 00000008 ENDP + 133 00000008 + 134 00000008 + 135 00000008 ; Dummy Exception Handlers (infinite loops which can be + modified) + 136 00000008 + 137 00000008 NMI_Handler + PROC + 138 00000008 EXPORT NMI_Handler [WEAK +] + 139 00000008 E7FE B . + 140 0000000A ENDP + 142 0000000A HardFault_Handler + PROC + 143 0000000A EXPORT HardFault_Handler [WEAK +] + 144 0000000A E7FE B . + 145 0000000C ENDP + 146 0000000C SVC_Handler + + + +ARM Macro Assembler Page 4 + + + PROC + 147 0000000C EXPORT SVC_Handler [WEAK +] + 148 0000000C E7FE B . + 149 0000000E ENDP + 150 0000000E PendSV_Handler + PROC + 151 0000000E EXPORT PendSV_Handler [WEAK +] + 152 0000000E E7FE B . + 153 00000010 ENDP + 154 00000010 SysTick_Handler + PROC + 155 00000010 EXPORT SysTick_Handler [WEAK +] + 156 00000010 E7FE B . + 157 00000012 ENDP + 158 00000012 + 159 00000012 DEVICE_Handler + PROC + 160 00000012 + 161 00000012 EXPORT LVI_Handler [WEAK +] + 162 00000012 EXPORT WUT_Handler [WEAK +] + 163 00000012 EXPORT WDT_Handler [WEAK +] + 164 00000012 EXPORT EINT0_Handler [WEAK +] + 165 00000012 EXPORT EINT1_Handler [WEAK +] + 166 00000012 EXPORT EINT2_Handler [WEAK +] + 167 00000012 EXPORT EINT3_Handler [WEAK +] + 168 00000012 EXPORT TIMER10_Handler [WEAK +] + 169 00000012 EXPORT TIMER11_Handler [WEAK +] + 170 00000012 EXPORT TIMER12_Handler [WEAK +] + 171 00000012 EXPORT I2C0_Handler [WEAK +] + 172 00000012 EXPORT USART10_Handler [WEAK +] + 173 00000012 EXPORT WT_Handler [WEAK +] + 174 00000012 EXPORT TIMER30_Handler [WEAK +] + 175 00000012 EXPORT I2C1_Handler [WEAK +] + 176 00000012 EXPORT TIMER20_Handler [WEAK +] + 177 00000012 EXPORT TIMER21_Handler [WEAK +] + 178 00000012 EXPORT USART11_Handler [WEAK +] + 179 00000012 EXPORT ADC_Handler [WEAK +] + + + +ARM Macro Assembler Page 5 + + + 180 00000012 EXPORT UART0_Handler [WEAK +] + 181 00000012 EXPORT UART1_Handler [WEAK +] + 182 00000012 EXPORT TIMER13_Handler [WEAK +] + 183 00000012 EXPORT TIMER14_Handler [WEAK +] + 184 00000012 EXPORT TIMER15_Handler [WEAK +] + 185 00000012 EXPORT TIMER16_Handler [WEAK +] + 186 00000012 EXPORT I2C2_Handler [WEAK +] + 187 00000012 EXPORT USART12_Handler [WEAK +] + 188 00000012 EXPORT USART13_Handler [WEAK +] + 189 00000012 + 190 00000012 LVI_Handler + 191 00000012 WUT_Handler + 192 00000012 WDT_Handler + 193 00000012 EINT0_Handler + 194 00000012 EINT1_Handler + 195 00000012 EINT2_Handler + 196 00000012 EINT3_Handler + 197 00000012 TIMER10_Handler + 198 00000012 TIMER11_Handler + 199 00000012 TIMER12_Handler + 200 00000012 I2C0_Handler + 201 00000012 USART10_Handler + 202 00000012 WT_Handler + 203 00000012 TIMER30_Handler + 204 00000012 I2C1_Handler + 205 00000012 TIMER20_Handler + 206 00000012 TIMER21_Handler + 207 00000012 USART11_Handler + 208 00000012 ADC_Handler + 209 00000012 UART0_Handler + 210 00000012 UART1_Handler + 211 00000012 TIMER13_Handler + 212 00000012 TIMER14_Handler + 213 00000012 TIMER15_Handler + 214 00000012 TIMER16_Handler + 215 00000012 I2C2_Handler + 216 00000012 USART12_Handler + 217 00000012 USART13_Handler + 218 00000012 E7FE B . + 219 00000014 + 220 00000014 ENDP + 221 00000014 + 222 00000014 + 223 00000014 ALIGN + 224 00000014 + 225 00000014 + 226 00000014 ; User Initial Stack & Heap + 227 00000014 + 228 00000014 IF :DEF:__MICROLIB + 229 00000014 + + + +ARM Macro Assembler Page 6 + + + 230 00000014 EXPORT __initial_sp + 231 00000014 EXPORT __heap_base + 232 00000014 EXPORT __heap_limit + 233 00000014 + 234 00000014 ELSE + 249 ENDIF + 250 00000014 + 251 00000014 + 252 00000014 END + 00000000 + 00000000 +Command Line: --debug --xref --diag_suppress=9931 --cpu=Cortex-M0 --apcs=interw +ork --depend=.\objects\startup_a31g12x.d -o.\objects\startup_a31g12x.o -IC:\Use +rs\Imbis\AppData\Local\Arm\Packs\ABOV\CM0_DFP\1.0.4\A31G12x\Core\include -I"C:\ +Program Files (x86)\Keil_v5\ARM\CMSIS\Include" --predefine="__MICROLIB SETA 1" +--predefine="__UVISION_VERSION SETA 533" --list=.\listings\startup_a31g12x.lst +SDK_V2_5_0\Device\Startup\startup_A31G12x.s + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +STACK 00000000 + +Symbol: STACK + Definitions + At line 37 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: STACK unused +Stack_Mem 00000000 + +Symbol: Stack_Mem + Definitions + At line 38 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: Stack_Mem unused +__initial_sp 00000500 + +Symbol: __initial_sp + Definitions + At line 39 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 65 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 230 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +3 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +HEAP 00000000 + +Symbol: HEAP + Definitions + At line 48 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: HEAP unused +Heap_Mem 00000000 + +Symbol: Heap_Mem + Definitions + At line 50 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: Heap_Mem unused +__heap_base 00000000 + +Symbol: __heap_base + Definitions + At line 49 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 231 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: __heap_base used once +__heap_limit 00000080 + +Symbol: __heap_limit + Definitions + At line 51 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 232 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: __heap_limit used once +4 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +RESET 00000000 + +Symbol: RESET + Definitions + At line 60 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: RESET unused +__Vectors 00000000 + +Symbol: __Vectors + Definitions + At line 65 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 61 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 117 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +__Vectors_End 000000B0 + +Symbol: __Vectors_End + Definitions + At line 115 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 62 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 117 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +3 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Relocatable symbols + +.text 00000000 + +Symbol: .text + Definitions + At line 119 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: .text unused +ADC_Handler 00000012 + +Symbol: ADC_Handler + Definitions + At line 208 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 101 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 179 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +DEVICE_Handler 00000012 + +Symbol: DEVICE_Handler + Definitions + At line 159 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + None +Comment: DEVICE_Handler unused +EINT0_Handler 00000012 + +Symbol: EINT0_Handler + Definitions + At line 193 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 86 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 164 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +EINT1_Handler 00000012 + +Symbol: EINT1_Handler + Definitions + At line 194 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 87 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 165 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +EINT2_Handler 00000012 + +Symbol: EINT2_Handler + Definitions + At line 195 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 88 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 166 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +EINT3_Handler 00000012 + +Symbol: EINT3_Handler + Definitions + At line 196 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 89 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + + + +ARM Macro Assembler Page 2 Alphabetic symbol ordering +Relocatable symbols + + At line 167 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +HardFault_Handler 0000000A + +Symbol: HardFault_Handler + Definitions + At line 142 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 68 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 143 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +I2C0_Handler 00000012 + +Symbol: I2C0_Handler + Definitions + At line 200 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 93 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 171 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +I2C1_Handler 00000012 + +Symbol: I2C1_Handler + Definitions + At line 204 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 97 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 175 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +I2C2_Handler 00000012 + +Symbol: I2C2_Handler + Definitions + At line 215 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 108 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 186 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +LVI_Handler 00000012 + +Symbol: LVI_Handler + Definitions + At line 190 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 83 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 161 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +NMI_Handler 00000008 + +Symbol: NMI_Handler + Definitions + At line 137 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 67 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 138 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +PendSV_Handler 0000000E + +Symbol: PendSV_Handler + + + +ARM Macro Assembler Page 3 Alphabetic symbol ordering +Relocatable symbols + + Definitions + At line 150 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 79 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 151 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +Reset_Handler 00000000 + +Symbol: Reset_Handler + Definitions + At line 124 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 66 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 125 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +SVC_Handler 0000000C + +Symbol: SVC_Handler + Definitions + At line 146 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 76 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 147 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +SysTick_Handler 00000010 + +Symbol: SysTick_Handler + Definitions + At line 154 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 80 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 155 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER10_Handler 00000012 + +Symbol: TIMER10_Handler + Definitions + At line 197 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 90 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 168 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER11_Handler 00000012 + +Symbol: TIMER11_Handler + Definitions + At line 198 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 91 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 169 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER12_Handler 00000012 + +Symbol: TIMER12_Handler + Definitions + At line 199 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 92 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 170 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + + + +ARM Macro Assembler Page 4 Alphabetic symbol ordering +Relocatable symbols + + +TIMER13_Handler 00000012 + +Symbol: TIMER13_Handler + Definitions + At line 211 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 104 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 182 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER14_Handler 00000012 + +Symbol: TIMER14_Handler + Definitions + At line 212 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 105 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 183 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER15_Handler 00000012 + +Symbol: TIMER15_Handler + Definitions + At line 213 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 106 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 184 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER16_Handler 00000012 + +Symbol: TIMER16_Handler + Definitions + At line 214 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 107 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 185 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER20_Handler 00000012 + +Symbol: TIMER20_Handler + Definitions + At line 205 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 98 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 176 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER21_Handler 00000012 + +Symbol: TIMER21_Handler + Definitions + At line 206 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 99 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 177 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +TIMER30_Handler 00000012 + +Symbol: TIMER30_Handler + Definitions + + + +ARM Macro Assembler Page 5 Alphabetic symbol ordering +Relocatable symbols + + At line 203 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 96 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 174 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +UART0_Handler 00000012 + +Symbol: UART0_Handler + Definitions + At line 209 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 102 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 180 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +UART1_Handler 00000012 + +Symbol: UART1_Handler + Definitions + At line 210 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 103 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 181 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +USART10_Handler 00000012 + +Symbol: USART10_Handler + Definitions + At line 201 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 94 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 172 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +USART11_Handler 00000012 + +Symbol: USART11_Handler + Definitions + At line 207 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 100 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 178 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +USART12_Handler 00000012 + +Symbol: USART12_Handler + Definitions + At line 216 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 109 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 187 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +USART13_Handler 00000012 + +Symbol: USART13_Handler + Definitions + At line 217 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 110 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 188 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + + + + +ARM Macro Assembler Page 6 Alphabetic symbol ordering +Relocatable symbols + +WDT_Handler 00000012 + +Symbol: WDT_Handler + Definitions + At line 192 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 85 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 163 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +WT_Handler 00000012 + +Symbol: WT_Handler + Definitions + At line 202 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 95 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 173 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +WUT_Handler 00000012 + +Symbol: WUT_Handler + Definitions + At line 191 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 84 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + At line 162 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + +36 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +Absolute symbols + +Heap_Size 00000080 + +Symbol: Heap_Size + Definitions + At line 46 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 50 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: Heap_Size used once +Stack_Size 00000500 + +Symbol: Stack_Size + Definitions + At line 35 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 38 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: Stack_Size used once +__Vectors_Size 000000B0 + +Symbol: __Vectors_Size + Definitions + At line 117 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 63 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: __Vectors_Size used once +3 symbols + + + +ARM Macro Assembler Page 1 Alphabetic symbol ordering +External symbols + +SystemInit 00000000 + +Symbol: SystemInit + Definitions + At line 126 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 128 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: SystemInit used once +__main 00000000 + +Symbol: __main + Definitions + At line 127 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s + Uses + At line 130 in file SDK_V2_5_0\Device\Startup\startup_A31G12x.s +Comment: __main used once +2 symbols +385 symbols in table diff --git a/Project/SDK_V2_5_0/Core/cmsis_armcc.h b/Project/SDK_V2_5_0/Core/cmsis_armcc.h new file mode 100644 index 0000000..74c49c6 --- /dev/null +++ b/Project/SDK_V2_5_0/Core/cmsis_armcc.h @@ -0,0 +1,734 @@ +/**************************************************************************//** + * @file cmsis_armcc.h + * @brief CMSIS Cortex-M Core Function/Instruction Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#ifndef __CMSIS_ARMCC_H +#define __CMSIS_ARMCC_H + + +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 400677) + #error "Please use ARM Compiler Toolchain V4.0.677 or later!" +#endif + +/* ########################### Core Function Access ########################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ + */ + +/* intrinsic void __enable_irq(); */ +/* intrinsic void __disable_irq(); */ + +/** + \brief Get Control Register + \details Returns the content of the Control Register. + \return Control Register value + */ +__STATIC_INLINE uint32_t __get_CONTROL(void) +{ + register uint32_t __regControl __ASM("control"); + return(__regControl); +} + + +/** + \brief Set Control Register + \details Writes the given value to the Control Register. + \param [in] control Control Register value to set + */ +__STATIC_INLINE void __set_CONTROL(uint32_t control) +{ + register uint32_t __regControl __ASM("control"); + __regControl = control; +} + + +/** + \brief Get IPSR Register + \details Returns the content of the IPSR Register. + \return IPSR Register value + */ +__STATIC_INLINE uint32_t __get_IPSR(void) +{ + register uint32_t __regIPSR __ASM("ipsr"); + return(__regIPSR); +} + + +/** + \brief Get APSR Register + \details Returns the content of the APSR Register. + \return APSR Register value + */ +__STATIC_INLINE uint32_t __get_APSR(void) +{ + register uint32_t __regAPSR __ASM("apsr"); + return(__regAPSR); +} + + +/** + \brief Get xPSR Register + \details Returns the content of the xPSR Register. + \return xPSR Register value + */ +__STATIC_INLINE uint32_t __get_xPSR(void) +{ + register uint32_t __regXPSR __ASM("xpsr"); + return(__regXPSR); +} + + +/** + \brief Get Process Stack Pointer + \details Returns the current value of the Process Stack Pointer (PSP). + \return PSP Register value + */ +__STATIC_INLINE uint32_t __get_PSP(void) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + return(__regProcessStackPointer); +} + + +/** + \brief Set Process Stack Pointer + \details Assigns the given value to the Process Stack Pointer (PSP). + \param [in] topOfProcStack Process Stack Pointer value to set + */ +__STATIC_INLINE void __set_PSP(uint32_t topOfProcStack) +{ + register uint32_t __regProcessStackPointer __ASM("psp"); + __regProcessStackPointer = topOfProcStack; +} + + +/** + \brief Get Main Stack Pointer + \details Returns the current value of the Main Stack Pointer (MSP). + \return MSP Register value + */ +__STATIC_INLINE uint32_t __get_MSP(void) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + return(__regMainStackPointer); +} + + +/** + \brief Set Main Stack Pointer + \details Assigns the given value to the Main Stack Pointer (MSP). + \param [in] topOfMainStack Main Stack Pointer value to set + */ +__STATIC_INLINE void __set_MSP(uint32_t topOfMainStack) +{ + register uint32_t __regMainStackPointer __ASM("msp"); + __regMainStackPointer = topOfMainStack; +} + + +/** + \brief Get Priority Mask + \details Returns the current state of the priority mask bit from the Priority Mask Register. + \return Priority Mask value + */ +__STATIC_INLINE uint32_t __get_PRIMASK(void) +{ + register uint32_t __regPriMask __ASM("primask"); + return(__regPriMask); +} + + +/** + \brief Set Priority Mask + \details Assigns the given value to the Priority Mask Register. + \param [in] priMask Priority Mask + */ +__STATIC_INLINE void __set_PRIMASK(uint32_t priMask) +{ + register uint32_t __regPriMask __ASM("primask"); + __regPriMask = (priMask); +} + + +#if (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) + +/** + \brief Enable FIQ + \details Enables FIQ interrupts by clearing the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __enable_fault_irq __enable_fiq + + +/** + \brief Disable FIQ + \details Disables FIQ interrupts by setting the F-bit in the CPSR. + Can only be executed in Privileged modes. + */ +#define __disable_fault_irq __disable_fiq + + +/** + \brief Get Base Priority + \details Returns the current value of the Base Priority register. + \return Base Priority register value + */ +__STATIC_INLINE uint32_t __get_BASEPRI(void) +{ + register uint32_t __regBasePri __ASM("basepri"); + return(__regBasePri); +} + + +/** + \brief Set Base Priority + \details Assigns the given value to the Base Priority register. + \param [in] basePri Base Priority value to set + */ +__STATIC_INLINE void __set_BASEPRI(uint32_t basePri) +{ + register uint32_t __regBasePri __ASM("basepri"); + __regBasePri = (basePri & 0xFFU); +} + + +/** + \brief Set Base Priority with condition + \details Assigns the given value to the Base Priority register only if BASEPRI masking is disabled, + or the new value increases the BASEPRI priority level. + \param [in] basePri Base Priority value to set + */ +__STATIC_INLINE void __set_BASEPRI_MAX(uint32_t basePri) +{ + register uint32_t __regBasePriMax __ASM("basepri_max"); + __regBasePriMax = (basePri & 0xFFU); +} + + +/** + \brief Get Fault Mask + \details Returns the current value of the Fault Mask register. + \return Fault Mask register value + */ +__STATIC_INLINE uint32_t __get_FAULTMASK(void) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + return(__regFaultMask); +} + + +/** + \brief Set Fault Mask + \details Assigns the given value to the Fault Mask register. + \param [in] faultMask Fault Mask value to set + */ +__STATIC_INLINE void __set_FAULTMASK(uint32_t faultMask) +{ + register uint32_t __regFaultMask __ASM("faultmask"); + __regFaultMask = (faultMask & (uint32_t)1); +} + +#endif /* (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) */ + + +#if (__CORTEX_M == 0x04U) || (__CORTEX_M == 0x07U) + +/** + \brief Get FPSCR + \details Returns the current value of the Floating Point Status/Control register. + \return Floating Point Status/Control register value + */ +__STATIC_INLINE uint32_t __get_FPSCR(void) +{ +#if (__FPU_PRESENT == 1U) && (__FPU_USED == 1U) + register uint32_t __regfpscr __ASM("fpscr"); + return(__regfpscr); +#else + return(0U); +#endif +} + + +/** + \brief Set FPSCR + \details Assigns the given value to the Floating Point Status/Control register. + \param [in] fpscr Floating Point Status/Control value to set + */ +__STATIC_INLINE void __set_FPSCR(uint32_t fpscr) +{ +#if (__FPU_PRESENT == 1U) && (__FPU_USED == 1U) + register uint32_t __regfpscr __ASM("fpscr"); + __regfpscr = (fpscr); +#endif +} + +#endif /* (__CORTEX_M == 0x04U) || (__CORTEX_M == 0x07U) */ + + + +/*@} end of CMSIS_Core_RegAccFunctions */ + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +/** + \brief No Operation + \details No Operation does nothing. This instruction can be used for code alignment purposes. + */ +#define __NOP __nop + + +/** + \brief Wait For Interrupt + \details Wait For Interrupt is a hint instruction that suspends execution until one of a number of events occurs. + */ +#define __WFI __wfi + + +/** + \brief Wait For Event + \details Wait For Event is a hint instruction that permits the processor to enter + a low-power state until one of a number of events occurs. + */ +#define __WFE __wfe + + +/** + \brief Send Event + \details Send Event is a hint instruction. It causes an event to be signaled to the CPU. + */ +#define __SEV __sev + + +/** + \brief Instruction Synchronization Barrier + \details Instruction Synchronization Barrier flushes the pipeline in the processor, + so that all instructions following the ISB are fetched from cache or memory, + after the instruction has been completed. + */ +#define __ISB() do {\ + __schedule_barrier();\ + __isb(0xF);\ + __schedule_barrier();\ + } while (0U) + +/** + \brief Data Synchronization Barrier + \details Acts as a special kind of Data Memory Barrier. + It completes when all explicit memory accesses before this instruction complete. + */ +#define __DSB() do {\ + __schedule_barrier();\ + __dsb(0xF);\ + __schedule_barrier();\ + } while (0U) + +/** + \brief Data Memory Barrier + \details Ensures the apparent order of the explicit memory operations before + and after the instruction, without ensuring their completion. + */ +#define __DMB() do {\ + __schedule_barrier();\ + __dmb(0xF);\ + __schedule_barrier();\ + } while (0U) + +/** + \brief Reverse byte order (32 bit) + \details Reverses the byte order in integer value. + \param [in] value Value to reverse + \return Reversed value + */ +#define __REV __rev + + +/** + \brief Reverse byte order (16 bit) + \details Reverses the byte order in two unsigned short values. + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".rev16_text"))) __STATIC_INLINE __ASM uint32_t __REV16(uint32_t value) +{ + rev16 r0, r0 + bx lr +} +#endif + +/** + \brief Reverse byte order in signed short value + \details Reverses the byte order in a signed short value with sign extension to integer. + \param [in] value Value to reverse + \return Reversed value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".revsh_text"))) __STATIC_INLINE __ASM int32_t __REVSH(int32_t value) +{ + revsh r0, r0 + bx lr +} +#endif + + +/** + \brief Rotate Right in unsigned value (32 bit) + \details Rotate Right (immediate) provides the value of the contents of a register rotated by a variable number of bits. + \param [in] value Value to rotate + \param [in] value Number of Bits to rotate + \return Rotated value + */ +#define __ROR __ror + + +/** + \brief Breakpoint + \details Causes the processor to enter Debug state. + Debug tools can use this to investigate system state when the instruction at a particular address is reached. + \param [in] value is ignored by the processor. + If required, a debugger can use it to store additional information about the breakpoint. + */ +#define __BKPT(value) __breakpoint(value) + + +/** + \brief Reverse bit order of value + \details Reverses the bit order of the given value. + \param [in] value Value to reverse + \return Reversed value + */ +#if (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) + #define __RBIT __rbit +#else +__attribute__((always_inline)) __STATIC_INLINE uint32_t __RBIT(uint32_t value) +{ + uint32_t result; + int32_t s = 4 /*sizeof(v)*/ * 8 - 1; /* extra shift needed at end */ + + result = value; /* r will be reversed bits of v; first get LSB of v */ + for (value >>= 1U; value; value >>= 1U) + { + result <<= 1U; + result |= value & 1U; + s--; + } + result <<= s; /* shift when v's highest bits are zero */ + return(result); +} +#endif + + +/** + \brief Count leading zeros + \details Counts the number of leading zeros of a data value. + \param [in] value Value to count the leading zeros + \return number of leading zeros in value + */ +#define __CLZ __clz + + +#if (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) + +/** + \brief LDR Exclusive (8 bit) + \details Executes a exclusive LDR instruction for 8 bit value. + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __LDREXB(ptr) ((uint8_t ) __ldrex(ptr)) +#else + #define __LDREXB(ptr) _Pragma("push") _Pragma("diag_suppress 3731") ((uint8_t ) __ldrex(ptr)) _Pragma("pop") +#endif + + +/** + \brief LDR Exclusive (16 bit) + \details Executes a exclusive LDR instruction for 16 bit values. + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __LDREXH(ptr) ((uint16_t) __ldrex(ptr)) +#else + #define __LDREXH(ptr) _Pragma("push") _Pragma("diag_suppress 3731") ((uint16_t) __ldrex(ptr)) _Pragma("pop") +#endif + + +/** + \brief LDR Exclusive (32 bit) + \details Executes a exclusive LDR instruction for 32 bit values. + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __LDREXW(ptr) ((uint32_t ) __ldrex(ptr)) +#else + #define __LDREXW(ptr) _Pragma("push") _Pragma("diag_suppress 3731") ((uint32_t ) __ldrex(ptr)) _Pragma("pop") +#endif + + +/** + \brief STR Exclusive (8 bit) + \details Executes a exclusive STR instruction for 8 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __STREXB(value, ptr) __strex(value, ptr) +#else + #define __STREXB(value, ptr) _Pragma("push") _Pragma("diag_suppress 3731") __strex(value, ptr) _Pragma("pop") +#endif + + +/** + \brief STR Exclusive (16 bit) + \details Executes a exclusive STR instruction for 16 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __STREXH(value, ptr) __strex(value, ptr) +#else + #define __STREXH(value, ptr) _Pragma("push") _Pragma("diag_suppress 3731") __strex(value, ptr) _Pragma("pop") +#endif + + +/** + \brief STR Exclusive (32 bit) + \details Executes a exclusive STR instruction for 32 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + \return 0 Function succeeded + \return 1 Function failed + */ +#if defined(__ARMCC_VERSION) && (__ARMCC_VERSION < 5060020) + #define __STREXW(value, ptr) __strex(value, ptr) +#else + #define __STREXW(value, ptr) _Pragma("push") _Pragma("diag_suppress 3731") __strex(value, ptr) _Pragma("pop") +#endif + + +/** + \brief Remove the exclusive lock + \details Removes the exclusive lock which is created by LDREX. + */ +#define __CLREX __clrex + + +/** + \brief Signed Saturate + \details Saturates a signed value. + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (1..32) + \return Saturated value + */ +#define __SSAT __ssat + + +/** + \brief Unsigned Saturate + \details Saturates an unsigned value. + \param [in] value Value to be saturated + \param [in] sat Bit position to saturate to (0..31) + \return Saturated value + */ +#define __USAT __usat + + +/** + \brief Rotate Right with Extend (32 bit) + \details Moves each bit of a bitstring right by one bit. + The carry input is shifted in at the left end of the bitstring. + \param [in] value Value to rotate + \return Rotated value + */ +#ifndef __NO_EMBEDDED_ASM +__attribute__((section(".rrx_text"))) __STATIC_INLINE __ASM uint32_t __RRX(uint32_t value) +{ + rrx r0, r0 + bx lr +} +#endif + + +/** + \brief LDRT Unprivileged (8 bit) + \details Executes a Unprivileged LDRT instruction for 8 bit value. + \param [in] ptr Pointer to data + \return value of type uint8_t at (*ptr) + */ +#define __LDRBT(ptr) ((uint8_t ) __ldrt(ptr)) + + +/** + \brief LDRT Unprivileged (16 bit) + \details Executes a Unprivileged LDRT instruction for 16 bit values. + \param [in] ptr Pointer to data + \return value of type uint16_t at (*ptr) + */ +#define __LDRHT(ptr) ((uint16_t) __ldrt(ptr)) + + +/** + \brief LDRT Unprivileged (32 bit) + \details Executes a Unprivileged LDRT instruction for 32 bit values. + \param [in] ptr Pointer to data + \return value of type uint32_t at (*ptr) + */ +#define __LDRT(ptr) ((uint32_t ) __ldrt(ptr)) + + +/** + \brief STRT Unprivileged (8 bit) + \details Executes a Unprivileged STRT instruction for 8 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +#define __STRBT(value, ptr) __strt(value, ptr) + + +/** + \brief STRT Unprivileged (16 bit) + \details Executes a Unprivileged STRT instruction for 16 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +#define __STRHT(value, ptr) __strt(value, ptr) + + +/** + \brief STRT Unprivileged (32 bit) + \details Executes a Unprivileged STRT instruction for 32 bit values. + \param [in] value Value to store + \param [in] ptr Pointer to location + */ +#define __STRT(value, ptr) __strt(value, ptr) + +#endif /* (__CORTEX_M >= 0x03U) || (__CORTEX_SC >= 300U) */ + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + + +/* ################### Compiler specific Intrinsics ########################### */ +/** \defgroup CMSIS_SIMD_intrinsics CMSIS SIMD Intrinsics + Access to dedicated SIMD instructions + @{ +*/ + +#if (__CORTEX_M >= 0x04U) /* only for Cortex-M4 and above */ + +#define __SADD8 __sadd8 +#define __QADD8 __qadd8 +#define __SHADD8 __shadd8 +#define __UADD8 __uadd8 +#define __UQADD8 __uqadd8 +#define __UHADD8 __uhadd8 +#define __SSUB8 __ssub8 +#define __QSUB8 __qsub8 +#define __SHSUB8 __shsub8 +#define __USUB8 __usub8 +#define __UQSUB8 __uqsub8 +#define __UHSUB8 __uhsub8 +#define __SADD16 __sadd16 +#define __QADD16 __qadd16 +#define __SHADD16 __shadd16 +#define __UADD16 __uadd16 +#define __UQADD16 __uqadd16 +#define __UHADD16 __uhadd16 +#define __SSUB16 __ssub16 +#define __QSUB16 __qsub16 +#define __SHSUB16 __shsub16 +#define __USUB16 __usub16 +#define __UQSUB16 __uqsub16 +#define __UHSUB16 __uhsub16 +#define __SASX __sasx +#define __QASX __qasx +#define __SHASX __shasx +#define __UASX __uasx +#define __UQASX __uqasx +#define __UHASX __uhasx +#define __SSAX __ssax +#define __QSAX __qsax +#define __SHSAX __shsax +#define __USAX __usax +#define __UQSAX __uqsax +#define __UHSAX __uhsax +#define __USAD8 __usad8 +#define __USADA8 __usada8 +#define __SSAT16 __ssat16 +#define __USAT16 __usat16 +#define __UXTB16 __uxtb16 +#define __UXTAB16 __uxtab16 +#define __SXTB16 __sxtb16 +#define __SXTAB16 __sxtab16 +#define __SMUAD __smuad +#define __SMUADX __smuadx +#define __SMLAD __smlad +#define __SMLADX __smladx +#define __SMLALD __smlald +#define __SMLALDX __smlaldx +#define __SMUSD __smusd +#define __SMUSDX __smusdx +#define __SMLSD __smlsd +#define __SMLSDX __smlsdx +#define __SMLSLD __smlsld +#define __SMLSLDX __smlsldx +#define __SEL __sel +#define __QADD __qadd +#define __QSUB __qsub + +#define __PKHBT(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0x0000FFFFUL) | \ + ((((uint32_t)(ARG2)) << (ARG3)) & 0xFFFF0000UL) ) + +#define __PKHTB(ARG1,ARG2,ARG3) ( ((((uint32_t)(ARG1)) ) & 0xFFFF0000UL) | \ + ((((uint32_t)(ARG2)) >> (ARG3)) & 0x0000FFFFUL) ) + +#define __SMMLA(ARG1,ARG2,ARG3) ( (int32_t)((((int64_t)(ARG1) * (ARG2)) + \ + ((int64_t)(ARG3) << 32U) ) >> 32U)) + +#endif /* (__CORTEX_M >= 0x04) */ +/*@} end of group CMSIS_SIMD_intrinsics */ + + +#endif /* __CMSIS_ARMCC_H */ diff --git a/Project/SDK_V2_5_0/Core/core_cm0plus.h b/Project/SDK_V2_5_0/Core/core_cm0plus.h new file mode 100644 index 0000000..b04aa39 --- /dev/null +++ b/Project/SDK_V2_5_0/Core/core_cm0plus.h @@ -0,0 +1,914 @@ +/**************************************************************************//** + * @file core_cm0plus.h + * @brief CMSIS Cortex-M0+ Core Peripheral Access Layer Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CM0PLUS_H_GENERIC +#define __CORE_CM0PLUS_H_GENERIC + +#include + +#ifdef __cplusplus + extern "C" { +#endif + +/** + \page CMSIS_MISRA_Exceptions MISRA-C:2004 Compliance Exceptions + CMSIS violates the following MISRA-C:2004 rules: + + \li Required Rule 8.5, object/function definition in header file.
+ Function definitions in header files are used to allow 'inlining'. + + \li Required Rule 18.4, declaration of union type or object of union type: '{...}'.
+ Unions are used for effective representation of core registers. + + \li Advisory Rule 19.7, Function-like macro defined.
+ Function-like macros are used to allow more efficient code. + */ + + +/******************************************************************************* + * CMSIS definitions + ******************************************************************************/ +/** + \ingroup Cortex-M0+ + @{ + */ + +/* CMSIS CM0+ definitions */ +#define __CM0PLUS_CMSIS_VERSION_MAIN (0x04U) /*!< [31:16] CMSIS HAL main version */ +#define __CM0PLUS_CMSIS_VERSION_SUB (0x1EU) /*!< [15:0] CMSIS HAL sub version */ +#define __CM0PLUS_CMSIS_VERSION ((__CM0PLUS_CMSIS_VERSION_MAIN << 16U) | \ + __CM0PLUS_CMSIS_VERSION_SUB ) /*!< CMSIS HAL version number */ + +#define __CORTEX_M (0x00U) /*!< Cortex-M Core */ + + +#if defined ( __CC_ARM ) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #define __ASM __asm /*!< asm keyword for ARM Compiler */ + #define __INLINE __inline /*!< inline keyword for ARM Compiler */ + #define __STATIC_INLINE static __inline + +#elif defined ( __GNUC__ ) + #define __ASM __asm /*!< asm keyword for GNU Compiler */ + #define __INLINE inline /*!< inline keyword for GNU Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __ICCARM__ ) + #define __ASM __asm /*!< asm keyword for IAR Compiler */ + #define __INLINE inline /*!< inline keyword for IAR Compiler. Only available in High optimization mode! */ + #define __STATIC_INLINE static inline + +#elif defined ( __TMS470__ ) + #define __ASM __asm /*!< asm keyword for TI CCS Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __TASKING__ ) + #define __ASM __asm /*!< asm keyword for TASKING Compiler */ + #define __INLINE inline /*!< inline keyword for TASKING Compiler */ + #define __STATIC_INLINE static inline + +#elif defined ( __CSMC__ ) + #define __packed + #define __ASM _asm /*!< asm keyword for COSMIC Compiler */ + #define __INLINE inline /*!< inline keyword for COSMIC Compiler. Use -pc99 on compile line */ + #define __STATIC_INLINE static inline + +#else + #error Unknown compiler +#endif + +/** __FPU_USED indicates whether an FPU is used or not. + This core does not support an FPU at all +*/ +#define __FPU_USED 0U + +#if defined ( __CC_ARM ) + #if defined __TARGET_FPU_VFP + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #if defined __ARM_PCS_VFP + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __GNUC__ ) + #if defined (__VFP_FP__) && !defined(__SOFTFP__) + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __ICCARM__ ) + #if defined __ARMVFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TMS470__ ) + #if defined __TI_VFP_SUPPORT__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __TASKING__ ) + #if defined __FPU_VFP__ + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#elif defined ( __CSMC__ ) + #if ( __CSMC__ & 0x400U) + #error "Compiler generates FPU instructions for a device without an FPU (check __FPU_PRESENT)" + #endif + +#endif + +#include "core_cmInstr.h" /* Core Instruction Access */ +#include "core_cmFunc.h" /* Core Function Access */ + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM0PLUS_H_GENERIC */ + +#ifndef __CMSIS_GENERIC + +#ifndef __CORE_CM0PLUS_H_DEPENDANT +#define __CORE_CM0PLUS_H_DEPENDANT + +#ifdef __cplusplus + extern "C" { +#endif + +/* check device defines and use defaults */ +#if defined __CHECK_DEVICE_DEFINES + #ifndef __CM0PLUS_REV + #define __CM0PLUS_REV 0x0000U + #warning "__CM0PLUS_REV not defined in device header file; using default!" + #endif + + #ifndef __MPU_PRESENT + #define __MPU_PRESENT 0U + #warning "__MPU_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __VTOR_PRESENT + #define __VTOR_PRESENT 0U + #warning "__VTOR_PRESENT not defined in device header file; using default!" + #endif + + #ifndef __NVIC_PRIO_BITS + #define __NVIC_PRIO_BITS 2U + #warning "__NVIC_PRIO_BITS not defined in device header file; using default!" + #endif + + #ifndef __Vendor_SysTickConfig + #define __Vendor_SysTickConfig 0U + #warning "__Vendor_SysTickConfig not defined in device header file; using default!" + #endif +#endif + +/* IO definitions (access restrictions to peripheral registers) */ +/** + \defgroup CMSIS_glob_defs CMSIS Global Defines + + IO Type Qualifiers are used + \li to specify the access to peripheral variables. + \li for automatic generation of peripheral register debug information. +*/ +#ifdef __cplusplus + #define __I volatile /*!< Defines 'read only' permissions */ +#else + #define __I volatile const /*!< Defines 'read only' permissions */ +#endif +#define __O volatile /*!< Defines 'write only' permissions */ +#define __IO volatile /*!< Defines 'read / write' permissions */ + +/* following defines should be used for structure members */ +#define __IM volatile const /*! Defines 'read only' structure member permissions */ +#define __OM volatile /*! Defines 'write only' structure member permissions */ +#define __IOM volatile /*! Defines 'read / write' structure member permissions */ + +/*@} end of group Cortex-M0+ */ + + + +/******************************************************************************* + * Register Abstraction + Core Register contain: + - Core Register + - Core NVIC Register + - Core SCB Register + - Core SysTick Register + - Core MPU Register + ******************************************************************************/ +/** + \defgroup CMSIS_core_register Defines and Type Definitions + \brief Type definitions and defines for Cortex-M processor based devices. +*/ + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_CORE Status and Control Registers + \brief Core Register type definitions. + @{ + */ + +/** + \brief Union type to access the Application Program Status Register (APSR). + */ +typedef union +{ + struct + { + uint32_t _reserved0:28; /*!< bit: 0..27 Reserved */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} APSR_Type; + +/* APSR Register Definitions */ +#define APSR_N_Pos 31U /*!< APSR: N Position */ +#define APSR_N_Msk (1UL << APSR_N_Pos) /*!< APSR: N Mask */ + +#define APSR_Z_Pos 30U /*!< APSR: Z Position */ +#define APSR_Z_Msk (1UL << APSR_Z_Pos) /*!< APSR: Z Mask */ + +#define APSR_C_Pos 29U /*!< APSR: C Position */ +#define APSR_C_Msk (1UL << APSR_C_Pos) /*!< APSR: C Mask */ + +#define APSR_V_Pos 28U /*!< APSR: V Position */ +#define APSR_V_Msk (1UL << APSR_V_Pos) /*!< APSR: V Mask */ + + +/** + \brief Union type to access the Interrupt Program Status Register (IPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:23; /*!< bit: 9..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} IPSR_Type; + +/* IPSR Register Definitions */ +#define IPSR_ISR_Pos 0U /*!< IPSR: ISR Position */ +#define IPSR_ISR_Msk (0x1FFUL /*<< IPSR_ISR_Pos*/) /*!< IPSR: ISR Mask */ + + +/** + \brief Union type to access the Special-Purpose Program Status Registers (xPSR). + */ +typedef union +{ + struct + { + uint32_t ISR:9; /*!< bit: 0.. 8 Exception number */ + uint32_t _reserved0:15; /*!< bit: 9..23 Reserved */ + uint32_t T:1; /*!< bit: 24 Thumb bit (read 0) */ + uint32_t _reserved1:3; /*!< bit: 25..27 Reserved */ + uint32_t V:1; /*!< bit: 28 Overflow condition code flag */ + uint32_t C:1; /*!< bit: 29 Carry condition code flag */ + uint32_t Z:1; /*!< bit: 30 Zero condition code flag */ + uint32_t N:1; /*!< bit: 31 Negative condition code flag */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} xPSR_Type; + +/* xPSR Register Definitions */ +#define xPSR_N_Pos 31U /*!< xPSR: N Position */ +#define xPSR_N_Msk (1UL << xPSR_N_Pos) /*!< xPSR: N Mask */ + +#define xPSR_Z_Pos 30U /*!< xPSR: Z Position */ +#define xPSR_Z_Msk (1UL << xPSR_Z_Pos) /*!< xPSR: Z Mask */ + +#define xPSR_C_Pos 29U /*!< xPSR: C Position */ +#define xPSR_C_Msk (1UL << xPSR_C_Pos) /*!< xPSR: C Mask */ + +#define xPSR_V_Pos 28U /*!< xPSR: V Position */ +#define xPSR_V_Msk (1UL << xPSR_V_Pos) /*!< xPSR: V Mask */ + +#define xPSR_T_Pos 24U /*!< xPSR: T Position */ +#define xPSR_T_Msk (1UL << xPSR_T_Pos) /*!< xPSR: T Mask */ + +#define xPSR_ISR_Pos 0U /*!< xPSR: ISR Position */ +#define xPSR_ISR_Msk (0x1FFUL /*<< xPSR_ISR_Pos*/) /*!< xPSR: ISR Mask */ + + +/** + \brief Union type to access the Control Registers (CONTROL). + */ +typedef union +{ + struct + { + uint32_t nPRIV:1; /*!< bit: 0 Execution privilege in Thread mode */ + uint32_t SPSEL:1; /*!< bit: 1 Stack to be used */ + uint32_t _reserved1:30; /*!< bit: 2..31 Reserved */ + } b; /*!< Structure used for bit access */ + uint32_t w; /*!< Type used for word access */ +} CONTROL_Type; + +/* CONTROL Register Definitions */ +#define CONTROL_SPSEL_Pos 1U /*!< CONTROL: SPSEL Position */ +#define CONTROL_SPSEL_Msk (1UL << CONTROL_SPSEL_Pos) /*!< CONTROL: SPSEL Mask */ + +#define CONTROL_nPRIV_Pos 0U /*!< CONTROL: nPRIV Position */ +#define CONTROL_nPRIV_Msk (1UL /*<< CONTROL_nPRIV_Pos*/) /*!< CONTROL: nPRIV Mask */ + +/*@} end of group CMSIS_CORE */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_NVIC Nested Vectored Interrupt Controller (NVIC) + \brief Type definitions for the NVIC Registers + @{ + */ + +/** + \brief Structure type to access the Nested Vectored Interrupt Controller (NVIC). + */ +typedef struct +{ + __IOM uint32_t ISER[1U]; /*!< Offset: 0x000 (R/W) Interrupt Set Enable Register */ + uint32_t RESERVED0[31U]; + __IOM uint32_t ICER[1U]; /*!< Offset: 0x080 (R/W) Interrupt Clear Enable Register */ + uint32_t RSERVED1[31U]; + __IOM uint32_t ISPR[1U]; /*!< Offset: 0x100 (R/W) Interrupt Set Pending Register */ + uint32_t RESERVED2[31U]; + __IOM uint32_t ICPR[1U]; /*!< Offset: 0x180 (R/W) Interrupt Clear Pending Register */ + uint32_t RESERVED3[31U]; + uint32_t RESERVED4[64U]; + __IOM uint32_t IP[8U]; /*!< Offset: 0x300 (R/W) Interrupt Priority Register */ +} NVIC_Type; + +/*@} end of group CMSIS_NVIC */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SCB System Control Block (SCB) + \brief Type definitions for the System Control Block Registers + @{ + */ + +/** + \brief Structure type to access the System Control Block (SCB). + */ +typedef struct +{ + __IM uint32_t CPUID; /*!< Offset: 0x000 (R/ ) CPUID Base Register */ + __IOM uint32_t ICSR; /*!< Offset: 0x004 (R/W) Interrupt Control and State Register */ +#if (__VTOR_PRESENT == 1U) + __IOM uint32_t VTOR; /*!< Offset: 0x008 (R/W) Vector Table Offset Register */ +#else + uint32_t RESERVED0; +#endif + __IOM uint32_t AIRCR; /*!< Offset: 0x00C (R/W) Application Interrupt and Reset Control Register */ + __IOM uint32_t SCR; /*!< Offset: 0x010 (R/W) System Control Register */ + __IOM uint32_t CCR; /*!< Offset: 0x014 (R/W) Configuration Control Register */ + uint32_t RESERVED1; + __IOM uint32_t SHP[2U]; /*!< Offset: 0x01C (R/W) System Handlers Priority Registers. [0] is RESERVED */ + __IOM uint32_t SHCSR; /*!< Offset: 0x024 (R/W) System Handler Control and State Register */ +} SCB_Type; + +/* SCB CPUID Register Definitions */ +#define SCB_CPUID_IMPLEMENTER_Pos 24U /*!< SCB CPUID: IMPLEMENTER Position */ +#define SCB_CPUID_IMPLEMENTER_Msk (0xFFUL << SCB_CPUID_IMPLEMENTER_Pos) /*!< SCB CPUID: IMPLEMENTER Mask */ + +#define SCB_CPUID_VARIANT_Pos 20U /*!< SCB CPUID: VARIANT Position */ +#define SCB_CPUID_VARIANT_Msk (0xFUL << SCB_CPUID_VARIANT_Pos) /*!< SCB CPUID: VARIANT Mask */ + +#define SCB_CPUID_ARCHITECTURE_Pos 16U /*!< SCB CPUID: ARCHITECTURE Position */ +#define SCB_CPUID_ARCHITECTURE_Msk (0xFUL << SCB_CPUID_ARCHITECTURE_Pos) /*!< SCB CPUID: ARCHITECTURE Mask */ + +#define SCB_CPUID_PARTNO_Pos 4U /*!< SCB CPUID: PARTNO Position */ +#define SCB_CPUID_PARTNO_Msk (0xFFFUL << SCB_CPUID_PARTNO_Pos) /*!< SCB CPUID: PARTNO Mask */ + +#define SCB_CPUID_REVISION_Pos 0U /*!< SCB CPUID: REVISION Position */ +#define SCB_CPUID_REVISION_Msk (0xFUL /*<< SCB_CPUID_REVISION_Pos*/) /*!< SCB CPUID: REVISION Mask */ + +/* SCB Interrupt Control State Register Definitions */ +#define SCB_ICSR_NMIPENDSET_Pos 31U /*!< SCB ICSR: NMIPENDSET Position */ +#define SCB_ICSR_NMIPENDSET_Msk (1UL << SCB_ICSR_NMIPENDSET_Pos) /*!< SCB ICSR: NMIPENDSET Mask */ + +#define SCB_ICSR_PENDSVSET_Pos 28U /*!< SCB ICSR: PENDSVSET Position */ +#define SCB_ICSR_PENDSVSET_Msk (1UL << SCB_ICSR_PENDSVSET_Pos) /*!< SCB ICSR: PENDSVSET Mask */ + +#define SCB_ICSR_PENDSVCLR_Pos 27U /*!< SCB ICSR: PENDSVCLR Position */ +#define SCB_ICSR_PENDSVCLR_Msk (1UL << SCB_ICSR_PENDSVCLR_Pos) /*!< SCB ICSR: PENDSVCLR Mask */ + +#define SCB_ICSR_PENDSTSET_Pos 26U /*!< SCB ICSR: PENDSTSET Position */ +#define SCB_ICSR_PENDSTSET_Msk (1UL << SCB_ICSR_PENDSTSET_Pos) /*!< SCB ICSR: PENDSTSET Mask */ + +#define SCB_ICSR_PENDSTCLR_Pos 25U /*!< SCB ICSR: PENDSTCLR Position */ +#define SCB_ICSR_PENDSTCLR_Msk (1UL << SCB_ICSR_PENDSTCLR_Pos) /*!< SCB ICSR: PENDSTCLR Mask */ + +#define SCB_ICSR_ISRPREEMPT_Pos 23U /*!< SCB ICSR: ISRPREEMPT Position */ +#define SCB_ICSR_ISRPREEMPT_Msk (1UL << SCB_ICSR_ISRPREEMPT_Pos) /*!< SCB ICSR: ISRPREEMPT Mask */ + +#define SCB_ICSR_ISRPENDING_Pos 22U /*!< SCB ICSR: ISRPENDING Position */ +#define SCB_ICSR_ISRPENDING_Msk (1UL << SCB_ICSR_ISRPENDING_Pos) /*!< SCB ICSR: ISRPENDING Mask */ + +#define SCB_ICSR_VECTPENDING_Pos 12U /*!< SCB ICSR: VECTPENDING Position */ +#define SCB_ICSR_VECTPENDING_Msk (0x1FFUL << SCB_ICSR_VECTPENDING_Pos) /*!< SCB ICSR: VECTPENDING Mask */ + +#define SCB_ICSR_VECTACTIVE_Pos 0U /*!< SCB ICSR: VECTACTIVE Position */ +#define SCB_ICSR_VECTACTIVE_Msk (0x1FFUL /*<< SCB_ICSR_VECTACTIVE_Pos*/) /*!< SCB ICSR: VECTACTIVE Mask */ + +#if (__VTOR_PRESENT == 1U) +/* SCB Interrupt Control State Register Definitions */ +#define SCB_VTOR_TBLOFF_Pos 8U /*!< SCB VTOR: TBLOFF Position */ +#define SCB_VTOR_TBLOFF_Msk (0xFFFFFFUL << SCB_VTOR_TBLOFF_Pos) /*!< SCB VTOR: TBLOFF Mask */ +#endif + +/* SCB Application Interrupt and Reset Control Register Definitions */ +#define SCB_AIRCR_VECTKEY_Pos 16U /*!< SCB AIRCR: VECTKEY Position */ +#define SCB_AIRCR_VECTKEY_Msk (0xFFFFUL << SCB_AIRCR_VECTKEY_Pos) /*!< SCB AIRCR: VECTKEY Mask */ + +#define SCB_AIRCR_VECTKEYSTAT_Pos 16U /*!< SCB AIRCR: VECTKEYSTAT Position */ +#define SCB_AIRCR_VECTKEYSTAT_Msk (0xFFFFUL << SCB_AIRCR_VECTKEYSTAT_Pos) /*!< SCB AIRCR: VECTKEYSTAT Mask */ + +#define SCB_AIRCR_ENDIANESS_Pos 15U /*!< SCB AIRCR: ENDIANESS Position */ +#define SCB_AIRCR_ENDIANESS_Msk (1UL << SCB_AIRCR_ENDIANESS_Pos) /*!< SCB AIRCR: ENDIANESS Mask */ + +#define SCB_AIRCR_SYSRESETREQ_Pos 2U /*!< SCB AIRCR: SYSRESETREQ Position */ +#define SCB_AIRCR_SYSRESETREQ_Msk (1UL << SCB_AIRCR_SYSRESETREQ_Pos) /*!< SCB AIRCR: SYSRESETREQ Mask */ + +#define SCB_AIRCR_VECTCLRACTIVE_Pos 1U /*!< SCB AIRCR: VECTCLRACTIVE Position */ +#define SCB_AIRCR_VECTCLRACTIVE_Msk (1UL << SCB_AIRCR_VECTCLRACTIVE_Pos) /*!< SCB AIRCR: VECTCLRACTIVE Mask */ + +/* SCB System Control Register Definitions */ +#define SCB_SCR_SEVONPEND_Pos 4U /*!< SCB SCR: SEVONPEND Position */ +#define SCB_SCR_SEVONPEND_Msk (1UL << SCB_SCR_SEVONPEND_Pos) /*!< SCB SCR: SEVONPEND Mask */ + +#define SCB_SCR_SLEEPDEEP_Pos 2U /*!< SCB SCR: SLEEPDEEP Position */ +#define SCB_SCR_SLEEPDEEP_Msk (1UL << SCB_SCR_SLEEPDEEP_Pos) /*!< SCB SCR: SLEEPDEEP Mask */ + +#define SCB_SCR_SLEEPONEXIT_Pos 1U /*!< SCB SCR: SLEEPONEXIT Position */ +#define SCB_SCR_SLEEPONEXIT_Msk (1UL << SCB_SCR_SLEEPONEXIT_Pos) /*!< SCB SCR: SLEEPONEXIT Mask */ + +/* SCB Configuration Control Register Definitions */ +#define SCB_CCR_STKALIGN_Pos 9U /*!< SCB CCR: STKALIGN Position */ +#define SCB_CCR_STKALIGN_Msk (1UL << SCB_CCR_STKALIGN_Pos) /*!< SCB CCR: STKALIGN Mask */ + +#define SCB_CCR_UNALIGN_TRP_Pos 3U /*!< SCB CCR: UNALIGN_TRP Position */ +#define SCB_CCR_UNALIGN_TRP_Msk (1UL << SCB_CCR_UNALIGN_TRP_Pos) /*!< SCB CCR: UNALIGN_TRP Mask */ + +/* SCB System Handler Control and State Register Definitions */ +#define SCB_SHCSR_SVCALLPENDED_Pos 15U /*!< SCB SHCSR: SVCALLPENDED Position */ +#define SCB_SHCSR_SVCALLPENDED_Msk (1UL << SCB_SHCSR_SVCALLPENDED_Pos) /*!< SCB SHCSR: SVCALLPENDED Mask */ + +/*@} end of group CMSIS_SCB */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_SysTick System Tick Timer (SysTick) + \brief Type definitions for the System Timer Registers. + @{ + */ + +/** + \brief Structure type to access the System Timer (SysTick). + */ +typedef struct +{ + __IOM uint32_t CTRL; /*!< Offset: 0x000 (R/W) SysTick Control and Status Register */ + __IOM uint32_t LOAD; /*!< Offset: 0x004 (R/W) SysTick Reload Value Register */ + __IOM uint32_t VAL; /*!< Offset: 0x008 (R/W) SysTick Current Value Register */ + __IM uint32_t CALIB; /*!< Offset: 0x00C (R/ ) SysTick Calibration Register */ +} SysTick_Type; + +/* SysTick Control / Status Register Definitions */ +#define SysTick_CTRL_COUNTFLAG_Pos 16U /*!< SysTick CTRL: COUNTFLAG Position */ +#define SysTick_CTRL_COUNTFLAG_Msk (1UL << SysTick_CTRL_COUNTFLAG_Pos) /*!< SysTick CTRL: COUNTFLAG Mask */ + +#define SysTick_CTRL_CLKSOURCE_Pos 2U /*!< SysTick CTRL: CLKSOURCE Position */ +#define SysTick_CTRL_CLKSOURCE_Msk (1UL << SysTick_CTRL_CLKSOURCE_Pos) /*!< SysTick CTRL: CLKSOURCE Mask */ + +#define SysTick_CTRL_TICKINT_Pos 1U /*!< SysTick CTRL: TICKINT Position */ +#define SysTick_CTRL_TICKINT_Msk (1UL << SysTick_CTRL_TICKINT_Pos) /*!< SysTick CTRL: TICKINT Mask */ + +#define SysTick_CTRL_ENABLE_Pos 0U /*!< SysTick CTRL: ENABLE Position */ +#define SysTick_CTRL_ENABLE_Msk (1UL /*<< SysTick_CTRL_ENABLE_Pos*/) /*!< SysTick CTRL: ENABLE Mask */ + +/* SysTick Reload Register Definitions */ +#define SysTick_LOAD_RELOAD_Pos 0U /*!< SysTick LOAD: RELOAD Position */ +#define SysTick_LOAD_RELOAD_Msk (0xFFFFFFUL /*<< SysTick_LOAD_RELOAD_Pos*/) /*!< SysTick LOAD: RELOAD Mask */ + +/* SysTick Current Register Definitions */ +#define SysTick_VAL_CURRENT_Pos 0U /*!< SysTick VAL: CURRENT Position */ +#define SysTick_VAL_CURRENT_Msk (0xFFFFFFUL /*<< SysTick_VAL_CURRENT_Pos*/) /*!< SysTick VAL: CURRENT Mask */ + +/* SysTick Calibration Register Definitions */ +#define SysTick_CALIB_NOREF_Pos 31U /*!< SysTick CALIB: NOREF Position */ +#define SysTick_CALIB_NOREF_Msk (1UL << SysTick_CALIB_NOREF_Pos) /*!< SysTick CALIB: NOREF Mask */ + +#define SysTick_CALIB_SKEW_Pos 30U /*!< SysTick CALIB: SKEW Position */ +#define SysTick_CALIB_SKEW_Msk (1UL << SysTick_CALIB_SKEW_Pos) /*!< SysTick CALIB: SKEW Mask */ + +#define SysTick_CALIB_TENMS_Pos 0U /*!< SysTick CALIB: TENMS Position */ +#define SysTick_CALIB_TENMS_Msk (0xFFFFFFUL /*<< SysTick_CALIB_TENMS_Pos*/) /*!< SysTick CALIB: TENMS Mask */ + +/*@} end of group CMSIS_SysTick */ + +#if (__MPU_PRESENT == 1U) +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_MPU Memory Protection Unit (MPU) + \brief Type definitions for the Memory Protection Unit (MPU) + @{ + */ + +/** + \brief Structure type to access the Memory Protection Unit (MPU). + */ +typedef struct +{ + __IM uint32_t TYPE; /*!< Offset: 0x000 (R/ ) MPU Type Register */ + __IOM uint32_t CTRL; /*!< Offset: 0x004 (R/W) MPU Control Register */ + __IOM uint32_t RNR; /*!< Offset: 0x008 (R/W) MPU Region RNRber Register */ + __IOM uint32_t RBAR; /*!< Offset: 0x00C (R/W) MPU Region Base Address Register */ + __IOM uint32_t RASR; /*!< Offset: 0x010 (R/W) MPU Region Attribute and Size Register */ +} MPU_Type; + +/* MPU Type Register Definitions */ +#define MPU_TYPE_IREGION_Pos 16U /*!< MPU TYPE: IREGION Position */ +#define MPU_TYPE_IREGION_Msk (0xFFUL << MPU_TYPE_IREGION_Pos) /*!< MPU TYPE: IREGION Mask */ + +#define MPU_TYPE_DREGION_Pos 8U /*!< MPU TYPE: DREGION Position */ +#define MPU_TYPE_DREGION_Msk (0xFFUL << MPU_TYPE_DREGION_Pos) /*!< MPU TYPE: DREGION Mask */ + +#define MPU_TYPE_SEPARATE_Pos 0U /*!< MPU TYPE: SEPARATE Position */ +#define MPU_TYPE_SEPARATE_Msk (1UL /*<< MPU_TYPE_SEPARATE_Pos*/) /*!< MPU TYPE: SEPARATE Mask */ + +/* MPU Control Register Definitions */ +#define MPU_CTRL_PRIVDEFENA_Pos 2U /*!< MPU CTRL: PRIVDEFENA Position */ +#define MPU_CTRL_PRIVDEFENA_Msk (1UL << MPU_CTRL_PRIVDEFENA_Pos) /*!< MPU CTRL: PRIVDEFENA Mask */ + +#define MPU_CTRL_HFNMIENA_Pos 1U /*!< MPU CTRL: HFNMIENA Position */ +#define MPU_CTRL_HFNMIENA_Msk (1UL << MPU_CTRL_HFNMIENA_Pos) /*!< MPU CTRL: HFNMIENA Mask */ + +#define MPU_CTRL_ENABLE_Pos 0U /*!< MPU CTRL: ENABLE Position */ +#define MPU_CTRL_ENABLE_Msk (1UL /*<< MPU_CTRL_ENABLE_Pos*/) /*!< MPU CTRL: ENABLE Mask */ + +/* MPU Region Number Register Definitions */ +#define MPU_RNR_REGION_Pos 0U /*!< MPU RNR: REGION Position */ +#define MPU_RNR_REGION_Msk (0xFFUL /*<< MPU_RNR_REGION_Pos*/) /*!< MPU RNR: REGION Mask */ + +/* MPU Region Base Address Register Definitions */ +#define MPU_RBAR_ADDR_Pos 8U /*!< MPU RBAR: ADDR Position */ +#define MPU_RBAR_ADDR_Msk (0xFFFFFFUL << MPU_RBAR_ADDR_Pos) /*!< MPU RBAR: ADDR Mask */ + +#define MPU_RBAR_VALID_Pos 4U /*!< MPU RBAR: VALID Position */ +#define MPU_RBAR_VALID_Msk (1UL << MPU_RBAR_VALID_Pos) /*!< MPU RBAR: VALID Mask */ + +#define MPU_RBAR_REGION_Pos 0U /*!< MPU RBAR: REGION Position */ +#define MPU_RBAR_REGION_Msk (0xFUL /*<< MPU_RBAR_REGION_Pos*/) /*!< MPU RBAR: REGION Mask */ + +/* MPU Region Attribute and Size Register Definitions */ +#define MPU_RASR_ATTRS_Pos 16U /*!< MPU RASR: MPU Region Attribute field Position */ +#define MPU_RASR_ATTRS_Msk (0xFFFFUL << MPU_RASR_ATTRS_Pos) /*!< MPU RASR: MPU Region Attribute field Mask */ + +#define MPU_RASR_XN_Pos 28U /*!< MPU RASR: ATTRS.XN Position */ +#define MPU_RASR_XN_Msk (1UL << MPU_RASR_XN_Pos) /*!< MPU RASR: ATTRS.XN Mask */ + +#define MPU_RASR_AP_Pos 24U /*!< MPU RASR: ATTRS.AP Position */ +#define MPU_RASR_AP_Msk (0x7UL << MPU_RASR_AP_Pos) /*!< MPU RASR: ATTRS.AP Mask */ + +#define MPU_RASR_TEX_Pos 19U /*!< MPU RASR: ATTRS.TEX Position */ +#define MPU_RASR_TEX_Msk (0x7UL << MPU_RASR_TEX_Pos) /*!< MPU RASR: ATTRS.TEX Mask */ + +#define MPU_RASR_S_Pos 18U /*!< MPU RASR: ATTRS.S Position */ +#define MPU_RASR_S_Msk (1UL << MPU_RASR_S_Pos) /*!< MPU RASR: ATTRS.S Mask */ + +#define MPU_RASR_C_Pos 17U /*!< MPU RASR: ATTRS.C Position */ +#define MPU_RASR_C_Msk (1UL << MPU_RASR_C_Pos) /*!< MPU RASR: ATTRS.C Mask */ + +#define MPU_RASR_B_Pos 16U /*!< MPU RASR: ATTRS.B Position */ +#define MPU_RASR_B_Msk (1UL << MPU_RASR_B_Pos) /*!< MPU RASR: ATTRS.B Mask */ + +#define MPU_RASR_SRD_Pos 8U /*!< MPU RASR: Sub-Region Disable Position */ +#define MPU_RASR_SRD_Msk (0xFFUL << MPU_RASR_SRD_Pos) /*!< MPU RASR: Sub-Region Disable Mask */ + +#define MPU_RASR_SIZE_Pos 1U /*!< MPU RASR: Region Size Field Position */ +#define MPU_RASR_SIZE_Msk (0x1FUL << MPU_RASR_SIZE_Pos) /*!< MPU RASR: Region Size Field Mask */ + +#define MPU_RASR_ENABLE_Pos 0U /*!< MPU RASR: Region enable bit Position */ +#define MPU_RASR_ENABLE_Msk (1UL /*<< MPU_RASR_ENABLE_Pos*/) /*!< MPU RASR: Region enable bit Disable Mask */ + +/*@} end of group CMSIS_MPU */ +#endif + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_CoreDebug Core Debug Registers (CoreDebug) + \brief Cortex-M0+ Core Debug Registers (DCB registers, SHCSR, and DFSR) are only accessible over DAP and not via processor. + Therefore they are not covered by the Cortex-M0+ header file. + @{ + */ +/*@} end of group CMSIS_CoreDebug */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_core_bitfield Core register bit field macros + \brief Macros for use with bit field definitions (xxx_Pos, xxx_Msk). + @{ + */ + +/** + \brief Mask and shift a bit field value for use in a register bit range. + \param[in] field Name of the register bit field. + \param[in] value Value of the bit field. + \return Masked and shifted value. +*/ +#define _VAL2FLD(field, value) ((value << field ## _Pos) & field ## _Msk) + +/** + \brief Mask and shift a register value to extract a bit filed value. + \param[in] field Name of the register bit field. + \param[in] value Value of register. + \return Masked and shifted bit field value. +*/ +#define _FLD2VAL(field, value) ((value & field ## _Msk) >> field ## _Pos) + +/*@} end of group CMSIS_core_bitfield */ + + +/** + \ingroup CMSIS_core_register + \defgroup CMSIS_core_base Core Definitions + \brief Definitions for base addresses, unions, and structures. + @{ + */ + +/* Memory mapping of Cortex-M0+ Hardware */ +#define SCS_BASE (0xE000E000UL) /*!< System Control Space Base Address */ +#define SysTick_BASE (SCS_BASE + 0x0010UL) /*!< SysTick Base Address */ +#define NVIC_BASE (SCS_BASE + 0x0100UL) /*!< NVIC Base Address */ +#define SCB_BASE (SCS_BASE + 0x0D00UL) /*!< System Control Block Base Address */ + +#define SCB ((SCB_Type *) SCB_BASE ) /*!< SCB configuration struct */ +#define SysTick ((SysTick_Type *) SysTick_BASE ) /*!< SysTick configuration struct */ +#define NVIC ((NVIC_Type *) NVIC_BASE ) /*!< NVIC configuration struct */ + +#if (__MPU_PRESENT == 1U) + #define MPU_BASE (SCS_BASE + 0x0D90UL) /*!< Memory Protection Unit */ + #define MPU ((MPU_Type *) MPU_BASE ) /*!< Memory Protection Unit */ +#endif + +/*@} */ + + + +/******************************************************************************* + * Hardware Abstraction Layer + Core Function Interface contains: + - Core NVIC Functions + - Core SysTick Functions + - Core Register Access Functions + ******************************************************************************/ +/** + \defgroup CMSIS_Core_FunctionInterface Functions and Instructions Reference +*/ + + + +/* ########################## NVIC functions #################################### */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_NVICFunctions NVIC Functions + \brief Functions that manage interrupts and exceptions via the NVIC. + @{ + */ + +/* Interrupt Priorities are WORD accessible only under ARMv6M */ +/* The following MACROS handle generation of the register offset and byte masks */ +#define _BIT_SHIFT(IRQn) ( ((((uint32_t)(int32_t)(IRQn)) ) & 0x03UL) * 8UL) +#define _SHP_IDX(IRQn) ( (((((uint32_t)(int32_t)(IRQn)) & 0x0FUL)-8UL) >> 2UL) ) +#define _IP_IDX(IRQn) ( (((uint32_t)(int32_t)(IRQn)) >> 2UL) ) + + +/** + \brief Enable External Interrupt + \details Enables a device-specific interrupt in the NVIC interrupt controller. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_EnableIRQ(IRQn_Type IRQn) +{ + NVIC->ISER[0U] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Disable External Interrupt + \details Disables a device-specific interrupt in the NVIC interrupt controller. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_DisableIRQ(IRQn_Type IRQn) +{ + NVIC->ICER[0U] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Get Pending Interrupt + \details Reads the pending register in the NVIC and returns the pending bit for the specified interrupt. + \param [in] IRQn Interrupt number. + \return 0 Interrupt status is not pending. + \return 1 Interrupt status is pending. + */ +__STATIC_INLINE uint32_t NVIC_GetPendingIRQ(IRQn_Type IRQn) +{ + return((uint32_t)(((NVIC->ISPR[0U] & (1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL))) != 0UL) ? 1UL : 0UL)); +} + + +/** + \brief Set Pending Interrupt + \details Sets the pending bit of an external interrupt. + \param [in] IRQn Interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_SetPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ISPR[0U] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Clear Pending Interrupt + \details Clears the pending bit of an external interrupt. + \param [in] IRQn External interrupt number. Value cannot be negative. + */ +__STATIC_INLINE void NVIC_ClearPendingIRQ(IRQn_Type IRQn) +{ + NVIC->ICPR[0U] = (uint32_t)(1UL << (((uint32_t)(int32_t)IRQn) & 0x1FUL)); +} + + +/** + \brief Set Interrupt Priority + \details Sets the priority of an interrupt. + \note The priority cannot be set for every core interrupt. + \param [in] IRQn Interrupt number. + \param [in] priority Priority to set. + */ +__STATIC_INLINE void NVIC_SetPriority(IRQn_Type IRQn, uint32_t priority) +{ + if ((int32_t)(IRQn) < 0) + { + SCB->SHP[_SHP_IDX(IRQn)] = ((uint32_t)(SCB->SHP[_SHP_IDX(IRQn)] & ~(0xFFUL << _BIT_SHIFT(IRQn))) | + (((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL) << _BIT_SHIFT(IRQn))); + } + else + { + NVIC->IP[_IP_IDX(IRQn)] = ((uint32_t)(NVIC->IP[_IP_IDX(IRQn)] & ~(0xFFUL << _BIT_SHIFT(IRQn))) | + (((priority << (8U - __NVIC_PRIO_BITS)) & (uint32_t)0xFFUL) << _BIT_SHIFT(IRQn))); + } +} + + +/** + \brief Get Interrupt Priority + \details Reads the priority of an interrupt. + The interrupt number can be positive to specify an external (device specific) interrupt, + or negative to specify an internal (core) interrupt. + \param [in] IRQn Interrupt number. + \return Interrupt Priority. + Value is aligned automatically to the implemented priority bits of the microcontroller. + */ +__STATIC_INLINE uint32_t NVIC_GetPriority(IRQn_Type IRQn) +{ + + if ((int32_t)(IRQn) < 0) + { + return((uint32_t)(((SCB->SHP[_SHP_IDX(IRQn)] >> _BIT_SHIFT(IRQn) ) & (uint32_t)0xFFUL) >> (8U - __NVIC_PRIO_BITS))); + } + else + { + return((uint32_t)(((NVIC->IP[ _IP_IDX(IRQn)] >> _BIT_SHIFT(IRQn) ) & (uint32_t)0xFFUL) >> (8U - __NVIC_PRIO_BITS))); + } +} + + +/** + \brief System Reset + \details Initiates a system reset request to reset the MCU. + */ +__STATIC_INLINE void NVIC_SystemReset(void) +{ + __DSB(); /* Ensure all outstanding memory accesses included + buffered write are completed before reset */ + SCB->AIRCR = ((0x5FAUL << SCB_AIRCR_VECTKEY_Pos) | + SCB_AIRCR_SYSRESETREQ_Msk); + __DSB(); /* Ensure completion of memory access */ + + for(;;) /* wait until reset */ + { + __NOP(); + } +} + +/*@} end of CMSIS_Core_NVICFunctions */ + + + +/* ################################## SysTick function ############################################ */ +/** + \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_SysTickFunctions SysTick Functions + \brief Functions that configure the System. + @{ + */ + +#if (__Vendor_SysTickConfig == 0U) + +/** + \brief System Tick Configuration + \details Initializes the System Timer and its interrupt, and starts the System Tick Timer. + Counter is in free running mode to generate periodic interrupts. + \param [in] ticks Number of ticks between two interrupts. + \return 0 Function succeeded. + \return 1 Function failed. + \note When the variable __Vendor_SysTickConfig is set to 1, then the + function SysTick_Config is not included. In this case, the file device.h + must contain a vendor-specific implementation of this function. + */ +__STATIC_INLINE uint32_t SysTick_Config(uint32_t ticks) +{ + if ((ticks - 1UL) > SysTick_LOAD_RELOAD_Msk) + { + return (1UL); /* Reload value impossible */ + } + + SysTick->LOAD = (uint32_t)(ticks - 1UL); /* set reload register */ + NVIC_SetPriority (SysTick_IRQn, (1UL << __NVIC_PRIO_BITS) - 1UL); /* set Priority for Systick Interrupt */ + SysTick->VAL = 0UL; /* Load the SysTick Counter Value */ + SysTick->CTRL = SysTick_CTRL_CLKSOURCE_Msk | + SysTick_CTRL_TICKINT_Msk | + SysTick_CTRL_ENABLE_Msk; /* Enable SysTick IRQ and SysTick Timer */ + return (0UL); /* Function successful */ +} + +#endif + +/*@} end of CMSIS_Core_SysTickFunctions */ + + + + +#ifdef __cplusplus +} +#endif + +#endif /* __CORE_CM0PLUS_H_DEPENDANT */ + +#endif /* __CMSIS_GENERIC */ diff --git a/Project/SDK_V2_5_0/Core/core_cmfunc.h b/Project/SDK_V2_5_0/Core/core_cmfunc.h new file mode 100644 index 0000000..652a48a --- /dev/null +++ b/Project/SDK_V2_5_0/Core/core_cmfunc.h @@ -0,0 +1,87 @@ +/**************************************************************************//** + * @file core_cmFunc.h + * @brief CMSIS Cortex-M Core Function Access Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CMFUNC_H +#define __CORE_CMFUNC_H + + +/* ########################### Core Function Access ########################### */ +/** \ingroup CMSIS_Core_FunctionInterface + \defgroup CMSIS_Core_RegAccFunctions CMSIS Core Register Access Functions + @{ +*/ + +/*------------------ RealView Compiler -----------------*/ +#if defined ( __CC_ARM ) + #include "cmsis_armcc.h" + +/*------------------ ARM Compiler V6 -------------------*/ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #include "cmsis_armcc_V6.h" + +/*------------------ GNU Compiler ----------------------*/ +#elif defined ( __GNUC__ ) + #include "cmsis_gcc.h" + +/*------------------ ICC Compiler ----------------------*/ +#elif defined ( __ICCARM__ ) + #include + +/*------------------ TI CCS Compiler -------------------*/ +#elif defined ( __TMS470__ ) + #include + +/*------------------ TASKING Compiler ------------------*/ +#elif defined ( __TASKING__ ) + /* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + +/*------------------ COSMIC Compiler -------------------*/ +#elif defined ( __CSMC__ ) + #include + +#endif + +/*@} end of CMSIS_Core_RegAccFunctions */ + +#endif /* __CORE_CMFUNC_H */ diff --git a/Project/SDK_V2_5_0/Core/core_cminstr.h b/Project/SDK_V2_5_0/Core/core_cminstr.h new file mode 100644 index 0000000..f474b0e --- /dev/null +++ b/Project/SDK_V2_5_0/Core/core_cminstr.h @@ -0,0 +1,87 @@ +/**************************************************************************//** + * @file core_cmInstr.h + * @brief CMSIS Cortex-M Core Instruction Access Header File + * @version V4.30 + * @date 20. October 2015 + ******************************************************************************/ +/* Copyright (c) 2009 - 2015 ARM LIMITED + + All rights reserved. + Redistribution and use in source and binary forms, with or without + modification, are permitted provided that the following conditions are met: + - Redistributions of source code must retain the above copyright + notice, this list of conditions and the following disclaimer. + - Redistributions in binary form must reproduce the above copyright + notice, this list of conditions and the following disclaimer in the + documentation and/or other materials provided with the distribution. + - Neither the name of ARM nor the names of its contributors may be used + to endorse or promote products derived from this software without + specific prior written permission. + * + THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" + AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE + IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE + ARE DISCLAIMED. IN NO EVENT SHALL COPYRIGHT HOLDERS AND CONTRIBUTORS BE + LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR + CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF + SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS + INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN + CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) + ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE + POSSIBILITY OF SUCH DAMAGE. + ---------------------------------------------------------------------------*/ + + +#if defined ( __ICCARM__ ) + #pragma system_include /* treat file as system include file for MISRA check */ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang system_header /* treat file as system include file */ +#endif + +#ifndef __CORE_CMINSTR_H +#define __CORE_CMINSTR_H + + +/* ########################## Core Instruction Access ######################### */ +/** \defgroup CMSIS_Core_InstructionInterface CMSIS Core Instruction Interface + Access to dedicated instructions + @{ +*/ + +/*------------------ RealView Compiler -----------------*/ +#if defined ( __CC_ARM ) + #include "cmsis_armcc.h" + +/*------------------ ARM Compiler V6 -------------------*/ +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #include "cmsis_armcc_V6.h" + +/*------------------ GNU Compiler ----------------------*/ +#elif defined ( __GNUC__ ) + #include "cmsis_gcc.h" + +/*------------------ ICC Compiler ----------------------*/ +#elif defined ( __ICCARM__ ) + #include + +/*------------------ TI CCS Compiler -------------------*/ +#elif defined ( __TMS470__ ) + #include + +/*------------------ TASKING Compiler ------------------*/ +#elif defined ( __TASKING__ ) + /* + * The CMSIS functions have been implemented as intrinsics in the compiler. + * Please use "carm -?i" to get an up to date list of all intrinsics, + * Including the CMSIS ones. + */ + +/*------------------ COSMIC Compiler -------------------*/ +#elif defined ( __CSMC__ ) + #include + +#endif + +/*@}*/ /* end of group CMSIS_Core_InstructionInterface */ + +#endif /* __CORE_CMINSTR_H */ diff --git a/Project/SDK_V2_5_0/Debugging_Info/A31G12x.SFR b/Project/SDK_V2_5_0/Debugging_Info/A31G12x.SFR new file mode 100644 index 0000000..a38538d Binary files /dev/null and b/Project/SDK_V2_5_0/Debugging_Info/A31G12x.SFR differ diff --git a/Project/SDK_V2_5_0/Debugging_Info/A31G12x.sfd b/Project/SDK_V2_5_0/Debugging_Info/A31G12x.sfd new file mode 100644 index 0000000..3bfa9fd --- /dev/null +++ b/Project/SDK_V2_5_0/Debugging_Info/A31G12x.sfd @@ -0,0 +1,63162 @@ +/* + * ARM Limited (ARM) is supplying this software for use with Cortex-M + * processor based microcontroller, but can be equally used for other + * suitable processor architectures. This file can be freely distributed. + * Modifications to this file shall be clearly marked. + * + * THIS SOFTWARE IS PROVIDED "AS IS". NO WARRANTIES, WHETHER EXPRESS, IMPLIED + * OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. + * ARM SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR + * CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER. + * + * @file A31G12x.sfd + * @brief CMSIS-SVD SFD File + * @version 1.0 + * @date 23. July 2020 + * @note Generated by SVDConv V3.3.18 on Thursday, 23.07.2020 15:12:47 + * from File 'A31G12x.svd', + * last modified on Thursday, 23.07.2020 05:38:08 + */ + + + + +// --------------------------- Register Item Address: INTC_PBTRIG ------------------------------- +// SVD Line: 117 + +unsigned int INTC_PBTRIG __AT (0x40001004); + + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG11 -------------------------------- +// SVD Line: 126 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG11 +// ITRIG11 +// +// [Bit 11] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 11\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG11 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG10 -------------------------------- +// SVD Line: 144 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG10 +// ITRIG10 +// +// [Bit 10] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 10\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG10 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG9 --------------------------------- +// SVD Line: 162 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG9 +// ITRIG9 +// +// [Bit 9] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 9\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG9 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG8 --------------------------------- +// SVD Line: 180 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG8 +// ITRIG8 +// +// [Bit 8] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 8\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG8 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG7 --------------------------------- +// SVD Line: 198 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG7 +// ITRIG7 +// +// [Bit 7] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 7\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG7 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG6 --------------------------------- +// SVD Line: 216 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG6 +// ITRIG6 +// +// [Bit 6] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 6\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG6 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG5 --------------------------------- +// SVD Line: 234 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG5 +// ITRIG5 +// +// [Bit 5] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 5\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG5 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG4 --------------------------------- +// SVD Line: 252 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG4 +// ITRIG4 +// +// [Bit 4] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 4\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG4 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG3 --------------------------------- +// SVD Line: 270 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG3 +// ITRIG3 +// +// [Bit 3] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 3\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG3 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG2 --------------------------------- +// SVD Line: 288 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG2 +// ITRIG2 +// +// [Bit 2] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 2\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG2 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG1 --------------------------------- +// SVD Line: 306 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG1 +// ITRIG1 +// +// [Bit 1] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 1\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG1 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBTRIG_ITRIG0 --------------------------------- +// SVD Line: 324 + +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG0 +// ITRIG0 +// +// [Bit 0] RW (@ 0x40001004) \nPort B Interrupt Trigger Selection 0\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PBTRIG ) +// ITRIG0 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ------------------------------- Register RTree: INTC_PBTRIG ---------------------------------- +// SVD Line: 117 + +// SFDITEM_REG__INTC_PBTRIG +// PBTRIG +// +// [Bits 31..0] RW (@ 0x40001004) Port B Interrupt Trigger Selection Register +// ( (unsigned int)((INTC_PBTRIG >> 0) & 0xFFFFFFFF), ((INTC_PBTRIG = (INTC_PBTRIG & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG11 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG10 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG9 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG8 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG7 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG6 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG5 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG4 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG3 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG2 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG1 +// SFDITEM_FIELD__INTC_PBTRIG_ITRIG0 +// +// + + +// --------------------------- Register Item Address: INTC_PCTRIG ------------------------------- +// SVD Line: 344 + +unsigned int INTC_PCTRIG __AT (0x40001008); + + + +// ----------------------------- Field Item: INTC_PCTRIG_ITRIG3 --------------------------------- +// SVD Line: 353 + +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG3 +// ITRIG3 +// +// [Bit 3] RW (@ 0x40001008) \nPort C Interrupt Trigger Selection 3\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PCTRIG ) +// ITRIG3 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PCTRIG_ITRIG2 --------------------------------- +// SVD Line: 371 + +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG2 +// ITRIG2 +// +// [Bit 2] RW (@ 0x40001008) \nPort C Interrupt Trigger Selection 2\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PCTRIG ) +// ITRIG2 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PCTRIG_ITRIG1 --------------------------------- +// SVD Line: 389 + +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG1 +// ITRIG1 +// +// [Bit 1] RW (@ 0x40001008) \nPort C Interrupt Trigger Selection 1\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PCTRIG ) +// ITRIG1 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PCTRIG_ITRIG0 --------------------------------- +// SVD Line: 407 + +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG0 +// ITRIG0 +// +// [Bit 0] RW (@ 0x40001008) \nPort C Interrupt Trigger Selection 0\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PCTRIG ) +// ITRIG0 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ------------------------------- Register RTree: INTC_PCTRIG ---------------------------------- +// SVD Line: 344 + +// SFDITEM_REG__INTC_PCTRIG +// PCTRIG +// +// [Bits 31..0] RW (@ 0x40001008) Port C Interrupt Trigger Selection Register +// ( (unsigned int)((INTC_PCTRIG >> 0) & 0xFFFFFFFF), ((INTC_PCTRIG = (INTC_PCTRIG & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG3 +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG2 +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG1 +// SFDITEM_FIELD__INTC_PCTRIG_ITRIG0 +// +// + + +// --------------------------- Register Item Address: INTC_PETRIG ------------------------------- +// SVD Line: 427 + +unsigned int INTC_PETRIG __AT (0x40001010); + + + +// ----------------------------- Field Item: INTC_PETRIG_ITRIG3 --------------------------------- +// SVD Line: 436 + +// SFDITEM_FIELD__INTC_PETRIG_ITRIG3 +// ITRIG3 +// +// [Bit 3] RW (@ 0x40001010) \nPort E Interrupt Trigger Selection 3\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PETRIG ) +// ITRIG3 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PETRIG_ITRIG2 --------------------------------- +// SVD Line: 454 + +// SFDITEM_FIELD__INTC_PETRIG_ITRIG2 +// ITRIG2 +// +// [Bit 2] RW (@ 0x40001010) \nPort E Interrupt Trigger Selection 2\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PETRIG ) +// ITRIG2 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PETRIG_ITRIG1 --------------------------------- +// SVD Line: 472 + +// SFDITEM_FIELD__INTC_PETRIG_ITRIG1 +// ITRIG1 +// +// [Bit 1] RW (@ 0x40001010) \nPort E Interrupt Trigger Selection 1\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PETRIG ) +// ITRIG1 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PETRIG_ITRIG0 --------------------------------- +// SVD Line: 490 + +// SFDITEM_FIELD__INTC_PETRIG_ITRIG0 +// ITRIG0 +// +// [Bit 0] RW (@ 0x40001010) \nPort E Interrupt Trigger Selection 0\n0 : Edge = Edge trigger interrupt\n1 : Level = Level trigger interrupt +// +// ( (unsigned int) INTC_PETRIG ) +// ITRIG0 +// <0=> 0: Edge = Edge trigger interrupt +// <1=> 1: Level = Level trigger interrupt +// +// +// + + +// ------------------------------- Register RTree: INTC_PETRIG ---------------------------------- +// SVD Line: 427 + +// SFDITEM_REG__INTC_PETRIG +// PETRIG +// +// [Bits 31..0] RW (@ 0x40001010) Port E Interrupt Trigger Selection Register +// ( (unsigned int)((INTC_PETRIG >> 0) & 0xFFFFFFFF), ((INTC_PETRIG = (INTC_PETRIG & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PETRIG_ITRIG3 +// SFDITEM_FIELD__INTC_PETRIG_ITRIG2 +// SFDITEM_FIELD__INTC_PETRIG_ITRIG1 +// SFDITEM_FIELD__INTC_PETRIG_ITRIG0 +// +// + + +// ---------------------------- Register Item Address: INTC_PBCR -------------------------------- +// SVD Line: 510 + +unsigned int INTC_PBCR __AT (0x40001104); + + + +// ----------------------------- Field Item: INTC_PBCR_INTCTL11 --------------------------------- +// SVD Line: 519 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL11 +// INTCTL11 +// +// [Bits 23..22] RW (@ 0x40001104) \nPort B Interrupt Control 11\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL11 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ----------------------------- Field Item: INTC_PBCR_INTCTL10 --------------------------------- +// SVD Line: 547 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL10 +// INTCTL10 +// +// [Bits 21..20] RW (@ 0x40001104) \nPort B Interrupt Control 10\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL10 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL9 --------------------------------- +// SVD Line: 575 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL9 +// INTCTL9 +// +// [Bits 19..18] RW (@ 0x40001104) \nPort B Interrupt Control 9\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL9 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL8 --------------------------------- +// SVD Line: 603 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL8 +// INTCTL8 +// +// [Bits 17..16] RW (@ 0x40001104) \nPort B Interrupt Control 8\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL8 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL7 --------------------------------- +// SVD Line: 631 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL7 +// INTCTL7 +// +// [Bits 15..14] RW (@ 0x40001104) \nPort B Interrupt Control 7\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL7 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL6 --------------------------------- +// SVD Line: 659 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL6 +// INTCTL6 +// +// [Bits 13..12] RW (@ 0x40001104) \nPort B Interrupt Control 6\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL6 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL5 --------------------------------- +// SVD Line: 687 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL5 +// INTCTL5 +// +// [Bits 11..10] RW (@ 0x40001104) \nPort B Interrupt Control 5\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL5 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL4 --------------------------------- +// SVD Line: 715 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL4 +// INTCTL4 +// +// [Bits 9..8] RW (@ 0x40001104) \nPort B Interrupt Control 4\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL4 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL3 --------------------------------- +// SVD Line: 743 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL3 +// INTCTL3 +// +// [Bits 7..6] RW (@ 0x40001104) \nPort B Interrupt Control 3\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL3 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL2 --------------------------------- +// SVD Line: 771 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL2 +// INTCTL2 +// +// [Bits 5..4] RW (@ 0x40001104) \nPort B Interrupt Control 2\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL2 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL1 --------------------------------- +// SVD Line: 799 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL1 +// INTCTL1 +// +// [Bits 3..2] RW (@ 0x40001104) \nPort B Interrupt Control 1\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL1 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PBCR_INTCTL0 --------------------------------- +// SVD Line: 827 + +// SFDITEM_FIELD__INTC_PBCR_INTCTL0 +// INTCTL0 +// +// [Bits 1..0] RW (@ 0x40001104) \nPort B Interrupt Control 0\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PBCR ) +// INTCTL0 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// -------------------------------- Register RTree: INTC_PBCR ----------------------------------- +// SVD Line: 510 + +// SFDITEM_REG__INTC_PBCR +// PBCR +// +// [Bits 31..0] RW (@ 0x40001104) Port B Interrupt Control Register +// ( (unsigned int)((INTC_PBCR >> 0) & 0xFFFFFFFF), ((INTC_PBCR = (INTC_PBCR & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PBCR_INTCTL11 +// SFDITEM_FIELD__INTC_PBCR_INTCTL10 +// SFDITEM_FIELD__INTC_PBCR_INTCTL9 +// SFDITEM_FIELD__INTC_PBCR_INTCTL8 +// SFDITEM_FIELD__INTC_PBCR_INTCTL7 +// SFDITEM_FIELD__INTC_PBCR_INTCTL6 +// SFDITEM_FIELD__INTC_PBCR_INTCTL5 +// SFDITEM_FIELD__INTC_PBCR_INTCTL4 +// SFDITEM_FIELD__INTC_PBCR_INTCTL3 +// SFDITEM_FIELD__INTC_PBCR_INTCTL2 +// SFDITEM_FIELD__INTC_PBCR_INTCTL1 +// SFDITEM_FIELD__INTC_PBCR_INTCTL0 +// +// + + +// ---------------------------- Register Item Address: INTC_PCCR -------------------------------- +// SVD Line: 857 + +unsigned int INTC_PCCR __AT (0x40001108); + + + +// ------------------------------ Field Item: INTC_PCCR_INTCTL3 --------------------------------- +// SVD Line: 866 + +// SFDITEM_FIELD__INTC_PCCR_INTCTL3 +// INTCTL3 +// +// [Bits 7..6] RW (@ 0x40001108) \nPort C Interrupt Control 3\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PCCR ) +// INTCTL3 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PCCR_INTCTL2 --------------------------------- +// SVD Line: 894 + +// SFDITEM_FIELD__INTC_PCCR_INTCTL2 +// INTCTL2 +// +// [Bits 5..4] RW (@ 0x40001108) \nPort C Interrupt Control 2\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PCCR ) +// INTCTL2 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PCCR_INTCTL1 --------------------------------- +// SVD Line: 922 + +// SFDITEM_FIELD__INTC_PCCR_INTCTL1 +// INTCTL1 +// +// [Bits 3..2] RW (@ 0x40001108) \nPort C Interrupt Control 1\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PCCR ) +// INTCTL1 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PCCR_INTCTL0 --------------------------------- +// SVD Line: 950 + +// SFDITEM_FIELD__INTC_PCCR_INTCTL0 +// INTCTL0 +// +// [Bits 1..0] RW (@ 0x40001108) \nPort C Interrupt Control 0\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PCCR ) +// INTCTL0 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// -------------------------------- Register RTree: INTC_PCCR ----------------------------------- +// SVD Line: 857 + +// SFDITEM_REG__INTC_PCCR +// PCCR +// +// [Bits 31..0] RW (@ 0x40001108) Port C Interrupt Control Register +// ( (unsigned int)((INTC_PCCR >> 0) & 0xFFFFFFFF), ((INTC_PCCR = (INTC_PCCR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PCCR_INTCTL3 +// SFDITEM_FIELD__INTC_PCCR_INTCTL2 +// SFDITEM_FIELD__INTC_PCCR_INTCTL1 +// SFDITEM_FIELD__INTC_PCCR_INTCTL0 +// +// + + +// ---------------------------- Register Item Address: INTC_PECR -------------------------------- +// SVD Line: 980 + +unsigned int INTC_PECR __AT (0x40001110); + + + +// ------------------------------ Field Item: INTC_PECR_INTCTL3 --------------------------------- +// SVD Line: 989 + +// SFDITEM_FIELD__INTC_PECR_INTCTL3 +// INTCTL3 +// +// [Bits 7..6] RW (@ 0x40001110) \nPort E Interrupt Control 3\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PECR ) +// INTCTL3 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PECR_INTCTL2 --------------------------------- +// SVD Line: 1017 + +// SFDITEM_FIELD__INTC_PECR_INTCTL2 +// INTCTL2 +// +// [Bits 5..4] RW (@ 0x40001110) \nPort E Interrupt Control 2\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PECR ) +// INTCTL2 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PECR_INTCTL1 --------------------------------- +// SVD Line: 1045 + +// SFDITEM_FIELD__INTC_PECR_INTCTL1 +// INTCTL1 +// +// [Bits 3..2] RW (@ 0x40001110) \nPort E Interrupt Control 1\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PECR ) +// INTCTL1 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// ------------------------------ Field Item: INTC_PECR_INTCTL0 --------------------------------- +// SVD Line: 1073 + +// SFDITEM_FIELD__INTC_PECR_INTCTL0 +// INTCTL0 +// +// [Bits 1..0] RW (@ 0x40001110) \nPort E Interrupt Control 0\n0 : Disable = Disable external interrupt.\n1 : FallingEdgeLowLevel = Interrupt on falling edge or on low level\n2 : RisingEdgeHighLevel = Interrupt on rising edge or on high level\n3 : BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// ( (unsigned int) INTC_PECR ) +// INTCTL0 +// <0=> 0: Disable = Disable external interrupt. +// <1=> 1: FallingEdgeLowLevel = Interrupt on falling edge or on low level +// <2=> 2: RisingEdgeHighLevel = Interrupt on rising edge or on high level +// <3=> 3: BothEdgeNoLevel = Interrupt on both falling and rising edge, No level interrupt +// +// +// + + +// -------------------------------- Register RTree: INTC_PECR ----------------------------------- +// SVD Line: 980 + +// SFDITEM_REG__INTC_PECR +// PECR +// +// [Bits 31..0] RW (@ 0x40001110) Port E Interrupt Control Register +// ( (unsigned int)((INTC_PECR >> 0) & 0xFFFFFFFF), ((INTC_PECR = (INTC_PECR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PECR_INTCTL3 +// SFDITEM_FIELD__INTC_PECR_INTCTL2 +// SFDITEM_FIELD__INTC_PECR_INTCTL1 +// SFDITEM_FIELD__INTC_PECR_INTCTL0 +// +// + + +// --------------------------- Register Item Address: INTC_PBFLAG ------------------------------- +// SVD Line: 1103 + +unsigned int INTC_PBFLAG __AT (0x40001204); + + + +// ----------------------------- Field Item: INTC_PBFLAG_FLAG11 --------------------------------- +// SVD Line: 1112 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG11 +// FLAG11 +// +// [Bit 11] RW (@ 0x40001204) \nPort B Interrupt Flag 11\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG11 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ----------------------------- Field Item: INTC_PBFLAG_FLAG10 --------------------------------- +// SVD Line: 1130 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG10 +// FLAG10 +// +// [Bit 10] RW (@ 0x40001204) \nPort B Interrupt Flag 10\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG10 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG9 --------------------------------- +// SVD Line: 1148 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG9 +// FLAG9 +// +// [Bit 9] RW (@ 0x40001204) \nPort B Interrupt Flag 9\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG9 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG8 --------------------------------- +// SVD Line: 1166 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG8 +// FLAG8 +// +// [Bit 8] RW (@ 0x40001204) \nPort B Interrupt Flag 8\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG8 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG7 --------------------------------- +// SVD Line: 1184 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG7 +// FLAG7 +// +// [Bit 7] RW (@ 0x40001204) \nPort B Interrupt Flag 7\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG7 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG6 --------------------------------- +// SVD Line: 1202 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG6 +// FLAG6 +// +// [Bit 6] RW (@ 0x40001204) \nPort B Interrupt Flag 6\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG6 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG5 --------------------------------- +// SVD Line: 1220 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG5 +// FLAG5 +// +// [Bit 5] RW (@ 0x40001204) \nPort B Interrupt Flag 5\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG5 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG4 --------------------------------- +// SVD Line: 1238 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG4 +// FLAG4 +// +// [Bit 4] RW (@ 0x40001204) \nPort B Interrupt Flag 4\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG4 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG3 --------------------------------- +// SVD Line: 1256 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG3 +// FLAG3 +// +// [Bit 3] RW (@ 0x40001204) \nPort B Interrupt Flag 3\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG3 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG2 --------------------------------- +// SVD Line: 1274 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG2 +// FLAG2 +// +// [Bit 2] RW (@ 0x40001204) \nPort B Interrupt Flag 2\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG2 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG1 --------------------------------- +// SVD Line: 1292 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG1 +// FLAG1 +// +// [Bit 1] RW (@ 0x40001204) \nPort B Interrupt Flag 1\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG1 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PBFLAG_FLAG0 --------------------------------- +// SVD Line: 1310 + +// SFDITEM_FIELD__INTC_PBFLAG_FLAG0 +// FLAG0 +// +// [Bit 0] RW (@ 0x40001204) \nPort B Interrupt Flag 0\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PBFLAG ) +// FLAG0 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------- Register RTree: INTC_PBFLAG ---------------------------------- +// SVD Line: 1103 + +// SFDITEM_REG__INTC_PBFLAG +// PBFLAG +// +// [Bits 31..0] RW (@ 0x40001204) Port B Interrupt Flag Register +// ( (unsigned int)((INTC_PBFLAG >> 0) & 0xFFFFFFFF), ((INTC_PBFLAG = (INTC_PBFLAG & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PBFLAG_FLAG11 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG10 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG9 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG8 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG7 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG6 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG5 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG4 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG3 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG2 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG1 +// SFDITEM_FIELD__INTC_PBFLAG_FLAG0 +// +// + + +// --------------------------- Register Item Address: INTC_PCFLAG ------------------------------- +// SVD Line: 1330 + +unsigned int INTC_PCFLAG __AT (0x40001208); + + + +// ------------------------------ Field Item: INTC_PCFLAG_FLAG3 --------------------------------- +// SVD Line: 1339 + +// SFDITEM_FIELD__INTC_PCFLAG_FLAG3 +// FLAG3 +// +// [Bit 3] RW (@ 0x40001208) \nPort C Interrupt Flag 3\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PCFLAG ) +// FLAG3 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PCFLAG_FLAG2 --------------------------------- +// SVD Line: 1357 + +// SFDITEM_FIELD__INTC_PCFLAG_FLAG2 +// FLAG2 +// +// [Bit 2] RW (@ 0x40001208) \nPort C Interrupt Flag 2\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PCFLAG ) +// FLAG2 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PCFLAG_FLAG1 --------------------------------- +// SVD Line: 1375 + +// SFDITEM_FIELD__INTC_PCFLAG_FLAG1 +// FLAG1 +// +// [Bit 1] RW (@ 0x40001208) \nPort C Interrupt Flag 1\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PCFLAG ) +// FLAG1 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PCFLAG_FLAG0 --------------------------------- +// SVD Line: 1393 + +// SFDITEM_FIELD__INTC_PCFLAG_FLAG0 +// FLAG0 +// +// [Bit 0] RW (@ 0x40001208) \nPort C Interrupt Flag 0\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PCFLAG ) +// FLAG0 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------- Register RTree: INTC_PCFLAG ---------------------------------- +// SVD Line: 1330 + +// SFDITEM_REG__INTC_PCFLAG +// PCFLAG +// +// [Bits 31..0] RW (@ 0x40001208) Port C Interrupt Flag Register +// ( (unsigned int)((INTC_PCFLAG >> 0) & 0xFFFFFFFF), ((INTC_PCFLAG = (INTC_PCFLAG & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PCFLAG_FLAG3 +// SFDITEM_FIELD__INTC_PCFLAG_FLAG2 +// SFDITEM_FIELD__INTC_PCFLAG_FLAG1 +// SFDITEM_FIELD__INTC_PCFLAG_FLAG0 +// +// + + +// --------------------------- Register Item Address: INTC_PEFLAG ------------------------------- +// SVD Line: 1413 + +unsigned int INTC_PEFLAG __AT (0x40001210); + + + +// ------------------------------ Field Item: INTC_PEFLAG_FLAG3 --------------------------------- +// SVD Line: 1422 + +// SFDITEM_FIELD__INTC_PEFLAG_FLAG3 +// FLAG3 +// +// [Bit 3] RW (@ 0x40001210) \nPort E Interrupt Flag 3\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PEFLAG ) +// FLAG3 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PEFLAG_FLAG2 --------------------------------- +// SVD Line: 1440 + +// SFDITEM_FIELD__INTC_PEFLAG_FLAG2 +// FLAG2 +// +// [Bit 2] RW (@ 0x40001210) \nPort E Interrupt Flag 2\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PEFLAG ) +// FLAG2 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PEFLAG_FLAG1 --------------------------------- +// SVD Line: 1458 + +// SFDITEM_FIELD__INTC_PEFLAG_FLAG1 +// FLAG1 +// +// [Bit 1] RW (@ 0x40001210) \nPort E Interrupt Flag 1\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PEFLAG ) +// FLAG1 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: INTC_PEFLAG_FLAG0 --------------------------------- +// SVD Line: 1476 + +// SFDITEM_FIELD__INTC_PEFLAG_FLAG0 +// FLAG0 +// +// [Bit 0] RW (@ 0x40001210) \nPort E Interrupt Flag 0\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) INTC_PEFLAG ) +// FLAG0 +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------- Register RTree: INTC_PEFLAG ---------------------------------- +// SVD Line: 1413 + +// SFDITEM_REG__INTC_PEFLAG +// PEFLAG +// +// [Bits 31..0] RW (@ 0x40001210) Port E Interrupt Flag Register +// ( (unsigned int)((INTC_PEFLAG >> 0) & 0xFFFFFFFF), ((INTC_PEFLAG = (INTC_PEFLAG & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_PEFLAG_FLAG3 +// SFDITEM_FIELD__INTC_PEFLAG_FLAG2 +// SFDITEM_FIELD__INTC_PEFLAG_FLAG1 +// SFDITEM_FIELD__INTC_PEFLAG_FLAG0 +// +// + + +// ------------------------- Register Item Address: INTC_EINT0CONF1 ----------------------------- +// SVD Line: 1496 + +unsigned int INTC_EINT0CONF1 __AT (0x40001300); + + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF7 ------------------------------- +// SVD Line: 1505 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF7 +// CONF7 +// +// [Bits 31..28] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 7\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF7 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF6 ------------------------------- +// SVD Line: 1543 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF6 +// CONF6 +// +// [Bits 27..24] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 6\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF6 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF5 ------------------------------- +// SVD Line: 1581 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF5 +// CONF5 +// +// [Bits 23..20] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 5\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF5 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF4 ------------------------------- +// SVD Line: 1619 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF4 +// CONF4 +// +// [Bits 19..16] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 4\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF4 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF3 ------------------------------- +// SVD Line: 1657 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF3 +// CONF3 +// +// [Bits 15..12] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 3\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF3 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF2 ------------------------------- +// SVD Line: 1695 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF2 +// CONF2 +// +// [Bits 11..8] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 2\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF2 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF1 ------------------------------- +// SVD Line: 1733 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF1 +// CONF1 +// +// [Bits 7..4] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 1\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF1 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF1_CONF0 ------------------------------- +// SVD Line: 1771 + +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF0 +// CONF0 +// +// [Bits 3..0] RW (@ 0x40001300) \nExternal Interrupt 0 Configuration 0\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF1 ) +// CONF0 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT0CONF1 -------------------------------- +// SVD Line: 1496 + +// SFDITEM_REG__INTC_EINT0CONF1 +// EINT0CONF1 +// +// [Bits 31..0] RW (@ 0x40001300) External Interrupt 0 Configuration Register 1 +// ( (unsigned int)((INTC_EINT0CONF1 >> 0) & 0xFFFFFFFF), ((INTC_EINT0CONF1 = (INTC_EINT0CONF1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF7 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF6 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF5 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF4 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF3 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF2 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF1 +// SFDITEM_FIELD__INTC_EINT0CONF1_CONF0 +// +// + + +// ------------------------- Register Item Address: INTC_EINT1CONF1 ----------------------------- +// SVD Line: 1811 + +unsigned int INTC_EINT1CONF1 __AT (0x40001304); + + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF7 ------------------------------- +// SVD Line: 1820 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF7 +// CONF7 +// +// [Bits 31..28] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 7\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF7 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF6 ------------------------------- +// SVD Line: 1858 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF6 +// CONF6 +// +// [Bits 27..24] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 6\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF6 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF5 ------------------------------- +// SVD Line: 1896 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF5 +// CONF5 +// +// [Bits 23..20] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 5\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF5 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF4 ------------------------------- +// SVD Line: 1934 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF4 +// CONF4 +// +// [Bits 19..16] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 4\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF4 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF3 ------------------------------- +// SVD Line: 1972 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF3 +// CONF3 +// +// [Bits 15..12] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 3\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF3 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF2 ------------------------------- +// SVD Line: 2010 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF2 +// CONF2 +// +// [Bits 11..8] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 2\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF2 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF1 ------------------------------- +// SVD Line: 2048 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF1 +// CONF1 +// +// [Bits 7..4] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 1\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF1 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF1_CONF0 ------------------------------- +// SVD Line: 2086 + +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF0 +// CONF0 +// +// [Bits 3..0] RW (@ 0x40001304) \nExternal Interrupt 1 Configuration 0\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF1 ) +// CONF0 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT1CONF1 -------------------------------- +// SVD Line: 1811 + +// SFDITEM_REG__INTC_EINT1CONF1 +// EINT1CONF1 +// +// [Bits 31..0] RW (@ 0x40001304) External Interrupt 1 Configuration Register 1 +// ( (unsigned int)((INTC_EINT1CONF1 >> 0) & 0xFFFFFFFF), ((INTC_EINT1CONF1 = (INTC_EINT1CONF1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF7 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF6 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF5 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF4 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF3 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF2 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF1 +// SFDITEM_FIELD__INTC_EINT1CONF1_CONF0 +// +// + + +// ------------------------- Register Item Address: INTC_EINT2CONF1 ----------------------------- +// SVD Line: 2126 + +unsigned int INTC_EINT2CONF1 __AT (0x40001308); + + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF7 ------------------------------- +// SVD Line: 2135 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF7 +// CONF7 +// +// [Bits 31..28] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 7\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF7 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF6 ------------------------------- +// SVD Line: 2173 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF6 +// CONF6 +// +// [Bits 27..24] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 6\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF6 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF5 ------------------------------- +// SVD Line: 2211 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF5 +// CONF5 +// +// [Bits 23..20] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 5\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF5 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF4 ------------------------------- +// SVD Line: 2249 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF4 +// CONF4 +// +// [Bits 19..16] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 4\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF4 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF3 ------------------------------- +// SVD Line: 2287 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF3 +// CONF3 +// +// [Bits 15..12] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 3\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF3 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF2 ------------------------------- +// SVD Line: 2325 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF2 +// CONF2 +// +// [Bits 11..8] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 2\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF2 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF1 ------------------------------- +// SVD Line: 2363 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF1 +// CONF1 +// +// [Bits 7..4] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 1\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF1 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF1_CONF0 ------------------------------- +// SVD Line: 2401 + +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF0 +// CONF0 +// +// [Bits 3..0] RW (@ 0x40001308) \nExternal Interrupt 2 Configuration 0\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF1 ) +// CONF0 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT2CONF1 -------------------------------- +// SVD Line: 2126 + +// SFDITEM_REG__INTC_EINT2CONF1 +// EINT2CONF1 +// +// [Bits 31..0] RW (@ 0x40001308) External Interrupt 2 Configuration Register 1 +// ( (unsigned int)((INTC_EINT2CONF1 >> 0) & 0xFFFFFFFF), ((INTC_EINT2CONF1 = (INTC_EINT2CONF1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF7 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF6 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF5 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF4 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF3 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF2 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF1 +// SFDITEM_FIELD__INTC_EINT2CONF1_CONF0 +// +// + + +// ------------------------- Register Item Address: INTC_EINT3CONF1 ----------------------------- +// SVD Line: 2441 + +unsigned int INTC_EINT3CONF1 __AT (0x4000130C); + + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF7 ------------------------------- +// SVD Line: 2450 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF7 +// CONF7 +// +// [Bits 31..28] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 7\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF7 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF6 ------------------------------- +// SVD Line: 2488 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF6 +// CONF6 +// +// [Bits 27..24] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 6\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF6 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF5 ------------------------------- +// SVD Line: 2526 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF5 +// CONF5 +// +// [Bits 23..20] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 5\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF5 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF4 ------------------------------- +// SVD Line: 2564 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF4 +// CONF4 +// +// [Bits 19..16] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 4\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF4 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF3 ------------------------------- +// SVD Line: 2602 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF3 +// CONF3 +// +// [Bits 15..12] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 3\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF3 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF2 ------------------------------- +// SVD Line: 2640 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF2 +// CONF2 +// +// [Bits 11..8] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 2\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF2 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF1 ------------------------------- +// SVD Line: 2678 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF1 +// CONF1 +// +// [Bits 7..4] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 1\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF1 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF1_CONF0 ------------------------------- +// SVD Line: 2716 + +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF0 +// CONF0 +// +// [Bits 3..0] RW (@ 0x4000130C) \nExternal Interrupt 3 Configuration 0\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF1 ) +// CONF0 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT3CONF1 -------------------------------- +// SVD Line: 2441 + +// SFDITEM_REG__INTC_EINT3CONF1 +// EINT3CONF1 +// +// [Bits 31..0] RW (@ 0x4000130C) External Interrupt 3 Configuration Register 1 +// ( (unsigned int)((INTC_EINT3CONF1 >> 0) & 0xFFFFFFFF), ((INTC_EINT3CONF1 = (INTC_EINT3CONF1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF7 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF6 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF5 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF4 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF3 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF2 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF1 +// SFDITEM_FIELD__INTC_EINT3CONF1_CONF0 +// +// + + +// ------------------------- Register Item Address: INTC_EINT0CONF2 ----------------------------- +// SVD Line: 2756 + +unsigned int INTC_EINT0CONF2 __AT (0x40001310); + + + +// --------------------------- Field Item: INTC_EINT0CONF2_CONF11 ------------------------------- +// SVD Line: 2765 + +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF11 +// CONF11 +// +// [Bits 15..12] RW (@ 0x40001310) \nExternal Interrupt 0 Configuration 11\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF2 ) +// CONF11 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// --------------------------- Field Item: INTC_EINT0CONF2_CONF10 ------------------------------- +// SVD Line: 2803 + +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF10 +// CONF10 +// +// [Bits 11..8] RW (@ 0x40001310) \nExternal Interrupt 0 Configuration 10\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF2 ) +// CONF10 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF2_CONF9 ------------------------------- +// SVD Line: 2841 + +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF9 +// CONF9 +// +// [Bits 7..4] RW (@ 0x40001310) \nExternal Interrupt 0 Configuration 9\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF2 ) +// CONF9 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT0CONF2_CONF8 ------------------------------- +// SVD Line: 2879 + +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF8 +// CONF8 +// +// [Bits 3..0] RW (@ 0x40001310) \nExternal Interrupt 0 Configuration 8\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT0CONF2 ) +// CONF8 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT0CONF2 -------------------------------- +// SVD Line: 2756 + +// SFDITEM_REG__INTC_EINT0CONF2 +// EINT0CONF2 +// +// [Bits 31..0] RW (@ 0x40001310) External Interrupt 0 Configuration Register 2 +// ( (unsigned int)((INTC_EINT0CONF2 >> 0) & 0xFFFFFFFF), ((INTC_EINT0CONF2 = (INTC_EINT0CONF2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF11 +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF10 +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF9 +// SFDITEM_FIELD__INTC_EINT0CONF2_CONF8 +// +// + + +// ------------------------- Register Item Address: INTC_EINT1CONF2 ----------------------------- +// SVD Line: 2919 + +unsigned int INTC_EINT1CONF2 __AT (0x40001314); + + + +// --------------------------- Field Item: INTC_EINT1CONF2_CONF11 ------------------------------- +// SVD Line: 2928 + +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF11 +// CONF11 +// +// [Bits 15..12] RW (@ 0x40001314) \nExternal Interrupt 1 Configuration 11\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF2 ) +// CONF11 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// --------------------------- Field Item: INTC_EINT1CONF2_CONF10 ------------------------------- +// SVD Line: 2966 + +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF10 +// CONF10 +// +// [Bits 11..8] RW (@ 0x40001314) \nExternal Interrupt 1 Configuration 10\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF2 ) +// CONF10 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF2_CONF9 ------------------------------- +// SVD Line: 3004 + +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF9 +// CONF9 +// +// [Bits 7..4] RW (@ 0x40001314) \nExternal Interrupt 1 Configuration 9\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF2 ) +// CONF9 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT1CONF2_CONF8 ------------------------------- +// SVD Line: 3042 + +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF8 +// CONF8 +// +// [Bits 3..0] RW (@ 0x40001314) \nExternal Interrupt 1 Configuration 8\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT1CONF2 ) +// CONF8 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT1CONF2 -------------------------------- +// SVD Line: 2919 + +// SFDITEM_REG__INTC_EINT1CONF2 +// EINT1CONF2 +// +// [Bits 31..0] RW (@ 0x40001314) External Interrupt 1 Configuration Register 2 +// ( (unsigned int)((INTC_EINT1CONF2 >> 0) & 0xFFFFFFFF), ((INTC_EINT1CONF2 = (INTC_EINT1CONF2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF11 +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF10 +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF9 +// SFDITEM_FIELD__INTC_EINT1CONF2_CONF8 +// +// + + +// ------------------------- Register Item Address: INTC_EINT2CONF2 ----------------------------- +// SVD Line: 3082 + +unsigned int INTC_EINT2CONF2 __AT (0x40001318); + + + +// --------------------------- Field Item: INTC_EINT2CONF2_CONF11 ------------------------------- +// SVD Line: 3091 + +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF11 +// CONF11 +// +// [Bits 15..12] RW (@ 0x40001318) \nExternal Interrupt 2 Configuration 11\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF2 ) +// CONF11 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// --------------------------- Field Item: INTC_EINT2CONF2_CONF10 ------------------------------- +// SVD Line: 3129 + +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF10 +// CONF10 +// +// [Bits 11..8] RW (@ 0x40001318) \nExternal Interrupt 2 Configuration 10\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF2 ) +// CONF10 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF2_CONF9 ------------------------------- +// SVD Line: 3167 + +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF9 +// CONF9 +// +// [Bits 7..4] RW (@ 0x40001318) \nExternal Interrupt 2 Configuration 9\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF2 ) +// CONF9 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT2CONF2_CONF8 ------------------------------- +// SVD Line: 3205 + +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF8 +// CONF8 +// +// [Bits 3..0] RW (@ 0x40001318) \nExternal Interrupt 2 Configuration 8\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT2CONF2 ) +// CONF8 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT2CONF2 -------------------------------- +// SVD Line: 3082 + +// SFDITEM_REG__INTC_EINT2CONF2 +// EINT2CONF2 +// +// [Bits 31..0] RW (@ 0x40001318) External Interrupt 2 Configuration Register 2 +// ( (unsigned int)((INTC_EINT2CONF2 >> 0) & 0xFFFFFFFF), ((INTC_EINT2CONF2 = (INTC_EINT2CONF2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF11 +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF10 +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF9 +// SFDITEM_FIELD__INTC_EINT2CONF2_CONF8 +// +// + + +// ------------------------- Register Item Address: INTC_EINT3CONF2 ----------------------------- +// SVD Line: 3245 + +unsigned int INTC_EINT3CONF2 __AT (0x4000131C); + + + +// --------------------------- Field Item: INTC_EINT3CONF2_CONF11 ------------------------------- +// SVD Line: 3254 + +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF11 +// CONF11 +// +// [Bits 15..12] RW (@ 0x4000131C) \nExternal Interrupt 3 Configuration 11\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF2 ) +// CONF11 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// --------------------------- Field Item: INTC_EINT3CONF2_CONF10 ------------------------------- +// SVD Line: 3292 + +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF10 +// CONF10 +// +// [Bits 11..8] RW (@ 0x4000131C) \nExternal Interrupt 3 Configuration 10\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF2 ) +// CONF10 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF2_CONF9 ------------------------------- +// SVD Line: 3330 + +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF9 +// CONF9 +// +// [Bits 7..4] RW (@ 0x4000131C) \nExternal Interrupt 3 Configuration 9\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF2 ) +// CONF9 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ---------------------------- Field Item: INTC_EINT3CONF2_CONF8 ------------------------------- +// SVD Line: 3368 + +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF8 +// CONF8 +// +// [Bits 3..0] RW (@ 0x4000131C) \nExternal Interrupt 3 Configuration 8\n0 : PA = Select PA.\n1 : PB = Select PB.\n2 : PC = Select PC.\n3 : PD = Select PD.\n4 : PE = Select PE.\n5 : PF = Select PF.\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) INTC_EINT3CONF2 ) +// CONF8 +// <0=> 0: PA = Select PA. +// <1=> 1: PB = Select PB. +// <2=> 2: PC = Select PC. +// <3=> 3: PD = Select PD. +// <4=> 4: PE = Select PE. +// <5=> 5: PF = Select PF. +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ----------------------------- Register RTree: INTC_EINT3CONF2 -------------------------------- +// SVD Line: 3245 + +// SFDITEM_REG__INTC_EINT3CONF2 +// EINT3CONF2 +// +// [Bits 31..0] RW (@ 0x4000131C) External Interrupt 3 Configuration Register 2 +// ( (unsigned int)((INTC_EINT3CONF2 >> 0) & 0xFFFFFFFF), ((INTC_EINT3CONF2 = (INTC_EINT3CONF2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF11 +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF10 +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF9 +// SFDITEM_FIELD__INTC_EINT3CONF2_CONF8 +// +// + + +// ----------------------------- Register Item Address: INTC_MSK -------------------------------- +// SVD Line: 3408 + +unsigned int INTC_MSK __AT (0x40001400); + + + +// ---------------------------- Field Item: INTC_MSK_IMSK31_NULL -------------------------------- +// SVD Line: 3417 + +// SFDITEM_FIELD__INTC_MSK_IMSK31_NULL +// IMSK31_NULL +// +// [Bit 31] RW (@ 0x40001400) \nInterrupt Source Mask 31 (RSVD)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK31_NULL +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK30_NULL -------------------------------- +// SVD Line: 3435 + +// SFDITEM_FIELD__INTC_MSK_IMSK30_NULL +// IMSK30_NULL +// +// [Bit 30] RW (@ 0x40001400) \nInterrupt Source Mask 30 (RSVD)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK30_NULL +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK29_NULL -------------------------------- +// SVD Line: 3453 + +// SFDITEM_FIELD__INTC_MSK_IMSK29_NULL +// IMSK29_NULL +// +// [Bit 29] RW (@ 0x40001400) \nInterrupt Source Mask 29 (RSVD)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK29_NULL +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK28_NULL -------------------------------- +// SVD Line: 3471 + +// SFDITEM_FIELD__INTC_MSK_IMSK28_NULL +// IMSK28_NULL +// +// [Bit 28] RW (@ 0x40001400) \nInterrupt Source Mask 28 (RSVD)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK28_NULL +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK27_USART13 ------------------------------ +// SVD Line: 3489 + +// SFDITEM_FIELD__INTC_MSK_IMSK27_USART13 +// IMSK27_USART13 +// +// [Bit 27] RW (@ 0x40001400) \nInterrupt Source Mask 27 (USART13)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK27_USART13 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK26_USART12 ------------------------------ +// SVD Line: 3507 + +// SFDITEM_FIELD__INTC_MSK_IMSK26_USART12 +// IMSK26_USART12 +// +// [Bit 26] RW (@ 0x40001400) \nInterrupt Source Mask 26 (USART12)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK26_USART12 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK25_I2C2 -------------------------------- +// SVD Line: 3525 + +// SFDITEM_FIELD__INTC_MSK_IMSK25_I2C2 +// IMSK25_I2C2 +// +// [Bit 25] RW (@ 0x40001400) \nInterrupt Source Mask 25 (I2C2)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK25_I2C2 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK24_TIMER16 ------------------------------ +// SVD Line: 3543 + +// SFDITEM_FIELD__INTC_MSK_IMSK24_TIMER16 +// IMSK24_TIMER16 +// +// [Bit 24] RW (@ 0x40001400) \nInterrupt Source Mask 24 (TIMER16)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK24_TIMER16 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK23_TIMER15 ------------------------------ +// SVD Line: 3561 + +// SFDITEM_FIELD__INTC_MSK_IMSK23_TIMER15 +// IMSK23_TIMER15 +// +// [Bit 23] RW (@ 0x40001400) \nInterrupt Source Mask 23 (TIMER15)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK23_TIMER15 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK22_TIMER14 ------------------------------ +// SVD Line: 3579 + +// SFDITEM_FIELD__INTC_MSK_IMSK22_TIMER14 +// IMSK22_TIMER14 +// +// [Bit 22] RW (@ 0x40001400) \nInterrupt Source Mask 22 (TIMER14)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK22_TIMER14 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK21_TIMER13 ------------------------------ +// SVD Line: 3597 + +// SFDITEM_FIELD__INTC_MSK_IMSK21_TIMER13 +// IMSK21_TIMER13 +// +// [Bit 21] RW (@ 0x40001400) \nInterrupt Source Mask 21 (TIMER13)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK21_TIMER13 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK20_UART1 ------------------------------- +// SVD Line: 3615 + +// SFDITEM_FIELD__INTC_MSK_IMSK20_UART1 +// IMSK20_UART1 +// +// [Bit 20] RW (@ 0x40001400) \nInterrupt Source Mask 20 (UART1)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK20_UART1 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK19_UART0 ------------------------------- +// SVD Line: 3633 + +// SFDITEM_FIELD__INTC_MSK_IMSK19_UART0 +// IMSK19_UART0 +// +// [Bit 19] RW (@ 0x40001400) \nInterrupt Source Mask 19 (UART0)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK19_UART0 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ----------------------------- Field Item: INTC_MSK_IMSK18_ADC -------------------------------- +// SVD Line: 3651 + +// SFDITEM_FIELD__INTC_MSK_IMSK18_ADC +// IMSK18_ADC +// +// [Bit 18] RW (@ 0x40001400) \nInterrupt Source Mask 18 (ADC)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK18_ADC +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK17_USART11 ------------------------------ +// SVD Line: 3669 + +// SFDITEM_FIELD__INTC_MSK_IMSK17_USART11 +// IMSK17_USART11 +// +// [Bit 17] RW (@ 0x40001400) \nInterrupt Source Mask 17 (USART11)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK17_USART11 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK16_TIMER21 ------------------------------ +// SVD Line: 3687 + +// SFDITEM_FIELD__INTC_MSK_IMSK16_TIMER21 +// IMSK16_TIMER21 +// +// [Bit 16] RW (@ 0x40001400) \nInterrupt Source Mask 16 (TIMER21)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK16_TIMER21 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK15_TIMER20 ------------------------------ +// SVD Line: 3705 + +// SFDITEM_FIELD__INTC_MSK_IMSK15_TIMER20 +// IMSK15_TIMER20 +// +// [Bit 15] RW (@ 0x40001400) \nInterrupt Source Mask 15 (TIMER20)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK15_TIMER20 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK14_I2C1 -------------------------------- +// SVD Line: 3723 + +// SFDITEM_FIELD__INTC_MSK_IMSK14_I2C1 +// IMSK14_I2C1 +// +// [Bit 14] RW (@ 0x40001400) \nInterrupt Source Mask 14 (I2C1)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK14_I2C1 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK13_TIMER30 ------------------------------ +// SVD Line: 3741 + +// SFDITEM_FIELD__INTC_MSK_IMSK13_TIMER30 +// IMSK13_TIMER30 +// +// [Bit 13] RW (@ 0x40001400) \nInterrupt Source Mask 13 (TIMER30)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK13_TIMER30 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ----------------------------- Field Item: INTC_MSK_IMSK12_WT --------------------------------- +// SVD Line: 3759 + +// SFDITEM_FIELD__INTC_MSK_IMSK12_WT +// IMSK12_WT +// +// [Bit 12] RW (@ 0x40001400) \nInterrupt Source Mask 12 (WT)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK12_WT +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK11_USART10 ------------------------------ +// SVD Line: 3777 + +// SFDITEM_FIELD__INTC_MSK_IMSK11_USART10 +// IMSK11_USART10 +// +// [Bit 11] RW (@ 0x40001400) \nInterrupt Source Mask 11 (USART10)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK11_USART10 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK10_I2C0 -------------------------------- +// SVD Line: 3795 + +// SFDITEM_FIELD__INTC_MSK_IMSK10_I2C0 +// IMSK10_I2C0 +// +// [Bit 10] RW (@ 0x40001400) \nInterrupt Source Mask 10 (I2C0)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK10_I2C0 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK9_TIMER12 ------------------------------- +// SVD Line: 3813 + +// SFDITEM_FIELD__INTC_MSK_IMSK9_TIMER12 +// IMSK9_TIMER12 +// +// [Bit 9] RW (@ 0x40001400) \nInterrupt Source Mask 9 (TIMER12)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK9_TIMER12 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK8_TIMER11 ------------------------------- +// SVD Line: 3831 + +// SFDITEM_FIELD__INTC_MSK_IMSK8_TIMER11 +// IMSK8_TIMER11 +// +// [Bit 8] RW (@ 0x40001400) \nInterrupt Source Mask 8 (TIMER11)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK8_TIMER11 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// --------------------------- Field Item: INTC_MSK_IMSK7_TIMER10 ------------------------------- +// SVD Line: 3849 + +// SFDITEM_FIELD__INTC_MSK_IMSK7_TIMER10 +// IMSK7_TIMER10 +// +// [Bit 7] RW (@ 0x40001400) \nInterrupt Source Mask 7 (TIMER10)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK7_TIMER10 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK6_EINT3 -------------------------------- +// SVD Line: 3867 + +// SFDITEM_FIELD__INTC_MSK_IMSK6_EINT3 +// IMSK6_EINT3 +// +// [Bit 6] RW (@ 0x40001400) \nInterrupt Source Mask 6 (EINT3)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK6_EINT3 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK5_EINT2 -------------------------------- +// SVD Line: 3885 + +// SFDITEM_FIELD__INTC_MSK_IMSK5_EINT2 +// IMSK5_EINT2 +// +// [Bit 5] RW (@ 0x40001400) \nInterrupt Source Mask 5 (EINT2)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK5_EINT2 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK4_EINT1 -------------------------------- +// SVD Line: 3903 + +// SFDITEM_FIELD__INTC_MSK_IMSK4_EINT1 +// IMSK4_EINT1 +// +// [Bit 4] RW (@ 0x40001400) \nInterrupt Source Mask 4 (EINT1)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK4_EINT1 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ---------------------------- Field Item: INTC_MSK_IMSK3_EINT0 -------------------------------- +// SVD Line: 3921 + +// SFDITEM_FIELD__INTC_MSK_IMSK3_EINT0 +// IMSK3_EINT0 +// +// [Bit 3] RW (@ 0x40001400) \nInterrupt Source Mask 3 (EINT0)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK3_EINT0 +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ----------------------------- Field Item: INTC_MSK_IMSK2_WDT --------------------------------- +// SVD Line: 3939 + +// SFDITEM_FIELD__INTC_MSK_IMSK2_WDT +// IMSK2_WDT +// +// [Bit 2] RW (@ 0x40001400) \nInterrupt Source Mask 2 (WDT)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK2_WDT +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ----------------------------- Field Item: INTC_MSK_IMSK1_WUT --------------------------------- +// SVD Line: 3957 + +// SFDITEM_FIELD__INTC_MSK_IMSK1_WUT +// IMSK1_WUT +// +// [Bit 1] RW (@ 0x40001400) \nInterrupt Source Mask 1 (WUT)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK1_WUT +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// ----------------------------- Field Item: INTC_MSK_IMSK0_LVI --------------------------------- +// SVD Line: 3975 + +// SFDITEM_FIELD__INTC_MSK_IMSK0_LVI +// IMSK0_LVI +// +// [Bit 0] RW (@ 0x40001400) \nInterrupt Source Mask 0 (LVI)\n0 : Mask = Mask Interrupt Source\n1 : Unmask = Unmask Interrupt Source +// +// ( (unsigned int) INTC_MSK ) +// IMSK0_LVI +// <0=> 0: Mask = Mask Interrupt Source +// <1=> 1: Unmask = Unmask Interrupt Source +// +// +// + + +// -------------------------------- Register RTree: INTC_MSK ------------------------------------ +// SVD Line: 3408 + +// SFDITEM_REG__INTC_MSK +// MSK +// +// [Bits 31..0] RW (@ 0x40001400) Interrupt Source Mask Register +// ( (unsigned int)((INTC_MSK >> 0) & 0xFFFFFFFF), ((INTC_MSK = (INTC_MSK & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__INTC_MSK_IMSK31_NULL +// SFDITEM_FIELD__INTC_MSK_IMSK30_NULL +// SFDITEM_FIELD__INTC_MSK_IMSK29_NULL +// SFDITEM_FIELD__INTC_MSK_IMSK28_NULL +// SFDITEM_FIELD__INTC_MSK_IMSK27_USART13 +// SFDITEM_FIELD__INTC_MSK_IMSK26_USART12 +// SFDITEM_FIELD__INTC_MSK_IMSK25_I2C2 +// SFDITEM_FIELD__INTC_MSK_IMSK24_TIMER16 +// SFDITEM_FIELD__INTC_MSK_IMSK23_TIMER15 +// SFDITEM_FIELD__INTC_MSK_IMSK22_TIMER14 +// SFDITEM_FIELD__INTC_MSK_IMSK21_TIMER13 +// SFDITEM_FIELD__INTC_MSK_IMSK20_UART1 +// SFDITEM_FIELD__INTC_MSK_IMSK19_UART0 +// SFDITEM_FIELD__INTC_MSK_IMSK18_ADC +// SFDITEM_FIELD__INTC_MSK_IMSK17_USART11 +// SFDITEM_FIELD__INTC_MSK_IMSK16_TIMER21 +// SFDITEM_FIELD__INTC_MSK_IMSK15_TIMER20 +// SFDITEM_FIELD__INTC_MSK_IMSK14_I2C1 +// SFDITEM_FIELD__INTC_MSK_IMSK13_TIMER30 +// SFDITEM_FIELD__INTC_MSK_IMSK12_WT +// SFDITEM_FIELD__INTC_MSK_IMSK11_USART10 +// SFDITEM_FIELD__INTC_MSK_IMSK10_I2C0 +// SFDITEM_FIELD__INTC_MSK_IMSK9_TIMER12 +// SFDITEM_FIELD__INTC_MSK_IMSK8_TIMER11 +// SFDITEM_FIELD__INTC_MSK_IMSK7_TIMER10 +// SFDITEM_FIELD__INTC_MSK_IMSK6_EINT3 +// SFDITEM_FIELD__INTC_MSK_IMSK5_EINT2 +// SFDITEM_FIELD__INTC_MSK_IMSK4_EINT1 +// SFDITEM_FIELD__INTC_MSK_IMSK3_EINT0 +// SFDITEM_FIELD__INTC_MSK_IMSK2_WDT +// SFDITEM_FIELD__INTC_MSK_IMSK1_WUT +// SFDITEM_FIELD__INTC_MSK_IMSK0_LVI +// +// + + +// ---------------------------------- Peripheral View: INTC ------------------------------------- +// SVD Line: 83 + +// INTC +// INTC +// SFDITEM_REG__INTC_PBTRIG +// SFDITEM_REG__INTC_PCTRIG +// SFDITEM_REG__INTC_PETRIG +// SFDITEM_REG__INTC_PBCR +// SFDITEM_REG__INTC_PCCR +// SFDITEM_REG__INTC_PECR +// SFDITEM_REG__INTC_PBFLAG +// SFDITEM_REG__INTC_PCFLAG +// SFDITEM_REG__INTC_PEFLAG +// SFDITEM_REG__INTC_EINT0CONF1 +// SFDITEM_REG__INTC_EINT1CONF1 +// SFDITEM_REG__INTC_EINT2CONF1 +// SFDITEM_REG__INTC_EINT3CONF1 +// SFDITEM_REG__INTC_EINT0CONF2 +// SFDITEM_REG__INTC_EINT1CONF2 +// SFDITEM_REG__INTC_EINT2CONF2 +// SFDITEM_REG__INTC_EINT3CONF2 +// SFDITEM_REG__INTC_MSK +// +// + + +// -------------------------- Register Item Address: SCUCC_VENDORID ----------------------------- +// SVD Line: 4016 + +unsigned int SCUCC_VENDORID __AT (0x4000F000); + + + +// ---------------------------- Field Item: SCUCC_VENDORID_VENDID ------------------------------- +// SVD Line: 4025 + +// SFDITEM_FIELD__SCUCC_VENDORID_VENDID +// VENDID +// +// [Bits 31..0] RO (@ 0x4000F000) Vendor Identification +// +// ( (unsigned int)((SCUCC_VENDORID >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ----------------------------- Register RTree: SCUCC_VENDORID --------------------------------- +// SVD Line: 4016 + +// SFDITEM_REG__SCUCC_VENDORID +// VENDORID +// +// [Bits 31..0] RO (@ 0x4000F000) Vendor Identification Register +// ( (unsigned int)((SCUCC_VENDORID >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__SCUCC_VENDORID_VENDID +// +// + + +// --------------------------- Register Item Address: SCUCC_CHIPID ------------------------------ +// SVD Line: 4033 + +unsigned int SCUCC_CHIPID __AT (0x4000F004); + + + +// ----------------------------- Field Item: SCUCC_CHIPID_CHIPID -------------------------------- +// SVD Line: 4042 + +// SFDITEM_FIELD__SCUCC_CHIPID_CHIPID +// CHIPID +// +// [Bits 31..0] RO (@ 0x4000F004) Chip Identification +// +// ( (unsigned int)((SCUCC_CHIPID >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_CHIPID ---------------------------------- +// SVD Line: 4033 + +// SFDITEM_REG__SCUCC_CHIPID +// CHIPID +// +// [Bits 31..0] RO (@ 0x4000F004) Chip Identification Register +// ( (unsigned int)((SCUCC_CHIPID >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__SCUCC_CHIPID_CHIPID +// +// + + +// --------------------------- Register Item Address: SCUCC_REVNR ------------------------------- +// SVD Line: 4050 + +unsigned int SCUCC_REVNR __AT (0x4000F008); + + + +// ------------------------------ Field Item: SCUCC_REVNR_REVNO --------------------------------- +// SVD Line: 4059 + +// SFDITEM_FIELD__SCUCC_REVNR_REVNO +// REVNO +// +// [Bits 7..0] RO (@ 0x4000F008) Chip Revision Number +// +// ( (unsigned char)((SCUCC_REVNR >> 0) & 0xFF) ) +// +// +// + + +// ------------------------------- Register RTree: SCUCC_REVNR ---------------------------------- +// SVD Line: 4050 + +// SFDITEM_REG__SCUCC_REVNR +// REVNR +// +// [Bits 31..0] RO (@ 0x4000F008) Revision Number Register +// ( (unsigned int)((SCUCC_REVNR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__SCUCC_REVNR_REVNO +// +// + + +// -------------------------- Register Item Address: SCUCC_PMREMAP ------------------------------ +// SVD Line: 4067 + +unsigned int SCUCC_PMREMAP __AT (0x4000F014); + + + +// ---------------------------- Field Item: SCUCC_PMREMAP_WTIDKY -------------------------------- +// SVD Line: 4076 + +// SFDITEM_FIELD__SCUCC_PMREMAP_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x4000F014) Write Identification Key (0xe2f1) +// +// ( (unsigned short)((SCUCC_PMREMAP >> 16) & 0x0), ((SCUCC_PMREMAP = (SCUCC_PMREMAP & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ---------------------------- Field Item: SCUCC_PMREMAP_nPMREM -------------------------------- +// SVD Line: 4089 + +// SFDITEM_FIELD__SCUCC_PMREMAP_nPMREM +// nPMREM +// +// [Bits 15..8] WO (@ 0x4000F014) Write Complement Key +// +// ( (unsigned char)((SCUCC_PMREMAP >> 8) & 0x0), ((SCUCC_PMREMAP = (SCUCC_PMREMAP & ~(0xFFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 8 ) ) )) +// +// +// + + +// ----------------------------- Field Item: SCUCC_PMREMAP_PMREM -------------------------------- +// SVD Line: 4107 + +// SFDITEM_FIELD__SCUCC_PMREMAP_PMREM +// PMREM +// +// [Bits 7..0] RW (@ 0x4000F014) Program Memory Remap +// +// ( (unsigned char)((SCUCC_PMREMAP >> 0) & 0xFF), ((SCUCC_PMREMAP = (SCUCC_PMREMAP & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_PMREMAP --------------------------------- +// SVD Line: 4067 + +// SFDITEM_REG__SCUCC_PMREMAP +// PMREMAP +// +// [Bits 31..0] RW (@ 0x4000F014) Program Memory Remap Register +// ( (unsigned int)((SCUCC_PMREMAP >> 0) & 0xFFFFFFFF), ((SCUCC_PMREMAP = (SCUCC_PMREMAP & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_PMREMAP_WTIDKY +// SFDITEM_FIELD__SCUCC_PMREMAP_nPMREM +// SFDITEM_FIELD__SCUCC_PMREMAP_PMREM +// +// + + +// --------------------------- Register Item Address: SCUCC_BTPSCR ------------------------------ +// SVD Line: 4127 + +unsigned int SCUCC_BTPSCR __AT (0x4000F018); + + + +// ----------------------------- Field Item: SCUCC_BTPSCR_BFIND --------------------------------- +// SVD Line: 4136 + +// SFDITEM_FIELD__SCUCC_BTPSCR_BFIND +// BFIND +// +// [Bits 6..5] RW (@ 0x4000F018) \nBOOT Pin Function Indicator\n0 : Reserved - do not use\n1 : Reserved - do not use\n2 : PORorEXTR = Check the BOOT pin when a system reset occurs by nRESET including POR.\n3 : POR = Check the BOOT pin when a system reset occurs only by POR. +// +// ( (unsigned int) SCUCC_BTPSCR ) +// BFIND +// <0=> 0: +// <1=> 1: +// <2=> 2: PORorEXTR = Check the BOOT pin when a system reset occurs by nRESET including POR. +// <3=> 3: POR = Check the BOOT pin when a system reset occurs only by POR. +// +// +// + + +// ----------------------------- Field Item: SCUCC_BTPSCR_BTPSTA -------------------------------- +// SVD Line: 4154 + +// SFDITEM_FIELD__SCUCC_BTPSCR_BTPSTA +// BTPSTA +// +// [Bit 0] RO (@ 0x4000F018) \nBOOT Pin Status\n0 : Low = The BOOT pin is low level.\n1 : High = The BOOT pin is high level. +// +// ( (unsigned int) SCUCC_BTPSCR ) +// BTPSTA +// <0=> 0: Low = The BOOT pin is low level. +// <1=> 1: High = The BOOT pin is high level. +// +// +// + + +// ------------------------------ Register RTree: SCUCC_BTPSCR ---------------------------------- +// SVD Line: 4127 + +// SFDITEM_REG__SCUCC_BTPSCR +// BTPSCR +// +// [Bits 31..0] RW (@ 0x4000F018) Boot Pin Status and Control Register +// ( (unsigned int)((SCUCC_BTPSCR >> 0) & 0xFFFFFFFF), ((SCUCC_BTPSCR = (SCUCC_BTPSCR & ~(0x60UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x60) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_BTPSCR_BFIND +// SFDITEM_FIELD__SCUCC_BTPSCR_BTPSTA +// +// + + +// --------------------------- Register Item Address: SCUCC_RSTSSR ------------------------------ +// SVD Line: 4174 + +unsigned int SCUCC_RSTSSR __AT (0x4000F01C); + + + +// ----------------------------- Field Item: SCUCC_RSTSSR_MONSTA -------------------------------- +// SVD Line: 4183 + +// SFDITEM_FIELD__SCUCC_RSTSSR_MONSTA +// MONSTA +// +// [Bit 5] RW (@ 0x4000F01C) \nClock Monitoring Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = CMR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// MONSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = CMR was detected. +// +// +// + + +// ----------------------------- Field Item: SCUCC_RSTSSR_SWSTA --------------------------------- +// SVD Line: 4201 + +// SFDITEM_FIELD__SCUCC_RSTSSR_SWSTA +// SWSTA +// +// [Bit 4] RW (@ 0x4000F01C) \nSoftware Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = SWR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// SWSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = SWR was detected. +// +// +// + + +// ----------------------------- Field Item: SCUCC_RSTSSR_EXTSTA -------------------------------- +// SVD Line: 4219 + +// SFDITEM_FIELD__SCUCC_RSTSSR_EXTSTA +// EXTSTA +// +// [Bit 3] RW (@ 0x4000F01C) \nExternal Pin Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = EXTR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// EXTSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = EXTR was detected. +// +// +// + + +// ----------------------------- Field Item: SCUCC_RSTSSR_WDTSTA -------------------------------- +// SVD Line: 4237 + +// SFDITEM_FIELD__SCUCC_RSTSSR_WDTSTA +// WDTSTA +// +// [Bit 2] RW (@ 0x4000F01C) \nWatch-Dog Timer Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = WDTR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// WDTSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = WDTR was detected. +// +// +// + + +// ----------------------------- Field Item: SCUCC_RSTSSR_LVRSTA -------------------------------- +// SVD Line: 4255 + +// SFDITEM_FIELD__SCUCC_RSTSSR_LVRSTA +// LVRSTA +// +// [Bit 1] RW (@ 0x4000F01C) \nLVR Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = LVR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// LVRSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = LVR was detected. +// +// +// + + +// ----------------------------- Field Item: SCUCC_RSTSSR_PORSTA -------------------------------- +// SVD Line: 4273 + +// SFDITEM_FIELD__SCUCC_RSTSSR_PORSTA +// PORSTA +// +// [Bit 0] RW (@ 0x4000F01C) \nPOR Reset Status\n0 : NotDetected = Not detected.\n1 : Detected = POR was detected. +// +// ( (unsigned int) SCUCC_RSTSSR ) +// PORSTA +// <0=> 0: NotDetected = Not detected. +// <1=> 1: Detected = POR was detected. +// +// +// + + +// ------------------------------ Register RTree: SCUCC_RSTSSR ---------------------------------- +// SVD Line: 4174 + +// SFDITEM_REG__SCUCC_RSTSSR +// RSTSSR +// +// [Bits 31..0] RW (@ 0x4000F01C) Reset Source Status Register +// ( (unsigned int)((SCUCC_RSTSSR >> 0) & 0xFFFFFFFF), ((SCUCC_RSTSSR = (SCUCC_RSTSSR & ~(0x3FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3F) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_RSTSSR_MONSTA +// SFDITEM_FIELD__SCUCC_RSTSSR_SWSTA +// SFDITEM_FIELD__SCUCC_RSTSSR_EXTSTA +// SFDITEM_FIELD__SCUCC_RSTSSR_WDTSTA +// SFDITEM_FIELD__SCUCC_RSTSSR_LVRSTA +// SFDITEM_FIELD__SCUCC_RSTSSR_PORSTA +// +// + + +// -------------------------- Register Item Address: SCUCC_NMISRCR ------------------------------ +// SVD Line: 4293 + +unsigned int SCUCC_NMISRCR __AT (0x4000F020); + + + +// ---------------------------- Field Item: SCUCC_NMISRCR_NMICON -------------------------------- +// SVD Line: 4302 + +// SFDITEM_FIELD__SCUCC_NMISRCR_NMICON +// NMICON +// +// [Bit 7] RW (@ 0x4000F020) \nNon-Maskable Interrupt (NMI) Control\n0 : Disable = Disable NMI.\n1 : Enable = Enable NMI. +// +// ( (unsigned int) SCUCC_NMISRCR ) +// NMICON +// <0=> 0: Disable = Disable NMI. +// <1=> 1: Enable = Enable NMI. +// +// +// + + +// ---------------------------- Field Item: SCUCC_NMISRCR_MONINT -------------------------------- +// SVD Line: 4320 + +// SFDITEM_FIELD__SCUCC_NMISRCR_MONINT +// MONINT +// +// [Bit 6] RW (@ 0x4000F020) \nClock Monitoring Interrupt Selection\n0 : NotSelect = Non-select clock monitoring interrupt for NMI source.\n1 : Select = Select clock monitoring interrupt for NMI source. +// +// ( (unsigned int) SCUCC_NMISRCR ) +// MONINT +// <0=> 0: NotSelect = Non-select clock monitoring interrupt for NMI source. +// <1=> 1: Select = Select clock monitoring interrupt for NMI source. +// +// +// + + +// ---------------------------- Field Item: SCUCC_NMISRCR_NMISRC -------------------------------- +// SVD Line: 4338 + +// SFDITEM_FIELD__SCUCC_NMISRCR_NMISRC +// NMISRC +// +// [Bits 4..0] RW (@ 0x4000F020) Non-Maskable Interrupt Source Selection +// +// ( (unsigned char)((SCUCC_NMISRCR >> 0) & 0x1F), ((SCUCC_NMISRCR = (SCUCC_NMISRCR & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x1F) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_NMISRCR --------------------------------- +// SVD Line: 4293 + +// SFDITEM_REG__SCUCC_NMISRCR +// NMISRCR +// +// [Bits 31..0] RW (@ 0x4000F020) NMI Source Selection Register +// ( (unsigned int)((SCUCC_NMISRCR >> 0) & 0xFFFFFFFF), ((SCUCC_NMISRCR = (SCUCC_NMISRCR & ~(0xDFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xDF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_NMISRCR_NMICON +// SFDITEM_FIELD__SCUCC_NMISRCR_MONINT +// SFDITEM_FIELD__SCUCC_NMISRCR_NMISRC +// +// + + +// --------------------------- Register Item Address: SCUCC_SWRSTR ------------------------------ +// SVD Line: 4346 + +unsigned int SCUCC_SWRSTR __AT (0x4000F024); + + + +// ----------------------------- Field Item: SCUCC_SWRSTR_WTIDKY -------------------------------- +// SVD Line: 4355 + +// SFDITEM_FIELD__SCUCC_SWRSTR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x4000F024) Write Identification Key (0x9eb3) +// +// ( (unsigned short)((SCUCC_SWRSTR >> 16) & 0x0), ((SCUCC_SWRSTR = (SCUCC_SWRSTR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: SCUCC_SWRSTR_SWRST --------------------------------- +// SVD Line: 4368 + +// SFDITEM_FIELD__SCUCC_SWRSTR_SWRST +// SWRST +// +// [Bits 7..0] WO (@ 0x4000F024) Software Reset (System Reset) +// +// ( (unsigned char)((SCUCC_SWRSTR >> 0) & 0x0), ((SCUCC_SWRSTR = (SCUCC_SWRSTR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_SWRSTR ---------------------------------- +// SVD Line: 4346 + +// SFDITEM_REG__SCUCC_SWRSTR +// SWRSTR +// +// [Bits 31..0] WO (@ 0x4000F024) Software Reset Register +// ( (unsigned int)((SCUCC_SWRSTR >> 0) & 0xFFFFFFFF), ((SCUCC_SWRSTR = (SCUCC_SWRSTR & ~(0xFFFF00FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF00FF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_SWRSTR_WTIDKY +// SFDITEM_FIELD__SCUCC_SWRSTR_SWRST +// +// + + +// --------------------------- Register Item Address: SCUCC_SRSTVR ------------------------------ +// SVD Line: 4388 + +unsigned int SCUCC_SRSTVR __AT (0x4000F028); + + + +// ----------------------------- Field Item: SCUCC_SRSTVR_VALID --------------------------------- +// SVD Line: 4397 + +// SFDITEM_FIELD__SCUCC_SRSTVR_VALID +// VALID +// +// [Bits 7..0] RO (@ 0x4000F028) System Reset Validation +// +// ( (unsigned char)((SCUCC_SRSTVR >> 0) & 0xFF) ) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_SRSTVR ---------------------------------- +// SVD Line: 4388 + +// SFDITEM_REG__SCUCC_SRSTVR +// SRSTVR +// +// [Bits 31..0] RO (@ 0x4000F028) System Reset Validation Register +// ( (unsigned int)((SCUCC_SRSTVR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__SCUCC_SRSTVR_VALID +// +// + + +// --------------------------- Register Item Address: SCUCC_WUTCR ------------------------------- +// SVD Line: 4405 + +unsigned int SCUCC_WUTCR __AT (0x4000F02C); + + + +// ----------------------------- Field Item: SCUCC_WUTCR_WUTIEN --------------------------------- +// SVD Line: 4414 + +// SFDITEM_FIELD__SCUCC_WUTCR_WUTIEN +// WUTIEN +// +// [Bit 7] RW (@ 0x4000F02C) \nWake-Up Timer Interrupt Enable\n0 : Disable = Disable Wake-Up Timer interrupt.\n1 : Enable = Enable Wake-Up Timer interrupt. +// +// ( (unsigned int) SCUCC_WUTCR ) +// WUTIEN +// <0=> 0: Disable = Disable Wake-Up Timer interrupt. +// <1=> 1: Enable = Enable Wake-Up Timer interrupt. +// +// +// + + +// ----------------------------- Field Item: SCUCC_WUTCR_CNTRLD --------------------------------- +// SVD Line: 4432 + +// SFDITEM_FIELD__SCUCC_WUTCR_CNTRLD +// CNTRLD +// +// [Bit 1] RW (@ 0x4000F02C) \nCounter Reload\n0 : NoEffect = No effect.\n1 : Reload = Reload data to counter. +// +// ( (unsigned int) SCUCC_WUTCR ) +// CNTRLD +// <0=> 0: NoEffect = No effect. +// <1=> 1: Reload = Reload data to counter. +// +// +// + + +// ---------------------------- Field Item: SCUCC_WUTCR_WUTIFLAG -------------------------------- +// SVD Line: 4450 + +// SFDITEM_FIELD__SCUCC_WUTCR_WUTIFLAG +// WUTIFLAG +// +// [Bit 0] RW (@ 0x4000F02C) \nWake-Up Timer Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) SCUCC_WUTCR ) +// WUTIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------- Register RTree: SCUCC_WUTCR ---------------------------------- +// SVD Line: 4405 + +// SFDITEM_REG__SCUCC_WUTCR +// WUTCR +// +// [Bits 31..0] RW (@ 0x4000F02C) Wake-Up Timer Control Register +// ( (unsigned int)((SCUCC_WUTCR >> 0) & 0xFFFFFFFF), ((SCUCC_WUTCR = (SCUCC_WUTCR & ~(0x83UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x83) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_WUTCR_WUTIEN +// SFDITEM_FIELD__SCUCC_WUTCR_CNTRLD +// SFDITEM_FIELD__SCUCC_WUTCR_WUTIFLAG +// +// + + +// --------------------------- Register Item Address: SCUCC_WUTDR ------------------------------- +// SVD Line: 4470 + +unsigned int SCUCC_WUTDR __AT (0x4000F030); + + + +// ----------------------------- Field Item: SCUCC_WUTDR_WUTDATA -------------------------------- +// SVD Line: 4479 + +// SFDITEM_FIELD__SCUCC_WUTDR_WUTDATA +// WUTDATA +// +// [Bits 15..0] RW (@ 0x4000F030) Wake-Up Timer Data +// +// ( (unsigned short)((SCUCC_WUTDR >> 0) & 0xFFFF), ((SCUCC_WUTDR = (SCUCC_WUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: SCUCC_WUTDR ---------------------------------- +// SVD Line: 4470 + +// SFDITEM_REG__SCUCC_WUTDR +// WUTDR +// +// [Bits 31..0] RW (@ 0x4000F030) Wake-Up Timer Data Register +// ( (unsigned int)((SCUCC_WUTDR >> 0) & 0xFFFFFFFF), ((SCUCC_WUTDR = (SCUCC_WUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_WUTDR_WUTDATA +// +// + + +// -------------------------- Register Item Address: SCUCC_HIRCTRM ------------------------------ +// SVD Line: 4487 + +unsigned int SCUCC_HIRCTRM __AT (0x4000F0A8); + + + +// ---------------------------- Field Item: SCUCC_HIRCTRM_WTIDKY -------------------------------- +// SVD Line: 4496 + +// SFDITEM_FIELD__SCUCC_HIRCTRM_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x4000F0A8) Write Identification Key (0xa6b5) +// +// ( (unsigned short)((SCUCC_HIRCTRM >> 16) & 0x0), ((SCUCC_HIRCTRM = (SCUCC_HIRCTRM & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: SCUCC_HIRCTRM_nTRMH -------------------------------- +// SVD Line: 4509 + +// SFDITEM_FIELD__SCUCC_HIRCTRM_nTRMH +// nTRMH +// +// [Bits 15..8] WO (@ 0x4000F0A8) Write Complement Key +// +// ( (unsigned char)((SCUCC_HIRCTRM >> 8) & 0x0), ((SCUCC_HIRCTRM = (SCUCC_HIRCTRM & ~(0xFFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 8 ) ) )) +// +// +// + + +// ----------------------------- Field Item: SCUCC_HIRCTRM_CTRMH -------------------------------- +// SVD Line: 4515 + +// SFDITEM_FIELD__SCUCC_HIRCTRM_CTRMH +// CTRMH +// +// [Bits 7..5] RO (@ 0x4000F0A8) Factory HIRC Coarse Trim +// +// ( (unsigned char)((SCUCC_HIRCTRM >> 5) & 0x7) ) +// +// +// + + +// ----------------------------- Field Item: SCUCC_HIRCTRM_FTRMH -------------------------------- +// SVD Line: 4521 + +// SFDITEM_FIELD__SCUCC_HIRCTRM_FTRMH +// FTRMH +// +// [Bits 4..0] RW (@ 0x4000F0A8) Factory HIRC Fine Trim +// +// ( (unsigned char)((SCUCC_HIRCTRM >> 0) & 0x1F), ((SCUCC_HIRCTRM = (SCUCC_HIRCTRM & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x1F) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: SCUCC_HIRCTRM --------------------------------- +// SVD Line: 4487 + +// SFDITEM_REG__SCUCC_HIRCTRM +// HIRCTRM +// +// [Bits 31..0] RW (@ 0x4000F0A8) High Frequency Internal RC Trim Register (HIRCNFIG) +// ( (unsigned int)((SCUCC_HIRCTRM >> 0) & 0xFFFFFFFF), ((SCUCC_HIRCTRM = (SCUCC_HIRCTRM & ~(0xFFFFFF1FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF1F) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_HIRCTRM_WTIDKY +// SFDITEM_FIELD__SCUCC_HIRCTRM_nTRMH +// SFDITEM_FIELD__SCUCC_HIRCTRM_CTRMH +// SFDITEM_FIELD__SCUCC_HIRCTRM_FTRMH +// +// + + +// -------------------------- Register Item Address: SCUCC_WDTRCTRM ----------------------------- +// SVD Line: 4529 + +unsigned int SCUCC_WDTRCTRM __AT (0x4000F0AC); + + + +// ---------------------------- Field Item: SCUCC_WDTRCTRM_WTIDKY ------------------------------- +// SVD Line: 4538 + +// SFDITEM_FIELD__SCUCC_WDTRCTRM_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x4000F0AC) Write Identification Key (0x4c3d) +// +// ( (unsigned short)((SCUCC_WDTRCTRM >> 16) & 0x0), ((SCUCC_WDTRCTRM = (SCUCC_WDTRCTRM & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ---------------------------- Field Item: SCUCC_WDTRCTRM_nTRMW -------------------------------- +// SVD Line: 4551 + +// SFDITEM_FIELD__SCUCC_WDTRCTRM_nTRMW +// nTRMW +// +// [Bits 15..8] WO (@ 0x4000F0AC) Write Complement Key +// +// ( (unsigned char)((SCUCC_WDTRCTRM >> 8) & 0x0), ((SCUCC_WDTRCTRM = (SCUCC_WDTRCTRM & ~(0xFFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 8 ) ) )) +// +// +// + + +// ---------------------------- Field Item: SCUCC_WDTRCTRM_CTRMW -------------------------------- +// SVD Line: 4557 + +// SFDITEM_FIELD__SCUCC_WDTRCTRM_CTRMW +// CTRMW +// +// [Bits 7..4] RW (@ 0x4000F0AC) Factory WDTRC Coarse Trim +// +// ( (unsigned char)((SCUCC_WDTRCTRM >> 4) & 0xF), ((SCUCC_WDTRCTRM = (SCUCC_WDTRCTRM & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ---------------------------- Field Item: SCUCC_WDTRCTRM_FTRMW -------------------------------- +// SVD Line: 4563 + +// SFDITEM_FIELD__SCUCC_WDTRCTRM_FTRMW +// FTRMW +// +// [Bits 2..0] RW (@ 0x4000F0AC) Factory WDTRC Fine Trim +// +// ( (unsigned char)((SCUCC_WDTRCTRM >> 0) & 0x7), ((SCUCC_WDTRCTRM = (SCUCC_WDTRCTRM & ~(0x7UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register RTree: SCUCC_WDTRCTRM --------------------------------- +// SVD Line: 4529 + +// SFDITEM_REG__SCUCC_WDTRCTRM +// WDTRCTRM +// +// [Bits 31..0] RW (@ 0x4000F0AC) Watch-Dog Timer RC Trim Register (WDTRCNFIG) +// ( (unsigned int)((SCUCC_WDTRCTRM >> 0) & 0xFFFFFFFF), ((SCUCC_WDTRCTRM = (SCUCC_WDTRCTRM & ~(0xFFFFFFF7UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFF7) << 0 ) ) )) +// SFDITEM_FIELD__SCUCC_WDTRCTRM_WTIDKY +// SFDITEM_FIELD__SCUCC_WDTRCTRM_nTRMW +// SFDITEM_FIELD__SCUCC_WDTRCTRM_CTRMW +// SFDITEM_FIELD__SCUCC_WDTRCTRM_FTRMW +// +// + + +// --------------------------------- Peripheral View: SCUCC ------------------------------------- +// SVD Line: 3997 + +// SCUCC +// SCUCC +// SFDITEM_REG__SCUCC_VENDORID +// SFDITEM_REG__SCUCC_CHIPID +// SFDITEM_REG__SCUCC_REVNR +// SFDITEM_REG__SCUCC_PMREMAP +// SFDITEM_REG__SCUCC_BTPSCR +// SFDITEM_REG__SCUCC_RSTSSR +// SFDITEM_REG__SCUCC_NMISRCR +// SFDITEM_REG__SCUCC_SWRSTR +// SFDITEM_REG__SCUCC_SRSTVR +// SFDITEM_REG__SCUCC_WUTCR +// SFDITEM_REG__SCUCC_WUTDR +// SFDITEM_REG__SCUCC_HIRCTRM +// SFDITEM_REG__SCUCC_WDTRCTRM +// +// + + +// ---------------------------- Register Item Address: SCUCG_SCCR ------------------------------- +// SVD Line: 4587 + +unsigned int SCUCG_SCCR __AT (0x40001800); + + + +// ------------------------------ Field Item: SCUCG_SCCR_WTIDKY --------------------------------- +// SVD Line: 4596 + +// SFDITEM_FIELD__SCUCG_SCCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001800) Write Identification Key (0x570a) +// +// ( (unsigned short)((SCUCG_SCCR >> 16) & 0x0), ((SCUCG_SCCR = (SCUCG_SCCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: SCUCG_SCCR_MCLKSEL --------------------------------- +// SVD Line: 4609 + +// SFDITEM_FIELD__SCUCG_SCCR_MCLKSEL +// MCLKSEL +// +// [Bits 1..0] RW (@ 0x40001800) \nMain Clock Selection, MCLK\n0 : HIRC = High Frequency Internal RC Oscillator (40MHz), HIRC\n1 : XMOSC = External Main Oscillator (2 - 40MHz), XMOSC\n2 : XSOSC = External Sub Oscillator (32.768kHz), XSOSC\n3 : WDTRC = Internal Watch-Dog Timer RC Oscillator (40kHz), WDTRC +// +// ( (unsigned int) SCUCG_SCCR ) +// MCLKSEL +// <0=> 0: HIRC = High Frequency Internal RC Oscillator (40MHz), HIRC +// <1=> 1: XMOSC = External Main Oscillator (2 - 40MHz), XMOSC +// <2=> 2: XSOSC = External Sub Oscillator (32.768kHz), XSOSC +// <3=> 3: WDTRC = Internal Watch-Dog Timer RC Oscillator (40kHz), WDTRC +// +// +// + + +// ------------------------------- Register RTree: SCUCG_SCCR ----------------------------------- +// SVD Line: 4587 + +// SFDITEM_REG__SCUCG_SCCR +// SCCR +// +// [Bits 31..0] RW (@ 0x40001800) System Clock Control Register +// ( (unsigned int)((SCUCG_SCCR >> 0) & 0xFFFFFFFF), ((SCUCG_SCCR = (SCUCG_SCCR & ~(0xFFFF0003UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF0003) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_SCCR_WTIDKY +// SFDITEM_FIELD__SCUCG_SCCR_MCLKSEL +// +// + + +// -------------------------- Register Item Address: SCUCG_CLKSRCR ------------------------------ +// SVD Line: 4639 + +unsigned int SCUCG_CLKSRCR __AT (0x40001804); + + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_WTIDKY -------------------------------- +// SVD Line: 4648 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001804) Write Identification Key (0xa507) +// +// ( (unsigned short)((SCUCG_CLKSRCR >> 16) & 0x0), ((SCUCG_CLKSRCR = (SCUCG_CLKSRCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_HIRCSEL ------------------------------- +// SVD Line: 4661 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_HIRCSEL +// HIRCSEL +// +// [Bits 13..12] RW (@ 0x40001804) \nHIRC Frequency Selection\n0 : HIRC1 = 40MHz HIRC\n1 : HIRC2 = 20MHz HIRC\n2 : HIRC4 = 10MHz HIRC\n3 : HIRC8 = 5MHz HIRC +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// HIRCSEL +// <0=> 0: HIRC1 = 40MHz HIRC +// <1=> 1: HIRC2 = 20MHz HIRC +// <2=> 2: HIRC4 = 10MHz HIRC +// <3=> 3: HIRC8 = 5MHz HIRC +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_XMFRNG -------------------------------- +// SVD Line: 4689 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_XMFRNG +// XMFRNG +// +// [Bit 8] RW (@ 0x40001804) \nMain Oscillator Type and Frequency Range Selection\n0 : Xtal = X-tal for XMOSC, 2 to 16MHz\n1 : Clock = External Clock for XMOSC, 2MHz to 40MHz +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// XMFRNG +// <0=> 0: Xtal = X-tal for XMOSC, 2 to 16MHz +// <1=> 1: Clock = External Clock for XMOSC, 2MHz to 40MHz +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_WDTRCEN ------------------------------- +// SVD Line: 4707 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_WDTRCEN +// WDTRCEN +// +// [Bit 3] RW (@ 0x40001804) \nWDTRC Enable\n0 : Disable = Disable WDTRC.\n1 : Enable = Enable WDTRC. +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// WDTRCEN +// <0=> 0: Disable = Disable WDTRC. +// <1=> 1: Enable = Enable WDTRC. +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_HIRCEN -------------------------------- +// SVD Line: 4725 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_HIRCEN +// HIRCEN +// +// [Bit 2] RW (@ 0x40001804) \nHIRC Enable\n0 : Disable = Disable HIRC.\n1 : Enable = Enable HIRC. +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// HIRCEN +// <0=> 0: Disable = Disable HIRC. +// <1=> 1: Enable = Enable HIRC. +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_XMOSCEN ------------------------------- +// SVD Line: 4743 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_XMOSCEN +// XMOSCEN +// +// [Bit 1] RW (@ 0x40001804) \nXMOSC Enable\n0 : Disable = Disable XMOSC.\n1 : Enable = Enable XMOSC. +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// XMOSCEN +// <0=> 0: Disable = Disable XMOSC. +// <1=> 1: Enable = Enable XMOSC. +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKSRCR_XSOSCEN ------------------------------- +// SVD Line: 4761 + +// SFDITEM_FIELD__SCUCG_CLKSRCR_XSOSCEN +// XSOSCEN +// +// [Bit 0] RW (@ 0x40001804) \nXSOSC Enable\n0 : Disable = Disable XSOSC.\n1 : Enable = Enable XSOSC. +// +// ( (unsigned int) SCUCG_CLKSRCR ) +// XSOSCEN +// <0=> 0: Disable = Disable XSOSC. +// <1=> 1: Enable = Enable XSOSC. +// +// +// + + +// ------------------------------ Register RTree: SCUCG_CLKSRCR --------------------------------- +// SVD Line: 4639 + +// SFDITEM_REG__SCUCG_CLKSRCR +// CLKSRCR +// +// [Bits 31..0] RW (@ 0x40001804) Clock Source Control Register +// ( (unsigned int)((SCUCG_CLKSRCR >> 0) & 0xFFFFFFFF), ((SCUCG_CLKSRCR = (SCUCG_CLKSRCR & ~(0xFFFF310FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF310F) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_CLKSRCR_WTIDKY +// SFDITEM_FIELD__SCUCG_CLKSRCR_HIRCSEL +// SFDITEM_FIELD__SCUCG_CLKSRCR_XMFRNG +// SFDITEM_FIELD__SCUCG_CLKSRCR_WDTRCEN +// SFDITEM_FIELD__SCUCG_CLKSRCR_HIRCEN +// SFDITEM_FIELD__SCUCG_CLKSRCR_XMOSCEN +// SFDITEM_FIELD__SCUCG_CLKSRCR_XSOSCEN +// +// + + +// -------------------------- Register Item Address: SCUCG_SCDIVR1 ------------------------------ +// SVD Line: 4781 + +unsigned int SCUCG_SCDIVR1 __AT (0x40001808); + + + +// ----------------------------- Field Item: SCUCG_SCDIVR1_WLDIV -------------------------------- +// SVD Line: 4790 + +// SFDITEM_FIELD__SCUCG_SCDIVR1_WLDIV +// WLDIV +// +// [Bits 6..4] RW (@ 0x40001808) \nClock Divide for Watch Timer and LCD Driver, Divider 2\n0 : MCLK64 = MCLK/64\n1 : MCLK128 = MCLK/128\n2 : MCLK256 = MCLK/256\n3 : MCLK512 = MCLK/512\n4 : MCLK1024 = MCLK/1024\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) SCUCG_SCDIVR1 ) +// WLDIV +// <0=> 0: MCLK64 = MCLK/64 +// <1=> 1: MCLK128 = MCLK/128 +// <2=> 2: MCLK256 = MCLK/256 +// <3=> 3: MCLK512 = MCLK/512 +// <4=> 4: MCLK1024 = MCLK/1024 +// <5=> 5: +// <6=> 6: +// <7=> 7: +// +// +// + + +// ----------------------------- Field Item: SCUCG_SCDIVR1_HDIV --------------------------------- +// SVD Line: 4823 + +// SFDITEM_FIELD__SCUCG_SCDIVR1_HDIV +// HDIV +// +// [Bits 2..0] RW (@ 0x40001808) \nClock Divide for HCLK, Divider 0\n0 : MCLK16 = MCLK/16\n1 : MCLK8 = MCLK/8\n2 : MCLK4 = MCLK/4\n3 : MCLK2 = MCLK/2\n4 : MCLK1 = MCLK/1\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) SCUCG_SCDIVR1 ) +// HDIV +// <0=> 0: MCLK16 = MCLK/16 +// <1=> 1: MCLK8 = MCLK/8 +// <2=> 2: MCLK4 = MCLK/4 +// <3=> 3: MCLK2 = MCLK/2 +// <4=> 4: MCLK1 = MCLK/1 +// <5=> 5: +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------ Register RTree: SCUCG_SCDIVR1 --------------------------------- +// SVD Line: 4781 + +// SFDITEM_REG__SCUCG_SCDIVR1 +// SCDIVR1 +// +// [Bits 31..0] RW (@ 0x40001808) System Clock Divide Register 1 +// ( (unsigned int)((SCUCG_SCDIVR1 >> 0) & 0xFFFFFFFF), ((SCUCG_SCDIVR1 = (SCUCG_SCDIVR1 & ~(0x77UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x77) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_SCDIVR1_WLDIV +// SFDITEM_FIELD__SCUCG_SCDIVR1_HDIV +// +// + + +// -------------------------- Register Item Address: SCUCG_SCDIVR2 ------------------------------ +// SVD Line: 4858 + +unsigned int SCUCG_SCDIVR2 __AT (0x4000180C); + + + +// ---------------------------- Field Item: SCUCG_SCDIVR2_SYSTDIV ------------------------------- +// SVD Line: 4867 + +// SFDITEM_FIELD__SCUCG_SCDIVR2_SYSTDIV +// SYSTDIV +// +// [Bits 5..4] RW (@ 0x4000180C) \nClock Divide for SysTick Timer, Divider 3\n0 : HCLK1 = HCLK/1\n1 : HCLK2 = HCLK/2\n2 : HCLK4 = HCLK/4\n3 : HCLK8 = HCLK/8 +// +// ( (unsigned int) SCUCG_SCDIVR2 ) +// SYSTDIV +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK2 = HCLK/2 +// <2=> 2: HCLK4 = HCLK/4 +// <3=> 3: HCLK8 = HCLK/8 +// +// +// + + +// ----------------------------- Field Item: SCUCG_SCDIVR2_PDIV --------------------------------- +// SVD Line: 4895 + +// SFDITEM_FIELD__SCUCG_SCDIVR2_PDIV +// PDIV +// +// [Bits 1..0] RW (@ 0x4000180C) \nClock Divide for PCLK, Divider 1\n0 : HCLK1 = HCLK/1\n1 : HCLK2 = HCLK/2\n2 : HCLK4 = HCLK/4\n3 : HCLK8 = HCLK/8 +// +// ( (unsigned int) SCUCG_SCDIVR2 ) +// PDIV +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK2 = HCLK/2 +// <2=> 2: HCLK4 = HCLK/4 +// <3=> 3: HCLK8 = HCLK/8 +// +// +// + + +// ------------------------------ Register RTree: SCUCG_SCDIVR2 --------------------------------- +// SVD Line: 4858 + +// SFDITEM_REG__SCUCG_SCDIVR2 +// SCDIVR2 +// +// [Bits 31..0] RW (@ 0x4000180C) System Clock Divide Register 2 +// ( (unsigned int)((SCUCG_SCDIVR2 >> 0) & 0xFFFFFFFF), ((SCUCG_SCDIVR2 = (SCUCG_SCDIVR2 & ~(0x33UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x33) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_SCDIVR2_SYSTDIV +// SFDITEM_FIELD__SCUCG_SCDIVR2_PDIV +// +// + + +// --------------------------- Register Item Address: SCUCG_CLKOCR ------------------------------ +// SVD Line: 4925 + +unsigned int SCUCG_CLKOCR __AT (0x40001810); + + + +// ----------------------------- Field Item: SCUCG_CLKOCR_CLKOEN -------------------------------- +// SVD Line: 4934 + +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKOEN +// CLKOEN +// +// [Bit 7] RW (@ 0x40001810) \nClock Output Enable\n0 : Disable = Disable clock output.\n1 : Enable = Enable clock output. +// +// ( (unsigned int) SCUCG_CLKOCR ) +// CLKOEN +// <0=> 0: Disable = Disable clock output. +// <1=> 1: Enable = Enable clock output. +// +// +// + + +// ----------------------------- Field Item: SCUCG_CLKOCR_POLSEL -------------------------------- +// SVD Line: 4952 + +// SFDITEM_FIELD__SCUCG_CLKOCR_POLSEL +// POLSEL +// +// [Bit 6] RW (@ 0x40001810) \nClock Output Polarity Selection when Disable\n0 : Low = Low level during disable\n1 : High = High level during disable +// +// ( (unsigned int) SCUCG_CLKOCR ) +// POLSEL +// <0=> 0: Low = Low level during disable +// <1=> 1: High = High level during disable +// +// +// + + +// ---------------------------- Field Item: SCUCG_CLKOCR_CLKODIV -------------------------------- +// SVD Line: 4970 + +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKODIV +// CLKODIV +// +// [Bits 5..3] RW (@ 0x40001810) \nOutput Clock Divide, Divider 4\n0 : SelectedClock1 = Selected Clock/1\n1 : SelectedClock2 = Selected Clock/2\n2 : SelectedClock4 = Selected Clock/4\n3 : SelectedClock8 = Selected Clock/8\n4 : SelectedClock16 = Selected Clock/16\n5 : SelectedClock32 = Selected Clock/32\n6 : SelectedClock64 = Selected Clock/64\n7 : SelectedClock128 = Selected Clock/128 +// +// ( (unsigned int) SCUCG_CLKOCR ) +// CLKODIV +// <0=> 0: SelectedClock1 = Selected Clock/1 +// <1=> 1: SelectedClock2 = Selected Clock/2 +// <2=> 2: SelectedClock4 = Selected Clock/4 +// <3=> 3: SelectedClock8 = Selected Clock/8 +// <4=> 4: SelectedClock16 = Selected Clock/16 +// <5=> 5: SelectedClock32 = Selected Clock/32 +// <6=> 6: SelectedClock64 = Selected Clock/64 +// <7=> 7: SelectedClock128 = Selected Clock/128 +// +// +// + + +// ----------------------------- Field Item: SCUCG_CLKOCR_CLKOS --------------------------------- +// SVD Line: 5018 + +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKOS +// CLKOS +// +// [Bits 2..0] RW (@ 0x40001810) \nClock Output Selection\n0 : MCLK = Select MCLK.\n1 : WDTRC = Select WDTRC.\n2 : HIRC = Select HIRC.\n3 : HCLK = Select HCLK.\n4 : PCLK = Select PCLK.\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) SCUCG_CLKOCR ) +// CLKOS +// <0=> 0: MCLK = Select MCLK. +// <1=> 1: WDTRC = Select WDTRC. +// <2=> 2: HIRC = Select HIRC. +// <3=> 3: HCLK = Select HCLK. +// <4=> 4: PCLK = Select PCLK. +// <5=> 5: +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------ Register RTree: SCUCG_CLKOCR ---------------------------------- +// SVD Line: 4925 + +// SFDITEM_REG__SCUCG_CLKOCR +// CLKOCR +// +// [Bits 31..0] RW (@ 0x40001810) Clock Output Control Register +// ( (unsigned int)((SCUCG_CLKOCR >> 0) & 0xFFFFFFFF), ((SCUCG_CLKOCR = (SCUCG_CLKOCR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKOEN +// SFDITEM_FIELD__SCUCG_CLKOCR_POLSEL +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKODIV +// SFDITEM_FIELD__SCUCG_CLKOCR_CLKOS +// +// + + +// --------------------------- Register Item Address: SCUCG_CMONCR ------------------------------ +// SVD Line: 5053 + +unsigned int SCUCG_CMONCR __AT (0x40001814); + + + +// ----------------------------- Field Item: SCUCG_CMONCR_MONEN --------------------------------- +// SVD Line: 5062 + +// SFDITEM_FIELD__SCUCG_CMONCR_MONEN +// MONEN +// +// [Bit 7] RW (@ 0x40001814) \nClock Monitoring Enable\n0 : Disable = Disable clock monitoring.\n1 : Enable = Enable clock monitoring. +// +// ( (unsigned int) SCUCG_CMONCR ) +// MONEN +// <0=> 0: Disable = Disable clock monitoring. +// <1=> 1: Enable = Enable clock monitoring. +// +// +// + + +// ----------------------------- Field Item: SCUCG_CMONCR_MACTS --------------------------------- +// SVD Line: 5080 + +// SFDITEM_FIELD__SCUCG_CMONCR_MACTS +// MACTS +// +// [Bits 6..5] RW (@ 0x40001814) \nClock Monitoring Action Selection\n0 : FlagChk = No action by clock monitoring, but flags will be set/cleared on condition\n1 : RstGen = Reset generation by clock monitoring\n2 : SysClkChg = The system clock will be changed to the WDTRC regardless of MCLKSEL[1:0] bits of system clock control register (SCU_SCCR) only when the MCLK is selected for monitoring\n3 : Reserved - do not use +// +// ( (unsigned int) SCUCG_CMONCR ) +// MACTS +// <0=> 0: FlagChk = No action by clock monitoring, but flags will be set/cleared on condition +// <1=> 1: RstGen = Reset generation by clock monitoring +// <2=> 2: SysClkChg = The system clock will be changed to the WDTRC regardless of MCLKSEL[1:0] bits of system clock control register (SCU_SCCR) only when the MCLK is selected for monitoring +// <3=> 3: +// +// +// + + +// ---------------------------- Field Item: SCUCG_CMONCR_MONFLAG -------------------------------- +// SVD Line: 5103 + +// SFDITEM_FIELD__SCUCG_CMONCR_MONFLAG +// MONFLAG +// +// [Bit 3] RW (@ 0x40001814) \nClock Monitoring Result Flag\n0 : NotReady = The clock to be monitored is not ready\n1 : Ready = The clock to be monitored is ready +// +// ( (unsigned int) SCUCG_CMONCR ) +// MONFLAG +// <0=> 0: NotReady = The clock to be monitored is not ready +// <1=> 1: Ready = The clock to be monitored is ready +// +// +// + + +// ---------------------------- Field Item: SCUCG_CMONCR_NMINTFG -------------------------------- +// SVD Line: 5121 + +// SFDITEM_FIELD__SCUCG_CMONCR_NMINTFG +// NMINTFG +// +// [Bit 2] RW (@ 0x40001814) \nClock Monitoring Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) SCUCG_CMONCR ) +// NMINTFG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ----------------------------- Field Item: SCUCG_CMONCR_MONCS --------------------------------- +// SVD Line: 5139 + +// SFDITEM_FIELD__SCUCG_CMONCR_MONCS +// MONCS +// +// [Bits 1..0] RW (@ 0x40001814) \nMonitored Clock Selection\n0 : MCLK = Select MCLK.\n1 : HIRC = Select HIRC.\n2 : XMOSC = Select XMOSC.\n3 : XSOSC = Select XSOSC. +// +// ( (unsigned int) SCUCG_CMONCR ) +// MONCS +// <0=> 0: MCLK = Select MCLK. +// <1=> 1: HIRC = Select HIRC. +// <2=> 2: XMOSC = Select XMOSC. +// <3=> 3: XSOSC = Select XSOSC. +// +// +// + + +// ------------------------------ Register RTree: SCUCG_CMONCR ---------------------------------- +// SVD Line: 5053 + +// SFDITEM_REG__SCUCG_CMONCR +// CMONCR +// +// [Bits 31..0] RW (@ 0x40001814) Clock Monitoring Control Register +// ( (unsigned int)((SCUCG_CMONCR >> 0) & 0xFFFFFFFF), ((SCUCG_CMONCR = (SCUCG_CMONCR & ~(0xEFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xEF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_CMONCR_MONEN +// SFDITEM_FIELD__SCUCG_CMONCR_MACTS +// SFDITEM_FIELD__SCUCG_CMONCR_MONFLAG +// SFDITEM_FIELD__SCUCG_CMONCR_NMINTFG +// SFDITEM_FIELD__SCUCG_CMONCR_MONCS +// +// + + +// -------------------------- Register Item Address: SCUCG_PPCLKEN1 ----------------------------- +// SVD Line: 5169 + +unsigned int SCUCG_PPCLKEN1 __AT (0x40001820); + + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T21CLKE ------------------------------- +// SVD Line: 5178 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T21CLKE +// T21CLKE +// +// [Bit 21] RW (@ 0x40001820) \nTIMER21 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T21CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T20CLKE ------------------------------- +// SVD Line: 5196 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T20CLKE +// T20CLKE +// +// [Bit 20] RW (@ 0x40001820) \nTIMER20 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T20CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T30CLKE ------------------------------- +// SVD Line: 5214 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T30CLKE +// T30CLKE +// +// [Bit 19] RW (@ 0x40001820) \nTIMER30 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T30CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T12CLKE ------------------------------- +// SVD Line: 5232 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T12CLKE +// T12CLKE +// +// [Bit 18] RW (@ 0x40001820) \nTIMER12 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T12CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T11CLKE ------------------------------- +// SVD Line: 5250 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T11CLKE +// T11CLKE +// +// [Bit 17] RW (@ 0x40001820) \nTIMER11 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T11CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T10CLKE ------------------------------- +// SVD Line: 5268 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T10CLKE +// T10CLKE +// +// [Bit 16] RW (@ 0x40001820) \nTIMER10 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T10CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T16CLKE ------------------------------- +// SVD Line: 5286 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T16CLKE +// T16CLKE +// +// [Bit 11] RW (@ 0x40001820) \nTIMER16 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T16CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T15CLKE ------------------------------- +// SVD Line: 5304 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T15CLKE +// T15CLKE +// +// [Bit 10] RW (@ 0x40001820) \nTIMER15 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T15CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T14CLKE ------------------------------- +// SVD Line: 5322 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T14CLKE +// T14CLKE +// +// [Bit 9] RW (@ 0x40001820) \nTIMER14 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T14CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN1_T13CLKE ------------------------------- +// SVD Line: 5340 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T13CLKE +// T13CLKE +// +// [Bit 8] RW (@ 0x40001820) \nTIMER13 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// T13CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PFCLKE ------------------------------- +// SVD Line: 5358 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PFCLKE +// PFCLKE +// +// [Bit 5] RW (@ 0x40001820) \nPort F Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PFCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PECLKE ------------------------------- +// SVD Line: 5376 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PECLKE +// PECLKE +// +// [Bit 4] RW (@ 0x40001820) \nPort E Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PECLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PDCLKE ------------------------------- +// SVD Line: 5394 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PDCLKE +// PDCLKE +// +// [Bit 3] RW (@ 0x40001820) \nPort D Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PDCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PCCLKE ------------------------------- +// SVD Line: 5412 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PCCLKE +// PCCLKE +// +// [Bit 2] RW (@ 0x40001820) \nPort C Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PCCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PBCLKE ------------------------------- +// SVD Line: 5430 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PBCLKE +// PBCLKE +// +// [Bit 1] RW (@ 0x40001820) \nPort B Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PBCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN1_PACLKE ------------------------------- +// SVD Line: 5448 + +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PACLKE +// PACLKE +// +// [Bit 0] RW (@ 0x40001820) \nPort A Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN1 ) +// PACLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ----------------------------- Register RTree: SCUCG_PPCLKEN1 --------------------------------- +// SVD Line: 5169 + +// SFDITEM_REG__SCUCG_PPCLKEN1 +// PPCLKEN1 +// +// [Bits 31..0] RW (@ 0x40001820) Peripheral Clock Enable Register 1 +// ( (unsigned int)((SCUCG_PPCLKEN1 >> 0) & 0xFFFFFFFF), ((SCUCG_PPCLKEN1 = (SCUCG_PPCLKEN1 & ~(0x3F0F3FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3F0F3F) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T21CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T20CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T30CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T12CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T11CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T10CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T16CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T15CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T14CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_T13CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PFCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PECLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PDCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PCCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PBCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN1_PACLKE +// +// + + +// -------------------------- Register Item Address: SCUCG_PPCLKEN2 ----------------------------- +// SVD Line: 5468 + +unsigned int SCUCG_PPCLKEN2 __AT (0x40001824); + + + +// ---------------------------- Field Item: SCUCG_PPCLKEN2_FMCLKE ------------------------------- +// SVD Line: 5477 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_FMCLKE +// FMCLKE +// +// [Bit 19] RW (@ 0x40001824) \nFMC (Flash Memory Controller) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// FMCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_LVICLKE ------------------------------- +// SVD Line: 5495 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_LVICLKE +// LVICLKE +// +// [Bit 18] RW (@ 0x40001824) \nLVI (Low Voltage Indicator) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// LVICLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_WDTCLKE ------------------------------- +// SVD Line: 5513 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_WDTCLKE +// WDTCLKE +// +// [Bit 17] RW (@ 0x40001824) \nWDT (Watch-Dog Timer) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// WDTCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN2_WTCLKE ------------------------------- +// SVD Line: 5531 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_WTCLKE +// WTCLKE +// +// [Bit 16] RW (@ 0x40001824) \nWT (Watch Timer) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// WTCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_LCDCLKE ------------------------------- +// SVD Line: 5549 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_LCDCLKE +// LCDCLKE +// +// [Bit 13] RW (@ 0x40001824) \nLCD (LCD Driver) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// LCDCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN2_CRCLKE ------------------------------- +// SVD Line: 5567 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_CRCLKE +// CRCLKE +// +// [Bit 12] RW (@ 0x40001824) \nCRC (Cyclic Redundancy Check) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// CRCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKEN2_ADCLKE ------------------------------- +// SVD Line: 5585 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_ADCLKE +// ADCLKE +// +// [Bit 10] RW (@ 0x40001824) \nADC (Analog to Digital Converter) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// ADCLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_I2C2CLKE ------------------------------ +// SVD Line: 5603 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C2CLKE +// I2C2CLKE +// +// [Bit 8] RW (@ 0x40001824) \nI2C2 (Inter-IC) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// I2C2CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_I2C1CLKE ------------------------------ +// SVD Line: 5621 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C1CLKE +// I2C1CLKE +// +// [Bit 7] RW (@ 0x40001824) \nI2C1 (Inter-IC) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// I2C1CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_I2C0CLKE ------------------------------ +// SVD Line: 5639 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C0CLKE +// I2C0CLKE +// +// [Bit 6] RW (@ 0x40001824) \nI2C0 (Inter-IC) Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// I2C0CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// -------------------------- Field Item: SCUCG_PPCLKEN2_UST13CLKE ------------------------------ +// SVD Line: 5657 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST13CLKE +// UST13CLKE +// +// [Bit 5] RW (@ 0x40001824) \nUSART13 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UST13CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// -------------------------- Field Item: SCUCG_PPCLKEN2_UST12CLKE ------------------------------ +// SVD Line: 5675 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST12CLKE +// UST12CLKE +// +// [Bit 4] RW (@ 0x40001824) \nUSART12 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UST12CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_UT1CLKE ------------------------------- +// SVD Line: 5693 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UT1CLKE +// UT1CLKE +// +// [Bit 3] RW (@ 0x40001824) \nUART1 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UT1CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// --------------------------- Field Item: SCUCG_PPCLKEN2_UT0CLKE ------------------------------- +// SVD Line: 5711 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UT0CLKE +// UT0CLKE +// +// [Bit 2] RW (@ 0x40001824) \nUART0 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UT0CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// -------------------------- Field Item: SCUCG_PPCLKEN2_UST11CLKE ------------------------------ +// SVD Line: 5729 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST11CLKE +// UST11CLKE +// +// [Bit 1] RW (@ 0x40001824) \nUSART11 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UST11CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// -------------------------- Field Item: SCUCG_PPCLKEN2_UST10CLKE ------------------------------ +// SVD Line: 5747 + +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST10CLKE +// UST10CLKE +// +// [Bit 0] RW (@ 0x40001824) \nUSART10 Clock Enable\n0 : Disable = Disable clock.\n1 : Enable = Enable clock. +// +// ( (unsigned int) SCUCG_PPCLKEN2 ) +// UST10CLKE +// <0=> 0: Disable = Disable clock. +// <1=> 1: Enable = Enable clock. +// +// +// + + +// ----------------------------- Register RTree: SCUCG_PPCLKEN2 --------------------------------- +// SVD Line: 5468 + +// SFDITEM_REG__SCUCG_PPCLKEN2 +// PPCLKEN2 +// +// [Bits 31..0] RW (@ 0x40001824) Peripheral Clock Enable Register 2 +// ( (unsigned int)((SCUCG_PPCLKEN2 >> 0) & 0xFFFFFFFF), ((SCUCG_PPCLKEN2 = (SCUCG_PPCLKEN2 & ~(0xF35FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF35FF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_PPCLKEN2_FMCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_LVICLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_WDTCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_WTCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_LCDCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_CRCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_ADCLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C2CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C1CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_I2C0CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST13CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST12CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UT1CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UT0CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST11CLKE +// SFDITEM_FIELD__SCUCG_PPCLKEN2_UST10CLKE +// +// + + +// -------------------------- Register Item Address: SCUCG_PPCLKSR ------------------------------ +// SVD Line: 5767 + +unsigned int SCUCG_PPCLKSR __AT (0x40001840); + + + +// ---------------------------- Field Item: SCUCG_PPCLKSR_T20CLK -------------------------------- +// SVD Line: 5776 + +// SFDITEM_FIELD__SCUCG_PPCLKSR_T20CLK +// T20CLK +// +// [Bit 20] RW (@ 0x40001840) \nTIMER20 Clock Selection\n0 : XSOSC = XSOSC clock\n1 : PCLK = PCLK clock +// +// ( (unsigned int) SCUCG_PPCLKSR ) +// T20CLK +// <0=> 0: XSOSC = XSOSC clock +// <1=> 1: PCLK = PCLK clock +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKSR_T30CLK -------------------------------- +// SVD Line: 5794 + +// SFDITEM_FIELD__SCUCG_PPCLKSR_T30CLK +// T30CLK +// +// [Bit 17] RW (@ 0x40001840) \nTIMER30 Clock Selection\n0 : MCLK = MCLK clock\n1 : PCLK = PCLK clock +// +// ( (unsigned int) SCUCG_PPCLKSR ) +// T30CLK +// <0=> 0: MCLK = MCLK clock +// <1=> 1: PCLK = PCLK clock +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKSR_LCDCLK -------------------------------- +// SVD Line: 5812 + +// SFDITEM_FIELD__SCUCG_PPCLKSR_LCDCLK +// LCDCLK +// +// [Bits 7..6] RW (@ 0x40001840) \nLCD (LCD Driver) Clock Selection\n0 : DividedMCLK = A clock of the MCLK which is divided by divider 2\n1 : XSOSC = XSOSC clock\n2 : WDTRC = WDTRC clock\n3 : Reserved - do not use +// +// ( (unsigned int) SCUCG_PPCLKSR ) +// LCDCLK +// <0=> 0: DividedMCLK = A clock of the MCLK which is divided by divider 2 +// <1=> 1: XSOSC = XSOSC clock +// <2=> 2: WDTRC = WDTRC clock +// <3=> 3: +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPCLKSR_WTCLK -------------------------------- +// SVD Line: 5835 + +// SFDITEM_FIELD__SCUCG_PPCLKSR_WTCLK +// WTCLK +// +// [Bits 4..3] RW (@ 0x40001840) \nWT (Watch Timer) Clock Selection\n0 : DividedMCLK = A clock of the MCLK which is divided by divider 2\n1 : XSOSC = XSOSC clock\n2 : WDTRC = WDTRC clock\n3 : Reserved - do not use +// +// ( (unsigned int) SCUCG_PPCLKSR ) +// WTCLK +// <0=> 0: DividedMCLK = A clock of the MCLK which is divided by divider 2 +// <1=> 1: XSOSC = XSOSC clock +// <2=> 2: WDTRC = WDTRC clock +// <3=> 3: +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPCLKSR_WDTCLK -------------------------------- +// SVD Line: 5858 + +// SFDITEM_FIELD__SCUCG_PPCLKSR_WDTCLK +// WDTCLK +// +// [Bit 0] RW (@ 0x40001840) \nWDT (Watch-Dog Timer) Clock Selection\n0 : WDTRC = WDTRC clock\n1 : PCLK = PCLK clock +// +// ( (unsigned int) SCUCG_PPCLKSR ) +// WDTCLK +// <0=> 0: WDTRC = WDTRC clock +// <1=> 1: PCLK = PCLK clock +// +// +// + + +// ------------------------------ Register RTree: SCUCG_PPCLKSR --------------------------------- +// SVD Line: 5767 + +// SFDITEM_REG__SCUCG_PPCLKSR +// PPCLKSR +// +// [Bits 31..0] RW (@ 0x40001840) Peripheral Clock Selection Register +// ( (unsigned int)((SCUCG_PPCLKSR >> 0) & 0xFFFFFFFF), ((SCUCG_PPCLKSR = (SCUCG_PPCLKSR & ~(0x1200D9UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1200D9) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_PPCLKSR_T20CLK +// SFDITEM_FIELD__SCUCG_PPCLKSR_T30CLK +// SFDITEM_FIELD__SCUCG_PPCLKSR_LCDCLK +// SFDITEM_FIELD__SCUCG_PPCLKSR_WTCLK +// SFDITEM_FIELD__SCUCG_PPCLKSR_WDTCLK +// +// + + +// --------------------------- Register Item Address: SCUCG_PPRST1 ------------------------------ +// SVD Line: 5878 + +unsigned int SCUCG_PPRST1 __AT (0x40001860); + + + +// ----------------------------- Field Item: SCUCG_PPRST1_T21RST -------------------------------- +// SVD Line: 5887 + +// SFDITEM_FIELD__SCUCG_PPRST1_T21RST +// T21RST +// +// [Bit 21] RW (@ 0x40001860) TIMER21 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T21RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T20RST -------------------------------- +// SVD Line: 5893 + +// SFDITEM_FIELD__SCUCG_PPRST1_T20RST +// T20RST +// +// [Bit 20] RW (@ 0x40001860) TIMER20 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T20RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T30RST -------------------------------- +// SVD Line: 5899 + +// SFDITEM_FIELD__SCUCG_PPRST1_T30RST +// T30RST +// +// [Bit 19] RW (@ 0x40001860) TIMER30 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T30RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T12RST -------------------------------- +// SVD Line: 5905 + +// SFDITEM_FIELD__SCUCG_PPRST1_T12RST +// T12RST +// +// [Bit 18] RW (@ 0x40001860) TIMER12 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T12RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T11RST -------------------------------- +// SVD Line: 5911 + +// SFDITEM_FIELD__SCUCG_PPRST1_T11RST +// T11RST +// +// [Bit 17] RW (@ 0x40001860) TIMER11 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T11RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T10RST -------------------------------- +// SVD Line: 5917 + +// SFDITEM_FIELD__SCUCG_PPRST1_T10RST +// T10RST +// +// [Bit 16] RW (@ 0x40001860) TIMER10 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T10RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T16RST -------------------------------- +// SVD Line: 5923 + +// SFDITEM_FIELD__SCUCG_PPRST1_T16RST +// T16RST +// +// [Bit 11] RW (@ 0x40001860) TIMER16 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T16RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T15RST -------------------------------- +// SVD Line: 5929 + +// SFDITEM_FIELD__SCUCG_PPRST1_T15RST +// T15RST +// +// [Bit 10] RW (@ 0x40001860) TIMER15 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T15RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T14RST -------------------------------- +// SVD Line: 5935 + +// SFDITEM_FIELD__SCUCG_PPRST1_T14RST +// T14RST +// +// [Bit 9] RW (@ 0x40001860) TIMER14 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T14RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_T13RST -------------------------------- +// SVD Line: 5941 + +// SFDITEM_FIELD__SCUCG_PPRST1_T13RST +// T13RST +// +// [Bit 8] RW (@ 0x40001860) TIMER13 Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// T13RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PFRST --------------------------------- +// SVD Line: 5947 + +// SFDITEM_FIELD__SCUCG_PPRST1_PFRST +// PFRST +// +// [Bit 5] RW (@ 0x40001860) Port F Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PFRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PERST --------------------------------- +// SVD Line: 5953 + +// SFDITEM_FIELD__SCUCG_PPRST1_PERST +// PERST +// +// [Bit 4] RW (@ 0x40001860) Port E Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PERST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PDRST --------------------------------- +// SVD Line: 5959 + +// SFDITEM_FIELD__SCUCG_PPRST1_PDRST +// PDRST +// +// [Bit 3] RW (@ 0x40001860) Port D Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PDRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PCRST --------------------------------- +// SVD Line: 5965 + +// SFDITEM_FIELD__SCUCG_PPRST1_PCRST +// PCRST +// +// [Bit 2] RW (@ 0x40001860) Port C Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PCRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PBRST --------------------------------- +// SVD Line: 5971 + +// SFDITEM_FIELD__SCUCG_PPRST1_PBRST +// PBRST +// +// [Bit 1] RW (@ 0x40001860) Port B Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PBRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST1_PARST --------------------------------- +// SVD Line: 5977 + +// SFDITEM_FIELD__SCUCG_PPRST1_PARST +// PARST +// +// [Bit 0] RW (@ 0x40001860) Port A Reset +// +// ( (unsigned int) SCUCG_PPRST1 ) +// PARST +// +// +// + + +// ------------------------------ Register RTree: SCUCG_PPRST1 ---------------------------------- +// SVD Line: 5878 + +// SFDITEM_REG__SCUCG_PPRST1 +// PPRST1 +// +// [Bits 31..0] RW (@ 0x40001860) Peripheral Reset Register 1 +// ( (unsigned int)((SCUCG_PPRST1 >> 0) & 0xFFFFFFFF), ((SCUCG_PPRST1 = (SCUCG_PPRST1 & ~(0x3F0F3FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3F0F3F) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_PPRST1_T21RST +// SFDITEM_FIELD__SCUCG_PPRST1_T20RST +// SFDITEM_FIELD__SCUCG_PPRST1_T30RST +// SFDITEM_FIELD__SCUCG_PPRST1_T12RST +// SFDITEM_FIELD__SCUCG_PPRST1_T11RST +// SFDITEM_FIELD__SCUCG_PPRST1_T10RST +// SFDITEM_FIELD__SCUCG_PPRST1_T16RST +// SFDITEM_FIELD__SCUCG_PPRST1_T15RST +// SFDITEM_FIELD__SCUCG_PPRST1_T14RST +// SFDITEM_FIELD__SCUCG_PPRST1_T13RST +// SFDITEM_FIELD__SCUCG_PPRST1_PFRST +// SFDITEM_FIELD__SCUCG_PPRST1_PERST +// SFDITEM_FIELD__SCUCG_PPRST1_PDRST +// SFDITEM_FIELD__SCUCG_PPRST1_PCRST +// SFDITEM_FIELD__SCUCG_PPRST1_PBRST +// SFDITEM_FIELD__SCUCG_PPRST1_PARST +// +// + + +// --------------------------- Register Item Address: SCUCG_PPRST2 ------------------------------ +// SVD Line: 5985 + +unsigned int SCUCG_PPRST2 __AT (0x40001864); + + + +// ----------------------------- Field Item: SCUCG_PPRST2_FMCRST -------------------------------- +// SVD Line: 5994 + +// SFDITEM_FIELD__SCUCG_PPRST2_FMCRST +// FMCRST +// +// [Bit 19] RW (@ 0x40001864) FMC (Flash Memory Controller) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// FMCRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_LVIRST -------------------------------- +// SVD Line: 6000 + +// SFDITEM_FIELD__SCUCG_PPRST2_LVIRST +// LVIRST +// +// [Bit 18] RW (@ 0x40001864) LVI (Low Voltage Indicator) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// LVIRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_WTRST --------------------------------- +// SVD Line: 6006 + +// SFDITEM_FIELD__SCUCG_PPRST2_WTRST +// WTRST +// +// [Bit 16] RW (@ 0x40001864) WT (Watch Timer) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// WTRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_LCDRST -------------------------------- +// SVD Line: 6012 + +// SFDITEM_FIELD__SCUCG_PPRST2_LCDRST +// LCDRST +// +// [Bit 13] RW (@ 0x40001864) LCD (LCD Driver) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// LCDRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_CRRST --------------------------------- +// SVD Line: 6018 + +// SFDITEM_FIELD__SCUCG_PPRST2_CRRST +// CRRST +// +// [Bit 12] RW (@ 0x40001864) CRC (Cyclic Redundancy Check) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// CRRST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_ADRST --------------------------------- +// SVD Line: 6024 + +// SFDITEM_FIELD__SCUCG_PPRST2_ADRST +// ADRST +// +// [Bit 10] RW (@ 0x40001864) ADC (Analog to Digital Converter) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// ADRST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_I2C2RST -------------------------------- +// SVD Line: 6030 + +// SFDITEM_FIELD__SCUCG_PPRST2_I2C2RST +// I2C2RST +// +// [Bit 8] RW (@ 0x40001864) I2C2 (Inter-IC) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// I2C2RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_I2C1RST -------------------------------- +// SVD Line: 6036 + +// SFDITEM_FIELD__SCUCG_PPRST2_I2C1RST +// I2C1RST +// +// [Bit 7] RW (@ 0x40001864) I2C1 (Inter-IC) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// I2C1RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_I2C0RST -------------------------------- +// SVD Line: 6042 + +// SFDITEM_FIELD__SCUCG_PPRST2_I2C0RST +// I2C0RST +// +// [Bit 6] RW (@ 0x40001864) I2C0 (Inter-IC) Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// I2C0RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_UST13RST ------------------------------- +// SVD Line: 6048 + +// SFDITEM_FIELD__SCUCG_PPRST2_UST13RST +// UST13RST +// +// [Bit 5] RW (@ 0x40001864) USART13 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UST13RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_UST12RST ------------------------------- +// SVD Line: 6054 + +// SFDITEM_FIELD__SCUCG_PPRST2_UST12RST +// UST12RST +// +// [Bit 4] RW (@ 0x40001864) USART12 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UST12RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_UT1RST -------------------------------- +// SVD Line: 6060 + +// SFDITEM_FIELD__SCUCG_PPRST2_UT1RST +// UT1RST +// +// [Bit 3] RW (@ 0x40001864) UART1 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UT1RST +// +// +// + + +// ----------------------------- Field Item: SCUCG_PPRST2_UT0RST -------------------------------- +// SVD Line: 6066 + +// SFDITEM_FIELD__SCUCG_PPRST2_UT0RST +// UT0RST +// +// [Bit 2] RW (@ 0x40001864) UART0 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UT0RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_UST11RST ------------------------------- +// SVD Line: 6072 + +// SFDITEM_FIELD__SCUCG_PPRST2_UST11RST +// UST11RST +// +// [Bit 1] RW (@ 0x40001864) USART11 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UST11RST +// +// +// + + +// ---------------------------- Field Item: SCUCG_PPRST2_UST10RST ------------------------------- +// SVD Line: 6078 + +// SFDITEM_FIELD__SCUCG_PPRST2_UST10RST +// UST10RST +// +// [Bit 0] RW (@ 0x40001864) USART10 Reset +// +// ( (unsigned int) SCUCG_PPRST2 ) +// UST10RST +// +// +// + + +// ------------------------------ Register RTree: SCUCG_PPRST2 ---------------------------------- +// SVD Line: 5985 + +// SFDITEM_REG__SCUCG_PPRST2 +// PPRST2 +// +// [Bits 31..0] RW (@ 0x40001864) Peripheral Reset Register 2 +// ( (unsigned int)((SCUCG_PPRST2 >> 0) & 0xFFFFFFFF), ((SCUCG_PPRST2 = (SCUCG_PPRST2 & ~(0xD35FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD35FF) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_PPRST2_FMCRST +// SFDITEM_FIELD__SCUCG_PPRST2_LVIRST +// SFDITEM_FIELD__SCUCG_PPRST2_WTRST +// SFDITEM_FIELD__SCUCG_PPRST2_LCDRST +// SFDITEM_FIELD__SCUCG_PPRST2_CRRST +// SFDITEM_FIELD__SCUCG_PPRST2_ADRST +// SFDITEM_FIELD__SCUCG_PPRST2_I2C2RST +// SFDITEM_FIELD__SCUCG_PPRST2_I2C1RST +// SFDITEM_FIELD__SCUCG_PPRST2_I2C0RST +// SFDITEM_FIELD__SCUCG_PPRST2_UST13RST +// SFDITEM_FIELD__SCUCG_PPRST2_UST12RST +// SFDITEM_FIELD__SCUCG_PPRST2_UT1RST +// SFDITEM_FIELD__SCUCG_PPRST2_UT0RST +// SFDITEM_FIELD__SCUCG_PPRST2_UST11RST +// SFDITEM_FIELD__SCUCG_PPRST2_UST10RST +// +// + + +// --------------------------- Register Item Address: SCUCG_XTFLSR ------------------------------ +// SVD Line: 6085 + +unsigned int SCUCG_XTFLSR __AT (0x40001880); + + + +// ----------------------------- Field Item: SCUCG_XTFLSR_WTIDKY -------------------------------- +// SVD Line: 6094 + +// SFDITEM_FIELD__SCUCG_XTFLSR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001880) Write Identification Key (0x9b37) +// +// ( (unsigned short)((SCUCG_XTFLSR >> 16) & 0x0), ((SCUCG_XTFLSR = (SCUCG_XTFLSR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: SCUCG_XTFLSR_XRNS --------------------------------- +// SVD Line: 6107 + +// SFDITEM_FIELD__SCUCG_XTFLSR_XRNS +// XRNS +// +// [Bits 2..0] RW (@ 0x40001880) \nExternal Main Oscillator Filter Selection\n0 : LE4p5MHz = x-tal LE 4.5MHz\n1 : LE6p5MHz = 4.5MHz GT x-tal LE 6.5MHz\n2 : LE8p5MHz = 6.5MHz GT x-tal LE 8.5MHz\n3 : LE10p5MHz = 8.5MHz GT x-tal LE 10.5MHz\n4 : LE12p5MHz = 10.5MHz GT x-tal LE 12.5MHz\n5 : LE16p5MHz = 12.5MHz GT x-tal LE 16.5MHz\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) SCUCG_XTFLSR ) +// XRNS +// <0=> 0: LE4p5MHz = x-tal LE 4.5MHz +// <1=> 1: LE6p5MHz = 4.5MHz GT x-tal LE 6.5MHz +// <2=> 2: LE8p5MHz = 6.5MHz GT x-tal LE 8.5MHz +// <3=> 3: LE10p5MHz = 8.5MHz GT x-tal LE 10.5MHz +// <4=> 4: LE12p5MHz = 10.5MHz GT x-tal LE 12.5MHz +// <5=> 5: LE16p5MHz = 12.5MHz GT x-tal LE 16.5MHz +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------ Register RTree: SCUCG_XTFLSR ---------------------------------- +// SVD Line: 6085 + +// SFDITEM_REG__SCUCG_XTFLSR +// XTFLSR +// +// [Bits 31..0] RW (@ 0x40001880) X-tal Filter Selection Register +// ( (unsigned int)((SCUCG_XTFLSR >> 0) & 0xFFFFFFFF), ((SCUCG_XTFLSR = (SCUCG_XTFLSR & ~(0xFFFF0007UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF0007) << 0 ) ) )) +// SFDITEM_FIELD__SCUCG_XTFLSR_WTIDKY +// SFDITEM_FIELD__SCUCG_XTFLSR_XRNS +// +// + + +// --------------------------------- Peripheral View: SCUCG ------------------------------------- +// SVD Line: 4573 + +// SCUCG +// SCUCG +// SFDITEM_REG__SCUCG_SCCR +// SFDITEM_REG__SCUCG_CLKSRCR +// SFDITEM_REG__SCUCG_SCDIVR1 +// SFDITEM_REG__SCUCG_SCDIVR2 +// SFDITEM_REG__SCUCG_CLKOCR +// SFDITEM_REG__SCUCG_CMONCR +// SFDITEM_REG__SCUCG_PPCLKEN1 +// SFDITEM_REG__SCUCG_PPCLKEN2 +// SFDITEM_REG__SCUCG_PPCLKSR +// SFDITEM_REG__SCUCG_PPRST1 +// SFDITEM_REG__SCUCG_PPRST2 +// SFDITEM_REG__SCUCG_XTFLSR +// +// + + +// --------------------------- Register Item Address: SCULV_LVICR ------------------------------- +// SVD Line: 6168 + +unsigned int SCULV_LVICR __AT (0x40005100); + + + +// ------------------------------ Field Item: SCULV_LVICR_LVIEN --------------------------------- +// SVD Line: 6177 + +// SFDITEM_FIELD__SCULV_LVICR_LVIEN +// LVIEN +// +// [Bit 7] RW (@ 0x40005100) \nLVI Enable\n0 : Disable = Disable low voltage indicator.\n1 : Enable = Enable low voltage indicator. +// +// ( (unsigned int) SCULV_LVICR ) +// LVIEN +// <0=> 0: Disable = Disable low voltage indicator. +// <1=> 1: Enable = Enable low voltage indicator. +// +// +// + + +// ----------------------------- Field Item: SCULV_LVICR_LVINTEN -------------------------------- +// SVD Line: 6195 + +// SFDITEM_FIELD__SCULV_LVICR_LVINTEN +// LVINTEN +// +// [Bit 5] RW (@ 0x40005100) \nLVI Interrupt Enable\n0 : Disable = Disable low voltage indicator interrupt.\n1 : Enable = Enable low voltage indicator interrupt. +// +// ( (unsigned int) SCULV_LVICR ) +// LVINTEN +// <0=> 0: Disable = Disable low voltage indicator interrupt. +// <1=> 1: Enable = Enable low voltage indicator interrupt. +// +// +// + + +// ----------------------------- Field Item: SCULV_LVICR_LVIFLAG -------------------------------- +// SVD Line: 6213 + +// SFDITEM_FIELD__SCULV_LVICR_LVIFLAG +// LVIFLAG +// +// [Bit 4] RW (@ 0x40005100) \nLVI Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) SCULV_LVICR ) +// LVIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------ Field Item: SCULV_LVICR_LVIVS --------------------------------- +// SVD Line: 6231 + +// SFDITEM_FIELD__SCULV_LVICR_LVIVS +// LVIVS +// +// [Bits 3..0] RW (@ 0x40005100) \nLVI Voltage Selection\n0 : Reserved - do not use\n1 : Reserved - do not use\n2 : Reserved - do not use\n3 : DNW3 = Do not write.\n4 : 2p00V = 2.00V\n5 : 2p13V = 2.13V\n6 : 2p28V = 2.28V\n7 : 2p46V = 2.46V\n8 : 2p67V = 2.67V\n9 : 3p04V = 3.04V\n10 : 3p20V = 3.20V\n11 : 3p55V = 3.55V\n12 : 3p75V = 3.75V\n13 : 3p99V = 3.99V\n14 : 4p25V = 4.25V\n15 : 4p55V = 4.55V +// +// ( (unsigned int) SCULV_LVICR ) +// LVIVS +// <0=> 0: +// <1=> 1: +// <2=> 2: +// <3=> 3: DNW3 = Do not write. +// <4=> 4: 2p00V = 2.00V +// <5=> 5: 2p13V = 2.13V +// <6=> 6: 2p28V = 2.28V +// <7=> 7: 2p46V = 2.46V +// <8=> 8: 2p67V = 2.67V +// <9=> 9: 3p04V = 3.04V +// <10=> 10: 3p20V = 3.20V +// <11=> 11: 3p55V = 3.55V +// <12=> 12: 3p75V = 3.75V +// <13=> 13: 3p99V = 3.99V +// <14=> 14: 4p25V = 4.25V +// <15=> 15: 4p55V = 4.55V +// +// +// + + +// ------------------------------- Register RTree: SCULV_LVICR ---------------------------------- +// SVD Line: 6168 + +// SFDITEM_REG__SCULV_LVICR +// LVICR +// +// [Bits 31..0] RW (@ 0x40005100) Low Voltage Indicator Control Register +// ( (unsigned int)((SCULV_LVICR >> 0) & 0xFFFFFFFF), ((SCULV_LVICR = (SCULV_LVICR & ~(0xBFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xBF) << 0 ) ) )) +// SFDITEM_FIELD__SCULV_LVICR_LVIEN +// SFDITEM_FIELD__SCULV_LVICR_LVINTEN +// SFDITEM_FIELD__SCULV_LVICR_LVIFLAG +// SFDITEM_FIELD__SCULV_LVICR_LVIVS +// +// + + +// --------------------------- Register Item Address: SCULV_LVRCR ------------------------------- +// SVD Line: 6306 + +unsigned int SCULV_LVRCR __AT (0x40005104); + + + +// ------------------------------ Field Item: SCULV_LVRCR_LVREN --------------------------------- +// SVD Line: 6315 + +// SFDITEM_FIELD__SCULV_LVRCR_LVREN +// LVREN +// +// [Bits 7..0] RW (@ 0x40005104) LVR Enable +// +// ( (unsigned char)((SCULV_LVRCR >> 0) & 0xFF), ((SCULV_LVRCR = (SCULV_LVRCR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: SCULV_LVRCR ---------------------------------- +// SVD Line: 6306 + +// SFDITEM_REG__SCULV_LVRCR +// LVRCR +// +// [Bits 31..0] RW (@ 0x40005104) Low Voltage Reset Control Register +// ( (unsigned int)((SCULV_LVRCR >> 0) & 0xFFFFFFFF), ((SCULV_LVRCR = (SCULV_LVRCR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__SCULV_LVRCR_LVREN +// +// + + +// --------------------------------- Peripheral View: SCULV ------------------------------------- +// SVD Line: 6149 + +// SCULV +// SCULV +// SFDITEM_REG__SCULV_LVICR +// SFDITEM_REG__SCULV_LVRCR +// +// + + +// ------------------------------ Register Item Address: Pn_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int Pn_MOD __AT (0x50000000); + + + +// -------------------------------- Field Item: Pn_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__Pn_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x50000000) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__Pn_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x50000000) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__Pn_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x50000000) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__Pn_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x50000000) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__Pn_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x50000000) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__Pn_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x50000000) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__Pn_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x50000000) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__Pn_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x50000000) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__Pn_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x50000000) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__Pn_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x50000000) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__Pn_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x50000000) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__Pn_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x50000000) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__Pn_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x50000000) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__Pn_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x50000000) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__Pn_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x50000000) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__Pn_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x50000000) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: Pn_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__Pn_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x50000000) Port n Mode Register +// ( (unsigned int)((Pn_MOD >> 0) & 0xFFFFFFFF), ((Pn_MOD = (Pn_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_MOD_MODE15 +// SFDITEM_FIELD__Pn_MOD_MODE14 +// SFDITEM_FIELD__Pn_MOD_MODE13 +// SFDITEM_FIELD__Pn_MOD_MODE12 +// SFDITEM_FIELD__Pn_MOD_MODE11 +// SFDITEM_FIELD__Pn_MOD_MODE10 +// SFDITEM_FIELD__Pn_MOD_MODE9 +// SFDITEM_FIELD__Pn_MOD_MODE8 +// SFDITEM_FIELD__Pn_MOD_MODE7 +// SFDITEM_FIELD__Pn_MOD_MODE6 +// SFDITEM_FIELD__Pn_MOD_MODE5 +// SFDITEM_FIELD__Pn_MOD_MODE4 +// SFDITEM_FIELD__Pn_MOD_MODE3 +// SFDITEM_FIELD__Pn_MOD_MODE2 +// SFDITEM_FIELD__Pn_MOD_MODE1 +// SFDITEM_FIELD__Pn_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: Pn_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int Pn_TYP __AT (0x50000004); + + + +// -------------------------------- Field Item: Pn_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__Pn_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x50000004) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: Pn_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__Pn_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x50000004) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: Pn_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__Pn_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x50000004) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: Pn_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__Pn_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x50000004) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: Pn_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__Pn_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x50000004) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: Pn_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__Pn_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x50000004) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__Pn_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x50000004) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__Pn_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x50000004) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__Pn_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x50000004) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__Pn_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x50000004) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__Pn_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x50000004) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__Pn_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x50000004) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__Pn_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x50000004) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__Pn_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x50000004) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__Pn_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x50000004) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: Pn_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__Pn_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x50000004) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) Pn_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: Pn_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__Pn_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x50000004) Port n Output Type Selection Register +// ( (unsigned int)((Pn_TYP >> 0) & 0xFFFFFFFF), ((Pn_TYP = (Pn_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_TYP_TYP15 +// SFDITEM_FIELD__Pn_TYP_TYP14 +// SFDITEM_FIELD__Pn_TYP_TYP13 +// SFDITEM_FIELD__Pn_TYP_TYP12 +// SFDITEM_FIELD__Pn_TYP_TYP11 +// SFDITEM_FIELD__Pn_TYP_TYP10 +// SFDITEM_FIELD__Pn_TYP_TYP9 +// SFDITEM_FIELD__Pn_TYP_TYP8 +// SFDITEM_FIELD__Pn_TYP_TYP7 +// SFDITEM_FIELD__Pn_TYP_TYP6 +// SFDITEM_FIELD__Pn_TYP_TYP5 +// SFDITEM_FIELD__Pn_TYP_TYP4 +// SFDITEM_FIELD__Pn_TYP_TYP3 +// SFDITEM_FIELD__Pn_TYP_TYP2 +// SFDITEM_FIELD__Pn_TYP_TYP1 +// SFDITEM_FIELD__Pn_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: Pn_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int Pn_AFSR1 __AT (0x50000008); + + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x50000008) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x50000008) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x50000008) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x50000008) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x50000008) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x50000008) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x50000008) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__Pn_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x50000008) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: Pn_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__Pn_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x50000008) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((Pn_AFSR1 >> 0) & 0xFFFFFFFF), ((Pn_AFSR1 = (Pn_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_AFSR1_AFSR7 +// SFDITEM_FIELD__Pn_AFSR1_AFSR6 +// SFDITEM_FIELD__Pn_AFSR1_AFSR5 +// SFDITEM_FIELD__Pn_AFSR1_AFSR4 +// SFDITEM_FIELD__Pn_AFSR1_AFSR3 +// SFDITEM_FIELD__Pn_AFSR1_AFSR2 +// SFDITEM_FIELD__Pn_AFSR1_AFSR1 +// SFDITEM_FIELD__Pn_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: Pn_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int Pn_AFSR2 __AT (0x5000000C); + + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x5000000C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x5000000C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x5000000C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x5000000C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x5000000C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x5000000C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x5000000C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: Pn_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__Pn_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x5000000C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) Pn_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: Pn_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__Pn_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x5000000C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((Pn_AFSR2 >> 0) & 0xFFFFFFFF), ((Pn_AFSR2 = (Pn_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_AFSR2_AFSR15 +// SFDITEM_FIELD__Pn_AFSR2_AFSR14 +// SFDITEM_FIELD__Pn_AFSR2_AFSR13 +// SFDITEM_FIELD__Pn_AFSR2_AFSR12 +// SFDITEM_FIELD__Pn_AFSR2_AFSR11 +// SFDITEM_FIELD__Pn_AFSR2_AFSR10 +// SFDITEM_FIELD__Pn_AFSR2_AFSR9 +// SFDITEM_FIELD__Pn_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: Pn_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int Pn_PUPD __AT (0x50000010); + + + +// ------------------------------- Field Item: Pn_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__Pn_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: Pn_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__Pn_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: Pn_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__Pn_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: Pn_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__Pn_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: Pn_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__Pn_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: Pn_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__Pn_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__Pn_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__Pn_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__Pn_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__Pn_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__Pn_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__Pn_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__Pn_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__Pn_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__Pn_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: Pn_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__Pn_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x50000010) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) Pn_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: Pn_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__Pn_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x50000010) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((Pn_PUPD >> 0) & 0xFFFFFFFF), ((Pn_PUPD = (Pn_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_PUPD_PUPD15 +// SFDITEM_FIELD__Pn_PUPD_PUPD14 +// SFDITEM_FIELD__Pn_PUPD_PUPD13 +// SFDITEM_FIELD__Pn_PUPD_PUPD12 +// SFDITEM_FIELD__Pn_PUPD_PUPD11 +// SFDITEM_FIELD__Pn_PUPD_PUPD10 +// SFDITEM_FIELD__Pn_PUPD_PUPD9 +// SFDITEM_FIELD__Pn_PUPD_PUPD8 +// SFDITEM_FIELD__Pn_PUPD_PUPD7 +// SFDITEM_FIELD__Pn_PUPD_PUPD6 +// SFDITEM_FIELD__Pn_PUPD_PUPD5 +// SFDITEM_FIELD__Pn_PUPD_PUPD4 +// SFDITEM_FIELD__Pn_PUPD_PUPD3 +// SFDITEM_FIELD__Pn_PUPD_PUPD2 +// SFDITEM_FIELD__Pn_PUPD_PUPD1 +// SFDITEM_FIELD__Pn_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: Pn_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int Pn_INDR __AT (0x50000014); + + + +// ------------------------------- Field Item: Pn_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__Pn_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x50000014) Port n Input Data 15 +// +// ( (unsigned int) Pn_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: Pn_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__Pn_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x50000014) Port n Input Data 14 +// +// ( (unsigned int) Pn_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: Pn_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__Pn_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x50000014) Port n Input Data 13 +// +// ( (unsigned int) Pn_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: Pn_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__Pn_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x50000014) Port n Input Data 12 +// +// ( (unsigned int) Pn_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: Pn_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__Pn_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x50000014) Port n Input Data 11 +// +// ( (unsigned int) Pn_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: Pn_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__Pn_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x50000014) Port n Input Data 10 +// +// ( (unsigned int) Pn_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__Pn_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x50000014) Port n Input Data 9 +// +// ( (unsigned int) Pn_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__Pn_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x50000014) Port n Input Data 8 +// +// ( (unsigned int) Pn_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__Pn_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x50000014) Port n Input Data 7 +// +// ( (unsigned int) Pn_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__Pn_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x50000014) Port n Input Data 6 +// +// ( (unsigned int) Pn_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__Pn_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x50000014) Port n Input Data 5 +// +// ( (unsigned int) Pn_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__Pn_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x50000014) Port n Input Data 4 +// +// ( (unsigned int) Pn_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__Pn_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x50000014) Port n Input Data 3 +// +// ( (unsigned int) Pn_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__Pn_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x50000014) Port n Input Data 2 +// +// ( (unsigned int) Pn_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__Pn_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x50000014) Port n Input Data 1 +// +// ( (unsigned int) Pn_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: Pn_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__Pn_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x50000014) Port n Input Data 0 +// +// ( (unsigned int) Pn_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: Pn_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__Pn_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x50000014) Port n Input Data Register +// ( (unsigned int)((Pn_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__Pn_INDR_INDR15 +// SFDITEM_FIELD__Pn_INDR_INDR14 +// SFDITEM_FIELD__Pn_INDR_INDR13 +// SFDITEM_FIELD__Pn_INDR_INDR12 +// SFDITEM_FIELD__Pn_INDR_INDR11 +// SFDITEM_FIELD__Pn_INDR_INDR10 +// SFDITEM_FIELD__Pn_INDR_INDR9 +// SFDITEM_FIELD__Pn_INDR_INDR8 +// SFDITEM_FIELD__Pn_INDR_INDR7 +// SFDITEM_FIELD__Pn_INDR_INDR6 +// SFDITEM_FIELD__Pn_INDR_INDR5 +// SFDITEM_FIELD__Pn_INDR_INDR4 +// SFDITEM_FIELD__Pn_INDR_INDR3 +// SFDITEM_FIELD__Pn_INDR_INDR2 +// SFDITEM_FIELD__Pn_INDR_INDR1 +// SFDITEM_FIELD__Pn_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: Pn_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int Pn_OUTDR __AT (0x50000018); + + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x50000018) Port n Output Data 15 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x50000018) Port n Output Data 14 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x50000018) Port n Output Data 13 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x50000018) Port n Output Data 12 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x50000018) Port n Output Data 11 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: Pn_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x50000018) Port n Output Data 10 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x50000018) Port n Output Data 9 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x50000018) Port n Output Data 8 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x50000018) Port n Output Data 7 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x50000018) Port n Output Data 6 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x50000018) Port n Output Data 5 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x50000018) Port n Output Data 4 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x50000018) Port n Output Data 3 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x50000018) Port n Output Data 2 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x50000018) Port n Output Data 1 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: Pn_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__Pn_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x50000018) Port n Output Data 0 +// +// ( (unsigned int) Pn_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: Pn_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__Pn_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x50000018) Port n Output Data Register +// ( (unsigned int)((Pn_OUTDR >> 0) & 0xFFFFFFFF), ((Pn_OUTDR = (Pn_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_OUTDR_OUTDR15 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR14 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR13 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR12 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR11 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR10 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR9 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR8 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR7 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR6 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR5 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR4 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR3 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR2 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR1 +// SFDITEM_FIELD__Pn_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: Pn_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int Pn_BSR __AT (0x5000001C); + + + +// -------------------------------- Field Item: Pn_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__Pn_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x5000001C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__Pn_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x5000001C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__Pn_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x5000001C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__Pn_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x5000001C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__Pn_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x5000001C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__Pn_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x5000001C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__Pn_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x5000001C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__Pn_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x5000001C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__Pn_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x5000001C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__Pn_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x5000001C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__Pn_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x5000001C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__Pn_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x5000001C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__Pn_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x5000001C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__Pn_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x5000001C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__Pn_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x5000001C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__Pn_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x5000001C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: Pn_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__Pn_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x5000001C) Port n Output Bit Set Register +// ( (unsigned int)((Pn_BSR >> 0) & 0xFFFFFFFF), ((Pn_BSR = (Pn_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_BSR_BSR15 +// SFDITEM_FIELD__Pn_BSR_BSR14 +// SFDITEM_FIELD__Pn_BSR_BSR13 +// SFDITEM_FIELD__Pn_BSR_BSR12 +// SFDITEM_FIELD__Pn_BSR_BSR11 +// SFDITEM_FIELD__Pn_BSR_BSR10 +// SFDITEM_FIELD__Pn_BSR_BSR9 +// SFDITEM_FIELD__Pn_BSR_BSR8 +// SFDITEM_FIELD__Pn_BSR_BSR7 +// SFDITEM_FIELD__Pn_BSR_BSR6 +// SFDITEM_FIELD__Pn_BSR_BSR5 +// SFDITEM_FIELD__Pn_BSR_BSR4 +// SFDITEM_FIELD__Pn_BSR_BSR3 +// SFDITEM_FIELD__Pn_BSR_BSR2 +// SFDITEM_FIELD__Pn_BSR_BSR1 +// SFDITEM_FIELD__Pn_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: Pn_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int Pn_BCR __AT (0x50000020); + + + +// -------------------------------- Field Item: Pn_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__Pn_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x50000020) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__Pn_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x50000020) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__Pn_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x50000020) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__Pn_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x50000020) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__Pn_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x50000020) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: Pn_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__Pn_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x50000020) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__Pn_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x50000020) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__Pn_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x50000020) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__Pn_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x50000020) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__Pn_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x50000020) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__Pn_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x50000020) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__Pn_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x50000020) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__Pn_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x50000020) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__Pn_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x50000020) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__Pn_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x50000020) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: Pn_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__Pn_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x50000020) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) Pn_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: Pn_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__Pn_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x50000020) Port n Output Bit Clear Register +// ( (unsigned int)((Pn_BCR >> 0) & 0xFFFFFFFF), ((Pn_BCR = (Pn_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_BCR_BCR15 +// SFDITEM_FIELD__Pn_BCR_BCR14 +// SFDITEM_FIELD__Pn_BCR_BCR13 +// SFDITEM_FIELD__Pn_BCR_BCR12 +// SFDITEM_FIELD__Pn_BCR_BCR11 +// SFDITEM_FIELD__Pn_BCR_BCR10 +// SFDITEM_FIELD__Pn_BCR_BCR9 +// SFDITEM_FIELD__Pn_BCR_BCR8 +// SFDITEM_FIELD__Pn_BCR_BCR7 +// SFDITEM_FIELD__Pn_BCR_BCR6 +// SFDITEM_FIELD__Pn_BCR_BCR5 +// SFDITEM_FIELD__Pn_BCR_BCR4 +// SFDITEM_FIELD__Pn_BCR_BCR3 +// SFDITEM_FIELD__Pn_BCR_BCR2 +// SFDITEM_FIELD__Pn_BCR_BCR1 +// SFDITEM_FIELD__Pn_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: Pn_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int Pn_OUTDMSK __AT (0x50000024); + + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x50000024) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x50000024) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x50000024) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x50000024) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x50000024) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: Pn_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x50000024) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x50000024) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x50000024) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x50000024) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x50000024) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x50000024) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x50000024) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x50000024) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x50000024) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x50000024) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: Pn_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x50000024) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) Pn_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: Pn_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__Pn_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x50000024) Port n Output Data Mask Register +// ( (unsigned int)((Pn_OUTDMSK >> 0) & 0xFFFFFFFF), ((Pn_OUTDMSK = (Pn_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__Pn_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: Pn_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int Pn_DBCR __AT (0x50000028); + + + +// -------------------------------- Field Item: Pn_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__Pn_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x50000028) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) Pn_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: Pn_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__Pn_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x50000028) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: Pn_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__Pn_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x50000028) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__Pn_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x50000028) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__Pn_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x50000028) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__Pn_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x50000028) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__Pn_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x50000028) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__Pn_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x50000028) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__Pn_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x50000028) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__Pn_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x50000028) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__Pn_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x50000028) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__Pn_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x50000028) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: Pn_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__Pn_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x50000028) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) Pn_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: Pn_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__Pn_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x50000028) Port n Debounce Control Register +// ( (unsigned int)((Pn_DBCR >> 0) & 0xFFFFFFFF), ((Pn_DBCR = (Pn_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__Pn_DBCR_DBCLK +// SFDITEM_FIELD__Pn_DBCR_DBEN11 +// SFDITEM_FIELD__Pn_DBCR_DBEN10 +// SFDITEM_FIELD__Pn_DBCR_DBEN9 +// SFDITEM_FIELD__Pn_DBCR_DBEN8 +// SFDITEM_FIELD__Pn_DBCR_DBEN7 +// SFDITEM_FIELD__Pn_DBCR_DBEN6 +// SFDITEM_FIELD__Pn_DBCR_DBEN5 +// SFDITEM_FIELD__Pn_DBCR_DBEN4 +// SFDITEM_FIELD__Pn_DBCR_DBEN3 +// SFDITEM_FIELD__Pn_DBCR_DBEN2 +// SFDITEM_FIELD__Pn_DBCR_DBEN1 +// SFDITEM_FIELD__Pn_DBCR_DBEN0 +// +// + + +// ----------------------------------- Peripheral View: Pn -------------------------------------- +// SVD Line: 6337 + +// Pn +// Pn +// SFDITEM_REG__Pn_MOD +// SFDITEM_REG__Pn_TYP +// SFDITEM_REG__Pn_AFSR1 +// SFDITEM_REG__Pn_AFSR2 +// SFDITEM_REG__Pn_PUPD +// SFDITEM_REG__Pn_INDR +// SFDITEM_REG__Pn_OUTDR +// SFDITEM_REG__Pn_BSR +// SFDITEM_REG__Pn_BCR +// SFDITEM_REG__Pn_OUTDMSK +// SFDITEM_REG__Pn_DBCR +// +// + + +// ------------------------------ Register Item Address: PA_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PA_MOD __AT (0x30000000); + + + +// -------------------------------- Field Item: PA_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PA_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000000) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PA_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000000) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PA_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000000) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PA_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000000) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PA_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000000) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PA_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000000) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PA_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000000) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PA_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000000) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PA_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000000) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PA_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000000) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PA_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000000) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PA_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000000) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PA_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000000) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PA_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000000) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PA_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000000) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PA_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000000) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PA_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PA_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PA_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000000) Port n Mode Register +// ( (unsigned int)((PA_MOD >> 0) & 0xFFFFFFFF), ((PA_MOD = (PA_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_MOD_MODE15 +// SFDITEM_FIELD__PA_MOD_MODE14 +// SFDITEM_FIELD__PA_MOD_MODE13 +// SFDITEM_FIELD__PA_MOD_MODE12 +// SFDITEM_FIELD__PA_MOD_MODE11 +// SFDITEM_FIELD__PA_MOD_MODE10 +// SFDITEM_FIELD__PA_MOD_MODE9 +// SFDITEM_FIELD__PA_MOD_MODE8 +// SFDITEM_FIELD__PA_MOD_MODE7 +// SFDITEM_FIELD__PA_MOD_MODE6 +// SFDITEM_FIELD__PA_MOD_MODE5 +// SFDITEM_FIELD__PA_MOD_MODE4 +// SFDITEM_FIELD__PA_MOD_MODE3 +// SFDITEM_FIELD__PA_MOD_MODE2 +// SFDITEM_FIELD__PA_MOD_MODE1 +// SFDITEM_FIELD__PA_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PA_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PA_TYP __AT (0x30000004); + + + +// -------------------------------- Field Item: PA_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PA_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000004) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PA_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PA_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000004) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PA_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PA_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000004) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PA_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PA_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000004) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PA_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PA_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000004) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PA_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PA_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000004) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PA_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000004) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PA_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000004) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PA_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000004) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PA_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000004) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PA_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000004) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PA_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000004) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PA_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000004) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PA_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000004) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PA_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000004) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PA_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PA_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000004) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PA_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PA_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PA_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000004) Port n Output Type Selection Register +// ( (unsigned int)((PA_TYP >> 0) & 0xFFFFFFFF), ((PA_TYP = (PA_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_TYP_TYP15 +// SFDITEM_FIELD__PA_TYP_TYP14 +// SFDITEM_FIELD__PA_TYP_TYP13 +// SFDITEM_FIELD__PA_TYP_TYP12 +// SFDITEM_FIELD__PA_TYP_TYP11 +// SFDITEM_FIELD__PA_TYP_TYP10 +// SFDITEM_FIELD__PA_TYP_TYP9 +// SFDITEM_FIELD__PA_TYP_TYP8 +// SFDITEM_FIELD__PA_TYP_TYP7 +// SFDITEM_FIELD__PA_TYP_TYP6 +// SFDITEM_FIELD__PA_TYP_TYP5 +// SFDITEM_FIELD__PA_TYP_TYP4 +// SFDITEM_FIELD__PA_TYP_TYP3 +// SFDITEM_FIELD__PA_TYP_TYP2 +// SFDITEM_FIELD__PA_TYP_TYP1 +// SFDITEM_FIELD__PA_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PA_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PA_AFSR1 __AT (0x30000008); + + + +// ------------------------------- Field Item: PA_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PA_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000008) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PA_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000008) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PA_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000008) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PA_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000008) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PA_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000008) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PA_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000008) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PA_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000008) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PA_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000008) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PA_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PA_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000008) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PA_AFSR1 >> 0) & 0xFFFFFFFF), ((PA_AFSR1 = (PA_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_AFSR1_AFSR7 +// SFDITEM_FIELD__PA_AFSR1_AFSR6 +// SFDITEM_FIELD__PA_AFSR1_AFSR5 +// SFDITEM_FIELD__PA_AFSR1_AFSR4 +// SFDITEM_FIELD__PA_AFSR1_AFSR3 +// SFDITEM_FIELD__PA_AFSR1_AFSR2 +// SFDITEM_FIELD__PA_AFSR1_AFSR1 +// SFDITEM_FIELD__PA_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PA_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PA_AFSR2 __AT (0x3000000C); + + + +// ------------------------------- Field Item: PA_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PA_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000000C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PA_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000000C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PA_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000000C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PA_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000000C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PA_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000000C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PA_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000000C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PA_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000000C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PA_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PA_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000000C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PA_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PA_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PA_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000000C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PA_AFSR2 >> 0) & 0xFFFFFFFF), ((PA_AFSR2 = (PA_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_AFSR2_AFSR15 +// SFDITEM_FIELD__PA_AFSR2_AFSR14 +// SFDITEM_FIELD__PA_AFSR2_AFSR13 +// SFDITEM_FIELD__PA_AFSR2_AFSR12 +// SFDITEM_FIELD__PA_AFSR2_AFSR11 +// SFDITEM_FIELD__PA_AFSR2_AFSR10 +// SFDITEM_FIELD__PA_AFSR2_AFSR9 +// SFDITEM_FIELD__PA_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PA_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PA_PUPD __AT (0x30000010); + + + +// ------------------------------- Field Item: PA_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PA_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PA_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PA_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PA_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PA_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PA_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PA_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PA_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PA_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PA_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PA_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PA_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PA_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PA_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PA_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PA_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PA_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PA_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PA_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PA_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PA_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PA_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000010) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PA_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PA_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PA_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PA_PUPD >> 0) & 0xFFFFFFFF), ((PA_PUPD = (PA_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PUPD_PUPD15 +// SFDITEM_FIELD__PA_PUPD_PUPD14 +// SFDITEM_FIELD__PA_PUPD_PUPD13 +// SFDITEM_FIELD__PA_PUPD_PUPD12 +// SFDITEM_FIELD__PA_PUPD_PUPD11 +// SFDITEM_FIELD__PA_PUPD_PUPD10 +// SFDITEM_FIELD__PA_PUPD_PUPD9 +// SFDITEM_FIELD__PA_PUPD_PUPD8 +// SFDITEM_FIELD__PA_PUPD_PUPD7 +// SFDITEM_FIELD__PA_PUPD_PUPD6 +// SFDITEM_FIELD__PA_PUPD_PUPD5 +// SFDITEM_FIELD__PA_PUPD_PUPD4 +// SFDITEM_FIELD__PA_PUPD_PUPD3 +// SFDITEM_FIELD__PA_PUPD_PUPD2 +// SFDITEM_FIELD__PA_PUPD_PUPD1 +// SFDITEM_FIELD__PA_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PA_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PA_INDR __AT (0x30000014); + + + +// ------------------------------- Field Item: PA_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PA_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000014) Port n Input Data 15 +// +// ( (unsigned int) PA_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PA_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PA_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000014) Port n Input Data 14 +// +// ( (unsigned int) PA_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PA_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PA_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000014) Port n Input Data 13 +// +// ( (unsigned int) PA_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PA_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PA_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000014) Port n Input Data 12 +// +// ( (unsigned int) PA_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PA_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PA_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000014) Port n Input Data 11 +// +// ( (unsigned int) PA_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PA_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PA_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000014) Port n Input Data 10 +// +// ( (unsigned int) PA_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PA_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000014) Port n Input Data 9 +// +// ( (unsigned int) PA_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PA_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000014) Port n Input Data 8 +// +// ( (unsigned int) PA_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PA_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000014) Port n Input Data 7 +// +// ( (unsigned int) PA_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PA_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000014) Port n Input Data 6 +// +// ( (unsigned int) PA_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PA_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000014) Port n Input Data 5 +// +// ( (unsigned int) PA_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PA_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000014) Port n Input Data 4 +// +// ( (unsigned int) PA_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PA_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000014) Port n Input Data 3 +// +// ( (unsigned int) PA_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PA_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000014) Port n Input Data 2 +// +// ( (unsigned int) PA_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PA_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000014) Port n Input Data 1 +// +// ( (unsigned int) PA_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PA_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PA_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000014) Port n Input Data 0 +// +// ( (unsigned int) PA_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PA_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PA_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000014) Port n Input Data Register +// ( (unsigned int)((PA_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PA_INDR_INDR15 +// SFDITEM_FIELD__PA_INDR_INDR14 +// SFDITEM_FIELD__PA_INDR_INDR13 +// SFDITEM_FIELD__PA_INDR_INDR12 +// SFDITEM_FIELD__PA_INDR_INDR11 +// SFDITEM_FIELD__PA_INDR_INDR10 +// SFDITEM_FIELD__PA_INDR_INDR9 +// SFDITEM_FIELD__PA_INDR_INDR8 +// SFDITEM_FIELD__PA_INDR_INDR7 +// SFDITEM_FIELD__PA_INDR_INDR6 +// SFDITEM_FIELD__PA_INDR_INDR5 +// SFDITEM_FIELD__PA_INDR_INDR4 +// SFDITEM_FIELD__PA_INDR_INDR3 +// SFDITEM_FIELD__PA_INDR_INDR2 +// SFDITEM_FIELD__PA_INDR_INDR1 +// SFDITEM_FIELD__PA_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PA_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PA_OUTDR __AT (0x30000018); + + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000018) Port n Output Data 15 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000018) Port n Output Data 14 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000018) Port n Output Data 13 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000018) Port n Output Data 12 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000018) Port n Output Data 11 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PA_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000018) Port n Output Data 10 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000018) Port n Output Data 9 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000018) Port n Output Data 8 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000018) Port n Output Data 7 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000018) Port n Output Data 6 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000018) Port n Output Data 5 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000018) Port n Output Data 4 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000018) Port n Output Data 3 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000018) Port n Output Data 2 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000018) Port n Output Data 1 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PA_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PA_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000018) Port n Output Data 0 +// +// ( (unsigned int) PA_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PA_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PA_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000018) Port n Output Data Register +// ( (unsigned int)((PA_OUTDR >> 0) & 0xFFFFFFFF), ((PA_OUTDR = (PA_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_OUTDR_OUTDR15 +// SFDITEM_FIELD__PA_OUTDR_OUTDR14 +// SFDITEM_FIELD__PA_OUTDR_OUTDR13 +// SFDITEM_FIELD__PA_OUTDR_OUTDR12 +// SFDITEM_FIELD__PA_OUTDR_OUTDR11 +// SFDITEM_FIELD__PA_OUTDR_OUTDR10 +// SFDITEM_FIELD__PA_OUTDR_OUTDR9 +// SFDITEM_FIELD__PA_OUTDR_OUTDR8 +// SFDITEM_FIELD__PA_OUTDR_OUTDR7 +// SFDITEM_FIELD__PA_OUTDR_OUTDR6 +// SFDITEM_FIELD__PA_OUTDR_OUTDR5 +// SFDITEM_FIELD__PA_OUTDR_OUTDR4 +// SFDITEM_FIELD__PA_OUTDR_OUTDR3 +// SFDITEM_FIELD__PA_OUTDR_OUTDR2 +// SFDITEM_FIELD__PA_OUTDR_OUTDR1 +// SFDITEM_FIELD__PA_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PA_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PA_BSR __AT (0x3000001C); + + + +// -------------------------------- Field Item: PA_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PA_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000001C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PA_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000001C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PA_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000001C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PA_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000001C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PA_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000001C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PA_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000001C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PA_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000001C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PA_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000001C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PA_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000001C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PA_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000001C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PA_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000001C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PA_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000001C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PA_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000001C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PA_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000001C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PA_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000001C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PA_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000001C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PA_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PA_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000001C) Port n Output Bit Set Register +// ( (unsigned int)((PA_BSR >> 0) & 0xFFFFFFFF), ((PA_BSR = (PA_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_BSR_BSR15 +// SFDITEM_FIELD__PA_BSR_BSR14 +// SFDITEM_FIELD__PA_BSR_BSR13 +// SFDITEM_FIELD__PA_BSR_BSR12 +// SFDITEM_FIELD__PA_BSR_BSR11 +// SFDITEM_FIELD__PA_BSR_BSR10 +// SFDITEM_FIELD__PA_BSR_BSR9 +// SFDITEM_FIELD__PA_BSR_BSR8 +// SFDITEM_FIELD__PA_BSR_BSR7 +// SFDITEM_FIELD__PA_BSR_BSR6 +// SFDITEM_FIELD__PA_BSR_BSR5 +// SFDITEM_FIELD__PA_BSR_BSR4 +// SFDITEM_FIELD__PA_BSR_BSR3 +// SFDITEM_FIELD__PA_BSR_BSR2 +// SFDITEM_FIELD__PA_BSR_BSR1 +// SFDITEM_FIELD__PA_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PA_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PA_BCR __AT (0x30000020); + + + +// -------------------------------- Field Item: PA_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PA_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000020) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PA_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000020) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PA_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000020) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PA_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000020) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PA_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000020) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PA_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PA_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000020) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PA_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000020) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PA_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000020) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PA_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000020) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PA_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000020) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PA_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000020) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PA_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000020) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PA_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000020) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PA_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000020) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PA_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000020) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PA_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PA_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000020) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PA_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PA_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PA_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000020) Port n Output Bit Clear Register +// ( (unsigned int)((PA_BCR >> 0) & 0xFFFFFFFF), ((PA_BCR = (PA_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_BCR_BCR15 +// SFDITEM_FIELD__PA_BCR_BCR14 +// SFDITEM_FIELD__PA_BCR_BCR13 +// SFDITEM_FIELD__PA_BCR_BCR12 +// SFDITEM_FIELD__PA_BCR_BCR11 +// SFDITEM_FIELD__PA_BCR_BCR10 +// SFDITEM_FIELD__PA_BCR_BCR9 +// SFDITEM_FIELD__PA_BCR_BCR8 +// SFDITEM_FIELD__PA_BCR_BCR7 +// SFDITEM_FIELD__PA_BCR_BCR6 +// SFDITEM_FIELD__PA_BCR_BCR5 +// SFDITEM_FIELD__PA_BCR_BCR4 +// SFDITEM_FIELD__PA_BCR_BCR3 +// SFDITEM_FIELD__PA_BCR_BCR2 +// SFDITEM_FIELD__PA_BCR_BCR1 +// SFDITEM_FIELD__PA_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PA_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PA_OUTDMSK __AT (0x30000024); + + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000024) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000024) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000024) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000024) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000024) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PA_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000024) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000024) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000024) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000024) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000024) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000024) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000024) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000024) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000024) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000024) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PA_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000024) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PA_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PA_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PA_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000024) Port n Output Data Mask Register +// ( (unsigned int)((PA_OUTDMSK >> 0) & 0xFFFFFFFF), ((PA_OUTDMSK = (PA_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PA_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PA_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PA_DBCR __AT (0x30000028); + + + +// -------------------------------- Field Item: PA_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PA_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000028) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PA_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PA_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PA_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000028) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PA_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PA_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000028) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PA_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000028) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PA_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000028) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PA_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000028) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PA_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000028) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PA_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000028) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PA_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000028) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PA_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000028) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PA_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000028) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PA_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000028) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PA_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PA_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000028) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PA_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PA_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PA_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000028) Port n Debounce Control Register +// ( (unsigned int)((PA_DBCR >> 0) & 0xFFFFFFFF), ((PA_DBCR = (PA_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_DBCR_DBCLK +// SFDITEM_FIELD__PA_DBCR_DBEN11 +// SFDITEM_FIELD__PA_DBCR_DBEN10 +// SFDITEM_FIELD__PA_DBCR_DBEN9 +// SFDITEM_FIELD__PA_DBCR_DBEN8 +// SFDITEM_FIELD__PA_DBCR_DBEN7 +// SFDITEM_FIELD__PA_DBCR_DBEN6 +// SFDITEM_FIELD__PA_DBCR_DBEN5 +// SFDITEM_FIELD__PA_DBCR_DBEN4 +// SFDITEM_FIELD__PA_DBCR_DBEN3 +// SFDITEM_FIELD__PA_DBCR_DBEN2 +// SFDITEM_FIELD__PA_DBCR_DBEN1 +// SFDITEM_FIELD__PA_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PA_PA_MOD -------------------------------- +// SVD Line: 9350 + +unsigned int PA_PA_MOD __AT (0x30000000); + + + +// ------------------------------ Field Item: PA_PA_MOD_MODE11 ---------------------------------- +// SVD Line: 9360 + +// SFDITEM_FIELD__PA_PA_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000000) Port n Mode Selection 11 +// +// ( (unsigned char)((PA_PA_MOD >> 22) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_MOD_MODE10 ---------------------------------- +// SVD Line: 9366 + +// SFDITEM_FIELD__PA_PA_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000000) Port n Mode Selection 10 +// +// ( (unsigned char)((PA_PA_MOD >> 20) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE9 ---------------------------------- +// SVD Line: 9372 + +// SFDITEM_FIELD__PA_PA_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000000) Port n Mode Selection 9 +// +// ( (unsigned char)((PA_PA_MOD >> 18) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE8 ---------------------------------- +// SVD Line: 9378 + +// SFDITEM_FIELD__PA_PA_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000000) Port n Mode Selection 8 +// +// ( (unsigned char)((PA_PA_MOD >> 16) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE7 ---------------------------------- +// SVD Line: 9384 + +// SFDITEM_FIELD__PA_PA_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000000) Port n Mode Selection 7 +// +// ( (unsigned char)((PA_PA_MOD >> 14) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE6 ---------------------------------- +// SVD Line: 9390 + +// SFDITEM_FIELD__PA_PA_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000000) Port n Mode Selection 6 +// +// ( (unsigned char)((PA_PA_MOD >> 12) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE5 ---------------------------------- +// SVD Line: 9396 + +// SFDITEM_FIELD__PA_PA_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000000) Port n Mode Selection 5 +// +// ( (unsigned char)((PA_PA_MOD >> 10) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE4 ---------------------------------- +// SVD Line: 9402 + +// SFDITEM_FIELD__PA_PA_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000000) Port n Mode Selection 4 +// +// ( (unsigned char)((PA_PA_MOD >> 8) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE3 ---------------------------------- +// SVD Line: 9408 + +// SFDITEM_FIELD__PA_PA_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000000) Port n Mode Selection 3 +// +// ( (unsigned char)((PA_PA_MOD >> 6) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE2 ---------------------------------- +// SVD Line: 9414 + +// SFDITEM_FIELD__PA_PA_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000000) Port n Mode Selection 2 +// +// ( (unsigned char)((PA_PA_MOD >> 4) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE1 ---------------------------------- +// SVD Line: 9420 + +// SFDITEM_FIELD__PA_PA_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000000) Port n Mode Selection 1 +// +// ( (unsigned char)((PA_PA_MOD >> 2) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PA_PA_MOD_MODE0 ---------------------------------- +// SVD Line: 9426 + +// SFDITEM_FIELD__PA_PA_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000000) Port n Mode Selection 0 +// +// ( (unsigned char)((PA_PA_MOD >> 0) & 0x3), ((PA_PA_MOD = (PA_PA_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PA_PA_MOD ----------------------------------- +// SVD Line: 9350 + +// SFDITEM_REG__PA_PA_MOD +// PA_MOD +// +// [Bits 31..0] RW (@ 0x30000000) Port n Mode Register +// ( (unsigned int)((PA_PA_MOD >> 0) & 0xFFFFFFFF), ((PA_PA_MOD = (PA_PA_MOD & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_MOD_MODE11 +// SFDITEM_FIELD__PA_PA_MOD_MODE10 +// SFDITEM_FIELD__PA_PA_MOD_MODE9 +// SFDITEM_FIELD__PA_PA_MOD_MODE8 +// SFDITEM_FIELD__PA_PA_MOD_MODE7 +// SFDITEM_FIELD__PA_PA_MOD_MODE6 +// SFDITEM_FIELD__PA_PA_MOD_MODE5 +// SFDITEM_FIELD__PA_PA_MOD_MODE4 +// SFDITEM_FIELD__PA_PA_MOD_MODE3 +// SFDITEM_FIELD__PA_PA_MOD_MODE2 +// SFDITEM_FIELD__PA_PA_MOD_MODE1 +// SFDITEM_FIELD__PA_PA_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PA_PA_TYP -------------------------------- +// SVD Line: 9434 + +unsigned int PA_PA_TYP __AT (0x30000004); + + + +// ------------------------------- Field Item: PA_PA_TYP_TYP11 ---------------------------------- +// SVD Line: 9444 + +// SFDITEM_FIELD__PA_PA_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000004) Port n Output Type Selection 11 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP11 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP10 ---------------------------------- +// SVD Line: 9450 + +// SFDITEM_FIELD__PA_PA_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000004) Port n Output Type Selection 10 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP10 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP9 ----------------------------------- +// SVD Line: 9456 + +// SFDITEM_FIELD__PA_PA_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000004) Port n Output Type Selection 9 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP9 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP8 ----------------------------------- +// SVD Line: 9462 + +// SFDITEM_FIELD__PA_PA_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000004) Port n Output Type Selection 8 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP8 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP7 ----------------------------------- +// SVD Line: 9468 + +// SFDITEM_FIELD__PA_PA_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000004) Port n Output Type Selection 7 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP6 ----------------------------------- +// SVD Line: 9474 + +// SFDITEM_FIELD__PA_PA_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000004) Port n Output Type Selection 6 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP5 ----------------------------------- +// SVD Line: 9480 + +// SFDITEM_FIELD__PA_PA_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000004) Port n Output Type Selection 5 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP4 ----------------------------------- +// SVD Line: 9486 + +// SFDITEM_FIELD__PA_PA_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000004) Port n Output Type Selection 4 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP3 ----------------------------------- +// SVD Line: 9492 + +// SFDITEM_FIELD__PA_PA_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000004) Port n Output Type Selection 3 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP2 ----------------------------------- +// SVD Line: 9498 + +// SFDITEM_FIELD__PA_PA_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000004) Port n Output Type Selection 2 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP1 ----------------------------------- +// SVD Line: 9504 + +// SFDITEM_FIELD__PA_PA_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000004) Port n Output Type Selection 1 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PA_PA_TYP_TYP0 ----------------------------------- +// SVD Line: 9510 + +// SFDITEM_FIELD__PA_PA_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000004) Port n Output Type Selection 0 +// +// ( (unsigned int) PA_PA_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PA_PA_TYP ----------------------------------- +// SVD Line: 9434 + +// SFDITEM_REG__PA_PA_TYP +// PA_TYP +// +// [Bits 31..0] RW (@ 0x30000004) Port n Output Type Selection Register +// ( (unsigned int)((PA_PA_TYP >> 0) & 0xFFFFFFFF), ((PA_PA_TYP = (PA_PA_TYP & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_TYP_TYP11 +// SFDITEM_FIELD__PA_PA_TYP_TYP10 +// SFDITEM_FIELD__PA_PA_TYP_TYP9 +// SFDITEM_FIELD__PA_PA_TYP_TYP8 +// SFDITEM_FIELD__PA_PA_TYP_TYP7 +// SFDITEM_FIELD__PA_PA_TYP_TYP6 +// SFDITEM_FIELD__PA_PA_TYP_TYP5 +// SFDITEM_FIELD__PA_PA_TYP_TYP4 +// SFDITEM_FIELD__PA_PA_TYP_TYP3 +// SFDITEM_FIELD__PA_PA_TYP_TYP2 +// SFDITEM_FIELD__PA_PA_TYP_TYP1 +// SFDITEM_FIELD__PA_PA_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PA_PA_AFSR1 ------------------------------- +// SVD Line: 9518 + +unsigned int PA_PA_AFSR1 __AT (0x30000008); + + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR7 --------------------------------- +// SVD Line: 9528 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000008) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 28) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR6 --------------------------------- +// SVD Line: 9534 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000008) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 24) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR5 --------------------------------- +// SVD Line: 9540 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000008) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 20) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR4 --------------------------------- +// SVD Line: 9546 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000008) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 16) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR3 --------------------------------- +// SVD Line: 9552 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000008) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 12) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR2 --------------------------------- +// SVD Line: 9558 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000008) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 8) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR1 --------------------------------- +// SVD Line: 9564 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000008) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 4) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR1_AFSR0 --------------------------------- +// SVD Line: 9570 + +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000008) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PA_PA_AFSR1 >> 0) & 0xF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PA_PA_AFSR1 ---------------------------------- +// SVD Line: 9518 + +// SFDITEM_REG__PA_PA_AFSR1 +// PA_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000008) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PA_PA_AFSR1 >> 0) & 0xFFFFFFFF), ((PA_PA_AFSR1 = (PA_PA_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR7 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR6 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR5 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR4 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR3 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR2 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR1 +// SFDITEM_FIELD__PA_PA_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PA_PA_AFSR2 ------------------------------- +// SVD Line: 9578 + +unsigned int PA_PA_AFSR2 __AT (0x3000000C); + + + +// ----------------------------- Field Item: PA_PA_AFSR2_AFSR11 --------------------------------- +// SVD Line: 9588 + +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000000C) Port n Alternative Function Selection 11 +// +// ( (unsigned char)((PA_PA_AFSR2 >> 12) & 0xF), ((PA_PA_AFSR2 = (PA_PA_AFSR2 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PA_PA_AFSR2_AFSR10 --------------------------------- +// SVD Line: 9594 + +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000000C) Port n Alternative Function Selection 10 +// +// ( (unsigned char)((PA_PA_AFSR2 >> 8) & 0xF), ((PA_PA_AFSR2 = (PA_PA_AFSR2 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR2_AFSR9 --------------------------------- +// SVD Line: 9600 + +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000000C) Port n Alternative Function Selection 9 +// +// ( (unsigned char)((PA_PA_AFSR2 >> 4) & 0xF), ((PA_PA_AFSR2 = (PA_PA_AFSR2 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_AFSR2_AFSR8 --------------------------------- +// SVD Line: 9606 + +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000000C) Port n Alternative Function Selection 8 +// +// ( (unsigned char)((PA_PA_AFSR2 >> 0) & 0xF), ((PA_PA_AFSR2 = (PA_PA_AFSR2 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PA_PA_AFSR2 ---------------------------------- +// SVD Line: 9578 + +// SFDITEM_REG__PA_PA_AFSR2 +// PA_AFSR2 +// +// [Bits 31..0] RW (@ 0x3000000C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PA_PA_AFSR2 >> 0) & 0xFFFFFFFF), ((PA_PA_AFSR2 = (PA_PA_AFSR2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR11 +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR10 +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR9 +// SFDITEM_FIELD__PA_PA_AFSR2_AFSR8 +// +// + + +// ---------------------------- Register Item Address: PA_PA_PUPD ------------------------------- +// SVD Line: 9614 + +unsigned int PA_PA_PUPD __AT (0x30000010); + + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD11 --------------------------------- +// SVD Line: 9624 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 11 +// +// ( (unsigned char)((PA_PA_PUPD >> 22) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD10 --------------------------------- +// SVD Line: 9630 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 10 +// +// ( (unsigned char)((PA_PA_PUPD >> 20) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD9 ---------------------------------- +// SVD Line: 9636 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 9 +// +// ( (unsigned char)((PA_PA_PUPD >> 18) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD8 ---------------------------------- +// SVD Line: 9642 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 8 +// +// ( (unsigned char)((PA_PA_PUPD >> 16) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD7 ---------------------------------- +// SVD Line: 9648 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PA_PA_PUPD >> 14) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD6 ---------------------------------- +// SVD Line: 9654 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PA_PA_PUPD >> 12) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD5 ---------------------------------- +// SVD Line: 9660 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PA_PA_PUPD >> 10) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD4 ---------------------------------- +// SVD Line: 9666 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PA_PA_PUPD >> 8) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD3 ---------------------------------- +// SVD Line: 9672 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PA_PA_PUPD >> 6) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD2 ---------------------------------- +// SVD Line: 9678 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PA_PA_PUPD >> 4) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD1 ---------------------------------- +// SVD Line: 9684 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PA_PA_PUPD >> 2) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PA_PA_PUPD_PUPD0 ---------------------------------- +// SVD Line: 9690 + +// SFDITEM_FIELD__PA_PA_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PA_PA_PUPD >> 0) & 0x3), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PA_PA_PUPD ----------------------------------- +// SVD Line: 9614 + +// SFDITEM_REG__PA_PA_PUPD +// PA_PUPD +// +// [Bits 31..0] RW (@ 0x30000010) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PA_PA_PUPD >> 0) & 0xFFFFFFFF), ((PA_PA_PUPD = (PA_PA_PUPD & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_PUPD_PUPD11 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD10 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD9 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD8 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD7 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD6 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD5 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD4 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD3 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD2 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD1 +// SFDITEM_FIELD__PA_PA_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PA_PA_INDR ------------------------------- +// SVD Line: 9698 + +unsigned int PA_PA_INDR __AT (0x30000014); + + + +// ------------------------------ Field Item: PA_PA_INDR_INDR11 --------------------------------- +// SVD Line: 9708 + +// SFDITEM_FIELD__PA_PA_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000014) Port n Input Data 11 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR11 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR10 --------------------------------- +// SVD Line: 9714 + +// SFDITEM_FIELD__PA_PA_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000014) Port n Input Data 10 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR10 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR9 ---------------------------------- +// SVD Line: 9720 + +// SFDITEM_FIELD__PA_PA_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000014) Port n Input Data 9 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR9 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR8 ---------------------------------- +// SVD Line: 9726 + +// SFDITEM_FIELD__PA_PA_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000014) Port n Input Data 8 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR8 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR7 ---------------------------------- +// SVD Line: 9732 + +// SFDITEM_FIELD__PA_PA_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000014) Port n Input Data 7 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR6 ---------------------------------- +// SVD Line: 9738 + +// SFDITEM_FIELD__PA_PA_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000014) Port n Input Data 6 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR5 ---------------------------------- +// SVD Line: 9744 + +// SFDITEM_FIELD__PA_PA_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000014) Port n Input Data 5 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR4 ---------------------------------- +// SVD Line: 9750 + +// SFDITEM_FIELD__PA_PA_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000014) Port n Input Data 4 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR3 ---------------------------------- +// SVD Line: 9756 + +// SFDITEM_FIELD__PA_PA_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000014) Port n Input Data 3 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR2 ---------------------------------- +// SVD Line: 9762 + +// SFDITEM_FIELD__PA_PA_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000014) Port n Input Data 2 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR1 ---------------------------------- +// SVD Line: 9768 + +// SFDITEM_FIELD__PA_PA_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000014) Port n Input Data 1 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PA_PA_INDR_INDR0 ---------------------------------- +// SVD Line: 9774 + +// SFDITEM_FIELD__PA_PA_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000014) Port n Input Data 0 +// +// ( (unsigned int) PA_PA_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PA_PA_INDR ----------------------------------- +// SVD Line: 9698 + +// SFDITEM_REG__PA_PA_INDR +// PA_INDR +// +// [Bits 31..0] RO (@ 0x30000014) Port n Input Data Register +// ( (unsigned int)((PA_PA_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PA_PA_INDR_INDR11 +// SFDITEM_FIELD__PA_PA_INDR_INDR10 +// SFDITEM_FIELD__PA_PA_INDR_INDR9 +// SFDITEM_FIELD__PA_PA_INDR_INDR8 +// SFDITEM_FIELD__PA_PA_INDR_INDR7 +// SFDITEM_FIELD__PA_PA_INDR_INDR6 +// SFDITEM_FIELD__PA_PA_INDR_INDR5 +// SFDITEM_FIELD__PA_PA_INDR_INDR4 +// SFDITEM_FIELD__PA_PA_INDR_INDR3 +// SFDITEM_FIELD__PA_PA_INDR_INDR2 +// SFDITEM_FIELD__PA_PA_INDR_INDR1 +// SFDITEM_FIELD__PA_PA_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PA_PA_OUTDR ------------------------------- +// SVD Line: 9782 + +unsigned int PA_PA_OUTDR __AT (0x30000018); + + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR11 -------------------------------- +// SVD Line: 9792 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000018) Port n Output Data 11 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR11 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR10 -------------------------------- +// SVD Line: 9798 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000018) Port n Output Data 10 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR10 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR9 --------------------------------- +// SVD Line: 9804 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000018) Port n Output Data 9 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR9 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR8 --------------------------------- +// SVD Line: 9810 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000018) Port n Output Data 8 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR8 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 9816 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000018) Port n Output Data 7 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 9822 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000018) Port n Output Data 6 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 9828 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000018) Port n Output Data 5 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 9834 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000018) Port n Output Data 4 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 9840 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000018) Port n Output Data 3 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 9846 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000018) Port n Output Data 2 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 9852 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000018) Port n Output Data 1 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PA_PA_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 9858 + +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000018) Port n Output Data 0 +// +// ( (unsigned int) PA_PA_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PA_PA_OUTDR ---------------------------------- +// SVD Line: 9782 + +// SFDITEM_REG__PA_PA_OUTDR +// PA_OUTDR +// +// [Bits 31..0] RW (@ 0x30000018) Port n Output Data Register +// ( (unsigned int)((PA_PA_OUTDR >> 0) & 0xFFFFFFFF), ((PA_PA_OUTDR = (PA_PA_OUTDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR11 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR10 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR9 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR8 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR7 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR6 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR5 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR4 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR3 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR2 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR1 +// SFDITEM_FIELD__PA_PA_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PA_PA_BSR -------------------------------- +// SVD Line: 9866 + +unsigned int PA_PA_BSR __AT (0x3000001C); + + + +// ------------------------------- Field Item: PA_PA_BSR_BSR11 ---------------------------------- +// SVD Line: 9876 + +// SFDITEM_FIELD__PA_PA_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000001C) Port n Output Bit Set 11 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR11 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR10 ---------------------------------- +// SVD Line: 9882 + +// SFDITEM_FIELD__PA_PA_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000001C) Port n Output Bit Set 10 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR10 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR9 ----------------------------------- +// SVD Line: 9888 + +// SFDITEM_FIELD__PA_PA_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000001C) Port n Output Bit Set 9 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR9 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR8 ----------------------------------- +// SVD Line: 9894 + +// SFDITEM_FIELD__PA_PA_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000001C) Port n Output Bit Set 8 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR8 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR7 ----------------------------------- +// SVD Line: 9900 + +// SFDITEM_FIELD__PA_PA_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000001C) Port n Output Bit Set 7 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR6 ----------------------------------- +// SVD Line: 9906 + +// SFDITEM_FIELD__PA_PA_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000001C) Port n Output Bit Set 6 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR5 ----------------------------------- +// SVD Line: 9912 + +// SFDITEM_FIELD__PA_PA_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000001C) Port n Output Bit Set 5 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR4 ----------------------------------- +// SVD Line: 9918 + +// SFDITEM_FIELD__PA_PA_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000001C) Port n Output Bit Set 4 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR3 ----------------------------------- +// SVD Line: 9924 + +// SFDITEM_FIELD__PA_PA_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000001C) Port n Output Bit Set 3 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR2 ----------------------------------- +// SVD Line: 9930 + +// SFDITEM_FIELD__PA_PA_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000001C) Port n Output Bit Set 2 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR1 ----------------------------------- +// SVD Line: 9936 + +// SFDITEM_FIELD__PA_PA_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000001C) Port n Output Bit Set 1 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BSR_BSR0 ----------------------------------- +// SVD Line: 9942 + +// SFDITEM_FIELD__PA_PA_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000001C) Port n Output Bit Set 0 +// +// ( (unsigned int) PA_PA_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PA_PA_BSR ----------------------------------- +// SVD Line: 9866 + +// SFDITEM_REG__PA_PA_BSR +// PA_BSR +// +// [Bits 31..0] WO (@ 0x3000001C) Port n Output Bit Set Register +// ( (unsigned int)((PA_PA_BSR >> 0) & 0xFFFFFFFF), ((PA_PA_BSR = (PA_PA_BSR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_BSR_BSR11 +// SFDITEM_FIELD__PA_PA_BSR_BSR10 +// SFDITEM_FIELD__PA_PA_BSR_BSR9 +// SFDITEM_FIELD__PA_PA_BSR_BSR8 +// SFDITEM_FIELD__PA_PA_BSR_BSR7 +// SFDITEM_FIELD__PA_PA_BSR_BSR6 +// SFDITEM_FIELD__PA_PA_BSR_BSR5 +// SFDITEM_FIELD__PA_PA_BSR_BSR4 +// SFDITEM_FIELD__PA_PA_BSR_BSR3 +// SFDITEM_FIELD__PA_PA_BSR_BSR2 +// SFDITEM_FIELD__PA_PA_BSR_BSR1 +// SFDITEM_FIELD__PA_PA_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PA_PA_BCR -------------------------------- +// SVD Line: 9950 + +unsigned int PA_PA_BCR __AT (0x30000020); + + + +// ------------------------------- Field Item: PA_PA_BCR_BCR11 ---------------------------------- +// SVD Line: 9960 + +// SFDITEM_FIELD__PA_PA_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000020) Port n Output Bit Clear 11 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR11 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR10 ---------------------------------- +// SVD Line: 9966 + +// SFDITEM_FIELD__PA_PA_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000020) Port n Output Bit Clear 10 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR10 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR9 ----------------------------------- +// SVD Line: 9972 + +// SFDITEM_FIELD__PA_PA_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000020) Port n Output Bit Clear 9 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR9 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR8 ----------------------------------- +// SVD Line: 9978 + +// SFDITEM_FIELD__PA_PA_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000020) Port n Output Bit Clear 8 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR8 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR7 ----------------------------------- +// SVD Line: 9984 + +// SFDITEM_FIELD__PA_PA_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000020) Port n Output Bit Clear 7 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR6 ----------------------------------- +// SVD Line: 9990 + +// SFDITEM_FIELD__PA_PA_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000020) Port n Output Bit Clear 6 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR5 ----------------------------------- +// SVD Line: 9996 + +// SFDITEM_FIELD__PA_PA_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000020) Port n Output Bit Clear 5 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR4 ----------------------------------- +// SVD Line: 10002 + +// SFDITEM_FIELD__PA_PA_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000020) Port n Output Bit Clear 4 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR3 ----------------------------------- +// SVD Line: 10008 + +// SFDITEM_FIELD__PA_PA_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000020) Port n Output Bit Clear 3 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR2 ----------------------------------- +// SVD Line: 10014 + +// SFDITEM_FIELD__PA_PA_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000020) Port n Output Bit Clear 2 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR1 ----------------------------------- +// SVD Line: 10020 + +// SFDITEM_FIELD__PA_PA_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000020) Port n Output Bit Clear 1 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PA_PA_BCR_BCR0 ----------------------------------- +// SVD Line: 10026 + +// SFDITEM_FIELD__PA_PA_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000020) Port n Output Bit Clear 0 +// +// ( (unsigned int) PA_PA_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PA_PA_BCR ----------------------------------- +// SVD Line: 9950 + +// SFDITEM_REG__PA_PA_BCR +// PA_BCR +// +// [Bits 31..0] WO (@ 0x30000020) Port n Output Bit Clear Register +// ( (unsigned int)((PA_PA_BCR >> 0) & 0xFFFFFFFF), ((PA_PA_BCR = (PA_PA_BCR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_BCR_BCR11 +// SFDITEM_FIELD__PA_PA_BCR_BCR10 +// SFDITEM_FIELD__PA_PA_BCR_BCR9 +// SFDITEM_FIELD__PA_PA_BCR_BCR8 +// SFDITEM_FIELD__PA_PA_BCR_BCR7 +// SFDITEM_FIELD__PA_PA_BCR_BCR6 +// SFDITEM_FIELD__PA_PA_BCR_BCR5 +// SFDITEM_FIELD__PA_PA_BCR_BCR4 +// SFDITEM_FIELD__PA_PA_BCR_BCR3 +// SFDITEM_FIELD__PA_PA_BCR_BCR2 +// SFDITEM_FIELD__PA_PA_BCR_BCR1 +// SFDITEM_FIELD__PA_PA_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PA_PA_OUTDMSK ------------------------------ +// SVD Line: 10034 + +unsigned int PA_PA_OUTDMSK __AT (0x30000024); + + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK11 ------------------------------ +// SVD Line: 10044 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000024) Port n Output Data Mask 11 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK11 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK10 ------------------------------ +// SVD Line: 10050 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000024) Port n Output Data Mask 10 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK10 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK9 ------------------------------- +// SVD Line: 10056 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000024) Port n Output Data Mask 9 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK9 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK8 ------------------------------- +// SVD Line: 10062 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000024) Port n Output Data Mask 8 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK8 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 10068 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000024) Port n Output Data Mask 7 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 10074 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000024) Port n Output Data Mask 6 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 10080 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000024) Port n Output Data Mask 5 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 10086 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000024) Port n Output Data Mask 4 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 10092 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000024) Port n Output Data Mask 3 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 10098 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000024) Port n Output Data Mask 2 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 10104 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000024) Port n Output Data Mask 1 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PA_PA_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 10110 + +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000024) Port n Output Data Mask 0 +// +// ( (unsigned int) PA_PA_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PA_PA_OUTDMSK --------------------------------- +// SVD Line: 10034 + +// SFDITEM_REG__PA_PA_OUTDMSK +// PA_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000024) Port n Output Data Mask Register +// ( (unsigned int)((PA_PA_OUTDMSK >> 0) & 0xFFFFFFFF), ((PA_PA_OUTDMSK = (PA_PA_OUTDMSK & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PA_PA_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------------- Peripheral View: PA -------------------------------------- +// SVD Line: 9336 + +// PA +// PA +// SFDITEM_REG__PA_MOD +// SFDITEM_REG__PA_TYP +// SFDITEM_REG__PA_AFSR1 +// SFDITEM_REG__PA_AFSR2 +// SFDITEM_REG__PA_PUPD +// SFDITEM_REG__PA_INDR +// SFDITEM_REG__PA_OUTDR +// SFDITEM_REG__PA_BSR +// SFDITEM_REG__PA_BCR +// SFDITEM_REG__PA_OUTDMSK +// SFDITEM_REG__PA_DBCR +// SFDITEM_REG__PA_PA_MOD +// SFDITEM_REG__PA_PA_TYP +// SFDITEM_REG__PA_PA_AFSR1 +// SFDITEM_REG__PA_PA_AFSR2 +// SFDITEM_REG__PA_PA_PUPD +// SFDITEM_REG__PA_PA_INDR +// SFDITEM_REG__PA_PA_OUTDR +// SFDITEM_REG__PA_PA_BSR +// SFDITEM_REG__PA_PA_BCR +// SFDITEM_REG__PA_PA_OUTDMSK +// +// + + +// ------------------------------ Register Item Address: PB_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PB_MOD __AT (0x30000100); + + + +// -------------------------------- Field Item: PB_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PB_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000100) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PB_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000100) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PB_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000100) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PB_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000100) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PB_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000100) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PB_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000100) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PB_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000100) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PB_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000100) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PB_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000100) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PB_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000100) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PB_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000100) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PB_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000100) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PB_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000100) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PB_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000100) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PB_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000100) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PB_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000100) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PB_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PB_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PB_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000100) Port n Mode Register +// ( (unsigned int)((PB_MOD >> 0) & 0xFFFFFFFF), ((PB_MOD = (PB_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_MOD_MODE15 +// SFDITEM_FIELD__PB_MOD_MODE14 +// SFDITEM_FIELD__PB_MOD_MODE13 +// SFDITEM_FIELD__PB_MOD_MODE12 +// SFDITEM_FIELD__PB_MOD_MODE11 +// SFDITEM_FIELD__PB_MOD_MODE10 +// SFDITEM_FIELD__PB_MOD_MODE9 +// SFDITEM_FIELD__PB_MOD_MODE8 +// SFDITEM_FIELD__PB_MOD_MODE7 +// SFDITEM_FIELD__PB_MOD_MODE6 +// SFDITEM_FIELD__PB_MOD_MODE5 +// SFDITEM_FIELD__PB_MOD_MODE4 +// SFDITEM_FIELD__PB_MOD_MODE3 +// SFDITEM_FIELD__PB_MOD_MODE2 +// SFDITEM_FIELD__PB_MOD_MODE1 +// SFDITEM_FIELD__PB_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PB_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PB_TYP __AT (0x30000104); + + + +// -------------------------------- Field Item: PB_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PB_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000104) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PB_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PB_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000104) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PB_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PB_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000104) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PB_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PB_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000104) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PB_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PB_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000104) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PB_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PB_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000104) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PB_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000104) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PB_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000104) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PB_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000104) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PB_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000104) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PB_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000104) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PB_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000104) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PB_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000104) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PB_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000104) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PB_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000104) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PB_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PB_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000104) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PB_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PB_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PB_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000104) Port n Output Type Selection Register +// ( (unsigned int)((PB_TYP >> 0) & 0xFFFFFFFF), ((PB_TYP = (PB_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_TYP_TYP15 +// SFDITEM_FIELD__PB_TYP_TYP14 +// SFDITEM_FIELD__PB_TYP_TYP13 +// SFDITEM_FIELD__PB_TYP_TYP12 +// SFDITEM_FIELD__PB_TYP_TYP11 +// SFDITEM_FIELD__PB_TYP_TYP10 +// SFDITEM_FIELD__PB_TYP_TYP9 +// SFDITEM_FIELD__PB_TYP_TYP8 +// SFDITEM_FIELD__PB_TYP_TYP7 +// SFDITEM_FIELD__PB_TYP_TYP6 +// SFDITEM_FIELD__PB_TYP_TYP5 +// SFDITEM_FIELD__PB_TYP_TYP4 +// SFDITEM_FIELD__PB_TYP_TYP3 +// SFDITEM_FIELD__PB_TYP_TYP2 +// SFDITEM_FIELD__PB_TYP_TYP1 +// SFDITEM_FIELD__PB_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PB_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PB_AFSR1 __AT (0x30000108); + + + +// ------------------------------- Field Item: PB_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PB_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000108) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PB_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000108) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PB_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000108) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PB_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000108) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PB_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000108) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PB_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000108) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PB_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000108) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PB_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000108) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PB_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PB_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000108) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PB_AFSR1 >> 0) & 0xFFFFFFFF), ((PB_AFSR1 = (PB_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_AFSR1_AFSR7 +// SFDITEM_FIELD__PB_AFSR1_AFSR6 +// SFDITEM_FIELD__PB_AFSR1_AFSR5 +// SFDITEM_FIELD__PB_AFSR1_AFSR4 +// SFDITEM_FIELD__PB_AFSR1_AFSR3 +// SFDITEM_FIELD__PB_AFSR1_AFSR2 +// SFDITEM_FIELD__PB_AFSR1_AFSR1 +// SFDITEM_FIELD__PB_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PB_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PB_AFSR2 __AT (0x3000010C); + + + +// ------------------------------- Field Item: PB_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PB_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000010C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PB_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000010C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PB_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000010C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PB_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000010C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PB_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000010C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PB_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000010C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PB_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000010C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PB_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PB_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000010C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PB_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PB_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PB_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000010C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PB_AFSR2 >> 0) & 0xFFFFFFFF), ((PB_AFSR2 = (PB_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_AFSR2_AFSR15 +// SFDITEM_FIELD__PB_AFSR2_AFSR14 +// SFDITEM_FIELD__PB_AFSR2_AFSR13 +// SFDITEM_FIELD__PB_AFSR2_AFSR12 +// SFDITEM_FIELD__PB_AFSR2_AFSR11 +// SFDITEM_FIELD__PB_AFSR2_AFSR10 +// SFDITEM_FIELD__PB_AFSR2_AFSR9 +// SFDITEM_FIELD__PB_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PB_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PB_PUPD __AT (0x30000110); + + + +// ------------------------------- Field Item: PB_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PB_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PB_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PB_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PB_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PB_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PB_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PB_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PB_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PB_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PB_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PB_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PB_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PB_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PB_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PB_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PB_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PB_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PB_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PB_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PB_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PB_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PB_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000110) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PB_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PB_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PB_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PB_PUPD >> 0) & 0xFFFFFFFF), ((PB_PUPD = (PB_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PUPD_PUPD15 +// SFDITEM_FIELD__PB_PUPD_PUPD14 +// SFDITEM_FIELD__PB_PUPD_PUPD13 +// SFDITEM_FIELD__PB_PUPD_PUPD12 +// SFDITEM_FIELD__PB_PUPD_PUPD11 +// SFDITEM_FIELD__PB_PUPD_PUPD10 +// SFDITEM_FIELD__PB_PUPD_PUPD9 +// SFDITEM_FIELD__PB_PUPD_PUPD8 +// SFDITEM_FIELD__PB_PUPD_PUPD7 +// SFDITEM_FIELD__PB_PUPD_PUPD6 +// SFDITEM_FIELD__PB_PUPD_PUPD5 +// SFDITEM_FIELD__PB_PUPD_PUPD4 +// SFDITEM_FIELD__PB_PUPD_PUPD3 +// SFDITEM_FIELD__PB_PUPD_PUPD2 +// SFDITEM_FIELD__PB_PUPD_PUPD1 +// SFDITEM_FIELD__PB_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PB_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PB_INDR __AT (0x30000114); + + + +// ------------------------------- Field Item: PB_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PB_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000114) Port n Input Data 15 +// +// ( (unsigned int) PB_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PB_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PB_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000114) Port n Input Data 14 +// +// ( (unsigned int) PB_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PB_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PB_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000114) Port n Input Data 13 +// +// ( (unsigned int) PB_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PB_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PB_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000114) Port n Input Data 12 +// +// ( (unsigned int) PB_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PB_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PB_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000114) Port n Input Data 11 +// +// ( (unsigned int) PB_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PB_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PB_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000114) Port n Input Data 10 +// +// ( (unsigned int) PB_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PB_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000114) Port n Input Data 9 +// +// ( (unsigned int) PB_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PB_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000114) Port n Input Data 8 +// +// ( (unsigned int) PB_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PB_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000114) Port n Input Data 7 +// +// ( (unsigned int) PB_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PB_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000114) Port n Input Data 6 +// +// ( (unsigned int) PB_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PB_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000114) Port n Input Data 5 +// +// ( (unsigned int) PB_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PB_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000114) Port n Input Data 4 +// +// ( (unsigned int) PB_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PB_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000114) Port n Input Data 3 +// +// ( (unsigned int) PB_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PB_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000114) Port n Input Data 2 +// +// ( (unsigned int) PB_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PB_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000114) Port n Input Data 1 +// +// ( (unsigned int) PB_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PB_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PB_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000114) Port n Input Data 0 +// +// ( (unsigned int) PB_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PB_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PB_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000114) Port n Input Data Register +// ( (unsigned int)((PB_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PB_INDR_INDR15 +// SFDITEM_FIELD__PB_INDR_INDR14 +// SFDITEM_FIELD__PB_INDR_INDR13 +// SFDITEM_FIELD__PB_INDR_INDR12 +// SFDITEM_FIELD__PB_INDR_INDR11 +// SFDITEM_FIELD__PB_INDR_INDR10 +// SFDITEM_FIELD__PB_INDR_INDR9 +// SFDITEM_FIELD__PB_INDR_INDR8 +// SFDITEM_FIELD__PB_INDR_INDR7 +// SFDITEM_FIELD__PB_INDR_INDR6 +// SFDITEM_FIELD__PB_INDR_INDR5 +// SFDITEM_FIELD__PB_INDR_INDR4 +// SFDITEM_FIELD__PB_INDR_INDR3 +// SFDITEM_FIELD__PB_INDR_INDR2 +// SFDITEM_FIELD__PB_INDR_INDR1 +// SFDITEM_FIELD__PB_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PB_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PB_OUTDR __AT (0x30000118); + + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000118) Port n Output Data 15 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000118) Port n Output Data 14 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000118) Port n Output Data 13 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000118) Port n Output Data 12 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000118) Port n Output Data 11 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PB_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000118) Port n Output Data 10 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000118) Port n Output Data 9 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000118) Port n Output Data 8 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000118) Port n Output Data 7 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000118) Port n Output Data 6 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000118) Port n Output Data 5 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000118) Port n Output Data 4 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000118) Port n Output Data 3 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000118) Port n Output Data 2 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000118) Port n Output Data 1 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PB_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PB_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000118) Port n Output Data 0 +// +// ( (unsigned int) PB_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PB_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PB_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000118) Port n Output Data Register +// ( (unsigned int)((PB_OUTDR >> 0) & 0xFFFFFFFF), ((PB_OUTDR = (PB_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_OUTDR_OUTDR15 +// SFDITEM_FIELD__PB_OUTDR_OUTDR14 +// SFDITEM_FIELD__PB_OUTDR_OUTDR13 +// SFDITEM_FIELD__PB_OUTDR_OUTDR12 +// SFDITEM_FIELD__PB_OUTDR_OUTDR11 +// SFDITEM_FIELD__PB_OUTDR_OUTDR10 +// SFDITEM_FIELD__PB_OUTDR_OUTDR9 +// SFDITEM_FIELD__PB_OUTDR_OUTDR8 +// SFDITEM_FIELD__PB_OUTDR_OUTDR7 +// SFDITEM_FIELD__PB_OUTDR_OUTDR6 +// SFDITEM_FIELD__PB_OUTDR_OUTDR5 +// SFDITEM_FIELD__PB_OUTDR_OUTDR4 +// SFDITEM_FIELD__PB_OUTDR_OUTDR3 +// SFDITEM_FIELD__PB_OUTDR_OUTDR2 +// SFDITEM_FIELD__PB_OUTDR_OUTDR1 +// SFDITEM_FIELD__PB_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PB_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PB_BSR __AT (0x3000011C); + + + +// -------------------------------- Field Item: PB_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PB_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000011C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PB_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000011C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PB_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000011C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PB_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000011C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PB_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000011C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PB_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000011C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PB_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000011C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PB_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000011C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PB_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000011C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PB_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000011C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PB_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000011C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PB_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000011C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PB_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000011C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PB_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000011C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PB_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000011C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PB_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000011C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PB_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PB_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000011C) Port n Output Bit Set Register +// ( (unsigned int)((PB_BSR >> 0) & 0xFFFFFFFF), ((PB_BSR = (PB_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_BSR_BSR15 +// SFDITEM_FIELD__PB_BSR_BSR14 +// SFDITEM_FIELD__PB_BSR_BSR13 +// SFDITEM_FIELD__PB_BSR_BSR12 +// SFDITEM_FIELD__PB_BSR_BSR11 +// SFDITEM_FIELD__PB_BSR_BSR10 +// SFDITEM_FIELD__PB_BSR_BSR9 +// SFDITEM_FIELD__PB_BSR_BSR8 +// SFDITEM_FIELD__PB_BSR_BSR7 +// SFDITEM_FIELD__PB_BSR_BSR6 +// SFDITEM_FIELD__PB_BSR_BSR5 +// SFDITEM_FIELD__PB_BSR_BSR4 +// SFDITEM_FIELD__PB_BSR_BSR3 +// SFDITEM_FIELD__PB_BSR_BSR2 +// SFDITEM_FIELD__PB_BSR_BSR1 +// SFDITEM_FIELD__PB_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PB_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PB_BCR __AT (0x30000120); + + + +// -------------------------------- Field Item: PB_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PB_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000120) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PB_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000120) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PB_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000120) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PB_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000120) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PB_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000120) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PB_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PB_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000120) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PB_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000120) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PB_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000120) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PB_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000120) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PB_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000120) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PB_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000120) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PB_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000120) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PB_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000120) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PB_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000120) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PB_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000120) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PB_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PB_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000120) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PB_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PB_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PB_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000120) Port n Output Bit Clear Register +// ( (unsigned int)((PB_BCR >> 0) & 0xFFFFFFFF), ((PB_BCR = (PB_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_BCR_BCR15 +// SFDITEM_FIELD__PB_BCR_BCR14 +// SFDITEM_FIELD__PB_BCR_BCR13 +// SFDITEM_FIELD__PB_BCR_BCR12 +// SFDITEM_FIELD__PB_BCR_BCR11 +// SFDITEM_FIELD__PB_BCR_BCR10 +// SFDITEM_FIELD__PB_BCR_BCR9 +// SFDITEM_FIELD__PB_BCR_BCR8 +// SFDITEM_FIELD__PB_BCR_BCR7 +// SFDITEM_FIELD__PB_BCR_BCR6 +// SFDITEM_FIELD__PB_BCR_BCR5 +// SFDITEM_FIELD__PB_BCR_BCR4 +// SFDITEM_FIELD__PB_BCR_BCR3 +// SFDITEM_FIELD__PB_BCR_BCR2 +// SFDITEM_FIELD__PB_BCR_BCR1 +// SFDITEM_FIELD__PB_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PB_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PB_OUTDMSK __AT (0x30000124); + + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000124) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000124) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000124) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000124) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000124) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PB_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000124) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000124) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000124) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000124) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000124) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000124) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000124) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000124) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000124) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000124) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PB_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000124) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PB_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PB_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PB_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000124) Port n Output Data Mask Register +// ( (unsigned int)((PB_OUTDMSK >> 0) & 0xFFFFFFFF), ((PB_OUTDMSK = (PB_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PB_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PB_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PB_DBCR __AT (0x30000128); + + + +// -------------------------------- Field Item: PB_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PB_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000128) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PB_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PB_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PB_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000128) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PB_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PB_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000128) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PB_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000128) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PB_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000128) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PB_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000128) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PB_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000128) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PB_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000128) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PB_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000128) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PB_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000128) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PB_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000128) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PB_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000128) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PB_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PB_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000128) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PB_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PB_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PB_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000128) Port n Debounce Control Register +// ( (unsigned int)((PB_DBCR >> 0) & 0xFFFFFFFF), ((PB_DBCR = (PB_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_DBCR_DBCLK +// SFDITEM_FIELD__PB_DBCR_DBEN11 +// SFDITEM_FIELD__PB_DBCR_DBEN10 +// SFDITEM_FIELD__PB_DBCR_DBEN9 +// SFDITEM_FIELD__PB_DBCR_DBEN8 +// SFDITEM_FIELD__PB_DBCR_DBEN7 +// SFDITEM_FIELD__PB_DBCR_DBEN6 +// SFDITEM_FIELD__PB_DBCR_DBEN5 +// SFDITEM_FIELD__PB_DBCR_DBEN4 +// SFDITEM_FIELD__PB_DBCR_DBEN3 +// SFDITEM_FIELD__PB_DBCR_DBEN2 +// SFDITEM_FIELD__PB_DBCR_DBEN1 +// SFDITEM_FIELD__PB_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_MOD -------------------------------- +// SVD Line: 10134 + +unsigned int PB_PB_MOD __AT (0x30000100); + + + +// ------------------------------ Field Item: PB_PB_MOD_MODE15 ---------------------------------- +// SVD Line: 10144 + +// SFDITEM_FIELD__PB_PB_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000100) Port n Mode Selection 15 +// +// ( (unsigned char)((PB_PB_MOD >> 30) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 30 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 30 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_MOD_MODE14 ---------------------------------- +// SVD Line: 10150 + +// SFDITEM_FIELD__PB_PB_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000100) Port n Mode Selection 14 +// +// ( (unsigned char)((PB_PB_MOD >> 28) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_MOD_MODE13 ---------------------------------- +// SVD Line: 10156 + +// SFDITEM_FIELD__PB_PB_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000100) Port n Mode Selection 13 +// +// ( (unsigned char)((PB_PB_MOD >> 26) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 26 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 26 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_MOD_MODE12 ---------------------------------- +// SVD Line: 10162 + +// SFDITEM_FIELD__PB_PB_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000100) Port n Mode Selection 12 +// +// ( (unsigned char)((PB_PB_MOD >> 24) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_MOD_MODE11 ---------------------------------- +// SVD Line: 10168 + +// SFDITEM_FIELD__PB_PB_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000100) Port n Mode Selection 11 +// +// ( (unsigned char)((PB_PB_MOD >> 22) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_MOD_MODE10 ---------------------------------- +// SVD Line: 10174 + +// SFDITEM_FIELD__PB_PB_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000100) Port n Mode Selection 10 +// +// ( (unsigned char)((PB_PB_MOD >> 20) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE9 ---------------------------------- +// SVD Line: 10180 + +// SFDITEM_FIELD__PB_PB_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000100) Port n Mode Selection 9 +// +// ( (unsigned char)((PB_PB_MOD >> 18) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE8 ---------------------------------- +// SVD Line: 10186 + +// SFDITEM_FIELD__PB_PB_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000100) Port n Mode Selection 8 +// +// ( (unsigned char)((PB_PB_MOD >> 16) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE7 ---------------------------------- +// SVD Line: 10192 + +// SFDITEM_FIELD__PB_PB_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000100) Port n Mode Selection 7 +// +// ( (unsigned char)((PB_PB_MOD >> 14) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE6 ---------------------------------- +// SVD Line: 10198 + +// SFDITEM_FIELD__PB_PB_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000100) Port n Mode Selection 6 +// +// ( (unsigned char)((PB_PB_MOD >> 12) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE5 ---------------------------------- +// SVD Line: 10204 + +// SFDITEM_FIELD__PB_PB_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000100) Port n Mode Selection 5 +// +// ( (unsigned char)((PB_PB_MOD >> 10) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE4 ---------------------------------- +// SVD Line: 10210 + +// SFDITEM_FIELD__PB_PB_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000100) Port n Mode Selection 4 +// +// ( (unsigned char)((PB_PB_MOD >> 8) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE3 ---------------------------------- +// SVD Line: 10216 + +// SFDITEM_FIELD__PB_PB_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000100) Port n Mode Selection 3 +// +// ( (unsigned char)((PB_PB_MOD >> 6) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE2 ---------------------------------- +// SVD Line: 10222 + +// SFDITEM_FIELD__PB_PB_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000100) Port n Mode Selection 2 +// +// ( (unsigned char)((PB_PB_MOD >> 4) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE1 ---------------------------------- +// SVD Line: 10228 + +// SFDITEM_FIELD__PB_PB_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000100) Port n Mode Selection 1 +// +// ( (unsigned char)((PB_PB_MOD >> 2) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PB_PB_MOD_MODE0 ---------------------------------- +// SVD Line: 10234 + +// SFDITEM_FIELD__PB_PB_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000100) Port n Mode Selection 0 +// +// ( (unsigned char)((PB_PB_MOD >> 0) & 0x3), ((PB_PB_MOD = (PB_PB_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PB_PB_MOD ----------------------------------- +// SVD Line: 10134 + +// SFDITEM_REG__PB_PB_MOD +// PB_MOD +// +// [Bits 31..0] RW (@ 0x30000100) Port n Mode Register +// ( (unsigned int)((PB_PB_MOD >> 0) & 0xFFFFFFFF), ((PB_PB_MOD = (PB_PB_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_MOD_MODE15 +// SFDITEM_FIELD__PB_PB_MOD_MODE14 +// SFDITEM_FIELD__PB_PB_MOD_MODE13 +// SFDITEM_FIELD__PB_PB_MOD_MODE12 +// SFDITEM_FIELD__PB_PB_MOD_MODE11 +// SFDITEM_FIELD__PB_PB_MOD_MODE10 +// SFDITEM_FIELD__PB_PB_MOD_MODE9 +// SFDITEM_FIELD__PB_PB_MOD_MODE8 +// SFDITEM_FIELD__PB_PB_MOD_MODE7 +// SFDITEM_FIELD__PB_PB_MOD_MODE6 +// SFDITEM_FIELD__PB_PB_MOD_MODE5 +// SFDITEM_FIELD__PB_PB_MOD_MODE4 +// SFDITEM_FIELD__PB_PB_MOD_MODE3 +// SFDITEM_FIELD__PB_PB_MOD_MODE2 +// SFDITEM_FIELD__PB_PB_MOD_MODE1 +// SFDITEM_FIELD__PB_PB_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_TYP -------------------------------- +// SVD Line: 10242 + +unsigned int PB_PB_TYP __AT (0x30000104); + + + +// ------------------------------- Field Item: PB_PB_TYP_TYP15 ---------------------------------- +// SVD Line: 10252 + +// SFDITEM_FIELD__PB_PB_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000104) Port n Output Type Selection 15 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP15 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP14 ---------------------------------- +// SVD Line: 10258 + +// SFDITEM_FIELD__PB_PB_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000104) Port n Output Type Selection 14 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP14 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP13 ---------------------------------- +// SVD Line: 10264 + +// SFDITEM_FIELD__PB_PB_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000104) Port n Output Type Selection 13 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP13 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP12 ---------------------------------- +// SVD Line: 10270 + +// SFDITEM_FIELD__PB_PB_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000104) Port n Output Type Selection 12 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP12 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP11 ---------------------------------- +// SVD Line: 10276 + +// SFDITEM_FIELD__PB_PB_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000104) Port n Output Type Selection 11 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP11 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP10 ---------------------------------- +// SVD Line: 10282 + +// SFDITEM_FIELD__PB_PB_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000104) Port n Output Type Selection 10 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP10 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP9 ----------------------------------- +// SVD Line: 10288 + +// SFDITEM_FIELD__PB_PB_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000104) Port n Output Type Selection 9 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP9 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP8 ----------------------------------- +// SVD Line: 10294 + +// SFDITEM_FIELD__PB_PB_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000104) Port n Output Type Selection 8 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP8 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP7 ----------------------------------- +// SVD Line: 10300 + +// SFDITEM_FIELD__PB_PB_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000104) Port n Output Type Selection 7 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP6 ----------------------------------- +// SVD Line: 10306 + +// SFDITEM_FIELD__PB_PB_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000104) Port n Output Type Selection 6 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP5 ----------------------------------- +// SVD Line: 10312 + +// SFDITEM_FIELD__PB_PB_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000104) Port n Output Type Selection 5 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP4 ----------------------------------- +// SVD Line: 10318 + +// SFDITEM_FIELD__PB_PB_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000104) Port n Output Type Selection 4 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP3 ----------------------------------- +// SVD Line: 10324 + +// SFDITEM_FIELD__PB_PB_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000104) Port n Output Type Selection 3 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP2 ----------------------------------- +// SVD Line: 10330 + +// SFDITEM_FIELD__PB_PB_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000104) Port n Output Type Selection 2 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP1 ----------------------------------- +// SVD Line: 10336 + +// SFDITEM_FIELD__PB_PB_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000104) Port n Output Type Selection 1 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PB_PB_TYP_TYP0 ----------------------------------- +// SVD Line: 10342 + +// SFDITEM_FIELD__PB_PB_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000104) Port n Output Type Selection 0 +// +// ( (unsigned int) PB_PB_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PB_PB_TYP ----------------------------------- +// SVD Line: 10242 + +// SFDITEM_REG__PB_PB_TYP +// PB_TYP +// +// [Bits 31..0] RW (@ 0x30000104) Port n Output Type Selection Register +// ( (unsigned int)((PB_PB_TYP >> 0) & 0xFFFFFFFF), ((PB_PB_TYP = (PB_PB_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_TYP_TYP15 +// SFDITEM_FIELD__PB_PB_TYP_TYP14 +// SFDITEM_FIELD__PB_PB_TYP_TYP13 +// SFDITEM_FIELD__PB_PB_TYP_TYP12 +// SFDITEM_FIELD__PB_PB_TYP_TYP11 +// SFDITEM_FIELD__PB_PB_TYP_TYP10 +// SFDITEM_FIELD__PB_PB_TYP_TYP9 +// SFDITEM_FIELD__PB_PB_TYP_TYP8 +// SFDITEM_FIELD__PB_PB_TYP_TYP7 +// SFDITEM_FIELD__PB_PB_TYP_TYP6 +// SFDITEM_FIELD__PB_PB_TYP_TYP5 +// SFDITEM_FIELD__PB_PB_TYP_TYP4 +// SFDITEM_FIELD__PB_PB_TYP_TYP3 +// SFDITEM_FIELD__PB_PB_TYP_TYP2 +// SFDITEM_FIELD__PB_PB_TYP_TYP1 +// SFDITEM_FIELD__PB_PB_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PB_PB_AFSR1 ------------------------------- +// SVD Line: 10350 + +unsigned int PB_PB_AFSR1 __AT (0x30000108); + + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR7 --------------------------------- +// SVD Line: 10360 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000108) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 28) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR6 --------------------------------- +// SVD Line: 10366 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000108) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 24) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR5 --------------------------------- +// SVD Line: 10372 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000108) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 20) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR4 --------------------------------- +// SVD Line: 10378 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000108) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 16) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR3 --------------------------------- +// SVD Line: 10384 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000108) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 12) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR2 --------------------------------- +// SVD Line: 10390 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000108) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 8) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR1 --------------------------------- +// SVD Line: 10396 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000108) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 4) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR1_AFSR0 --------------------------------- +// SVD Line: 10402 + +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000108) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PB_PB_AFSR1 >> 0) & 0xF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PB_PB_AFSR1 ---------------------------------- +// SVD Line: 10350 + +// SFDITEM_REG__PB_PB_AFSR1 +// PB_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000108) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PB_PB_AFSR1 >> 0) & 0xFFFFFFFF), ((PB_PB_AFSR1 = (PB_PB_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR7 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR6 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR5 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR4 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR3 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR2 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR1 +// SFDITEM_FIELD__PB_PB_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PB_PB_AFSR2 ------------------------------- +// SVD Line: 10410 + +unsigned int PB_PB_AFSR2 __AT (0x3000010C); + + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR15 --------------------------------- +// SVD Line: 10420 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000010C) Port n Alternative Function Selection 15 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 28) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR14 --------------------------------- +// SVD Line: 10426 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000010C) Port n Alternative Function Selection 14 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 24) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR13 --------------------------------- +// SVD Line: 10432 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000010C) Port n Alternative Function Selection 13 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 20) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR12 --------------------------------- +// SVD Line: 10438 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000010C) Port n Alternative Function Selection 12 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 16) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR11 --------------------------------- +// SVD Line: 10444 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000010C) Port n Alternative Function Selection 11 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 12) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PB_PB_AFSR2_AFSR10 --------------------------------- +// SVD Line: 10450 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000010C) Port n Alternative Function Selection 10 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 8) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR2_AFSR9 --------------------------------- +// SVD Line: 10456 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000010C) Port n Alternative Function Selection 9 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 4) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_AFSR2_AFSR8 --------------------------------- +// SVD Line: 10462 + +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000010C) Port n Alternative Function Selection 8 +// +// ( (unsigned char)((PB_PB_AFSR2 >> 0) & 0xF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PB_PB_AFSR2 ---------------------------------- +// SVD Line: 10410 + +// SFDITEM_REG__PB_PB_AFSR2 +// PB_AFSR2 +// +// [Bits 31..0] RW (@ 0x3000010C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PB_PB_AFSR2 >> 0) & 0xFFFFFFFF), ((PB_PB_AFSR2 = (PB_PB_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR15 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR14 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR13 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR12 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR11 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR10 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR9 +// SFDITEM_FIELD__PB_PB_AFSR2_AFSR8 +// +// + + +// ---------------------------- Register Item Address: PB_PB_PUPD ------------------------------- +// SVD Line: 10470 + +unsigned int PB_PB_PUPD __AT (0x30000110); + + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD15 --------------------------------- +// SVD Line: 10480 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 15 +// +// ( (unsigned char)((PB_PB_PUPD >> 30) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 30 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 30 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD14 --------------------------------- +// SVD Line: 10486 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 14 +// +// ( (unsigned char)((PB_PB_PUPD >> 28) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD13 --------------------------------- +// SVD Line: 10492 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 13 +// +// ( (unsigned char)((PB_PB_PUPD >> 26) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 26 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 26 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD12 --------------------------------- +// SVD Line: 10498 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 12 +// +// ( (unsigned char)((PB_PB_PUPD >> 24) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD11 --------------------------------- +// SVD Line: 10504 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 11 +// +// ( (unsigned char)((PB_PB_PUPD >> 22) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD10 --------------------------------- +// SVD Line: 10510 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 10 +// +// ( (unsigned char)((PB_PB_PUPD >> 20) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD9 ---------------------------------- +// SVD Line: 10516 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 9 +// +// ( (unsigned char)((PB_PB_PUPD >> 18) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD8 ---------------------------------- +// SVD Line: 10522 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 8 +// +// ( (unsigned char)((PB_PB_PUPD >> 16) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD7 ---------------------------------- +// SVD Line: 10528 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PB_PB_PUPD >> 14) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD6 ---------------------------------- +// SVD Line: 10534 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PB_PB_PUPD >> 12) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD5 ---------------------------------- +// SVD Line: 10540 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PB_PB_PUPD >> 10) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD4 ---------------------------------- +// SVD Line: 10546 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PB_PB_PUPD >> 8) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD3 ---------------------------------- +// SVD Line: 10552 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PB_PB_PUPD >> 6) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD2 ---------------------------------- +// SVD Line: 10558 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PB_PB_PUPD >> 4) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD1 ---------------------------------- +// SVD Line: 10564 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PB_PB_PUPD >> 2) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_PUPD_PUPD0 ---------------------------------- +// SVD Line: 10570 + +// SFDITEM_FIELD__PB_PB_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PB_PB_PUPD >> 0) & 0x3), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PB_PB_PUPD ----------------------------------- +// SVD Line: 10470 + +// SFDITEM_REG__PB_PB_PUPD +// PB_PUPD +// +// [Bits 31..0] RW (@ 0x30000110) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PB_PB_PUPD >> 0) & 0xFFFFFFFF), ((PB_PB_PUPD = (PB_PB_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_PUPD_PUPD15 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD14 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD13 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD12 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD11 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD10 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD9 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD8 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD7 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD6 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD5 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD4 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD3 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD2 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD1 +// SFDITEM_FIELD__PB_PB_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_INDR ------------------------------- +// SVD Line: 10578 + +unsigned int PB_PB_INDR __AT (0x30000114); + + + +// ------------------------------ Field Item: PB_PB_INDR_INDR15 --------------------------------- +// SVD Line: 10588 + +// SFDITEM_FIELD__PB_PB_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000114) Port n Input Data 15 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR15 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR14 --------------------------------- +// SVD Line: 10594 + +// SFDITEM_FIELD__PB_PB_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000114) Port n Input Data 14 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR14 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR13 --------------------------------- +// SVD Line: 10600 + +// SFDITEM_FIELD__PB_PB_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000114) Port n Input Data 13 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR13 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR12 --------------------------------- +// SVD Line: 10606 + +// SFDITEM_FIELD__PB_PB_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000114) Port n Input Data 12 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR12 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR11 --------------------------------- +// SVD Line: 10612 + +// SFDITEM_FIELD__PB_PB_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000114) Port n Input Data 11 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR11 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR10 --------------------------------- +// SVD Line: 10618 + +// SFDITEM_FIELD__PB_PB_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000114) Port n Input Data 10 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR10 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR9 ---------------------------------- +// SVD Line: 10624 + +// SFDITEM_FIELD__PB_PB_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000114) Port n Input Data 9 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR9 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR8 ---------------------------------- +// SVD Line: 10630 + +// SFDITEM_FIELD__PB_PB_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000114) Port n Input Data 8 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR8 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR7 ---------------------------------- +// SVD Line: 10636 + +// SFDITEM_FIELD__PB_PB_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000114) Port n Input Data 7 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR6 ---------------------------------- +// SVD Line: 10642 + +// SFDITEM_FIELD__PB_PB_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000114) Port n Input Data 6 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR5 ---------------------------------- +// SVD Line: 10648 + +// SFDITEM_FIELD__PB_PB_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000114) Port n Input Data 5 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR4 ---------------------------------- +// SVD Line: 10654 + +// SFDITEM_FIELD__PB_PB_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000114) Port n Input Data 4 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR3 ---------------------------------- +// SVD Line: 10660 + +// SFDITEM_FIELD__PB_PB_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000114) Port n Input Data 3 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR2 ---------------------------------- +// SVD Line: 10666 + +// SFDITEM_FIELD__PB_PB_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000114) Port n Input Data 2 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR1 ---------------------------------- +// SVD Line: 10672 + +// SFDITEM_FIELD__PB_PB_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000114) Port n Input Data 1 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PB_PB_INDR_INDR0 ---------------------------------- +// SVD Line: 10678 + +// SFDITEM_FIELD__PB_PB_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000114) Port n Input Data 0 +// +// ( (unsigned int) PB_PB_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PB_PB_INDR ----------------------------------- +// SVD Line: 10578 + +// SFDITEM_REG__PB_PB_INDR +// PB_INDR +// +// [Bits 31..0] RO (@ 0x30000114) Port n Input Data Register +// ( (unsigned int)((PB_PB_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PB_PB_INDR_INDR15 +// SFDITEM_FIELD__PB_PB_INDR_INDR14 +// SFDITEM_FIELD__PB_PB_INDR_INDR13 +// SFDITEM_FIELD__PB_PB_INDR_INDR12 +// SFDITEM_FIELD__PB_PB_INDR_INDR11 +// SFDITEM_FIELD__PB_PB_INDR_INDR10 +// SFDITEM_FIELD__PB_PB_INDR_INDR9 +// SFDITEM_FIELD__PB_PB_INDR_INDR8 +// SFDITEM_FIELD__PB_PB_INDR_INDR7 +// SFDITEM_FIELD__PB_PB_INDR_INDR6 +// SFDITEM_FIELD__PB_PB_INDR_INDR5 +// SFDITEM_FIELD__PB_PB_INDR_INDR4 +// SFDITEM_FIELD__PB_PB_INDR_INDR3 +// SFDITEM_FIELD__PB_PB_INDR_INDR2 +// SFDITEM_FIELD__PB_PB_INDR_INDR1 +// SFDITEM_FIELD__PB_PB_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PB_PB_OUTDR ------------------------------- +// SVD Line: 10686 + +unsigned int PB_PB_OUTDR __AT (0x30000118); + + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR15 -------------------------------- +// SVD Line: 10696 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000118) Port n Output Data 15 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR15 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR14 -------------------------------- +// SVD Line: 10702 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000118) Port n Output Data 14 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR14 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR13 -------------------------------- +// SVD Line: 10708 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000118) Port n Output Data 13 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR13 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR12 -------------------------------- +// SVD Line: 10714 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000118) Port n Output Data 12 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR12 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR11 -------------------------------- +// SVD Line: 10720 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000118) Port n Output Data 11 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR11 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR10 -------------------------------- +// SVD Line: 10726 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000118) Port n Output Data 10 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR10 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR9 --------------------------------- +// SVD Line: 10732 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000118) Port n Output Data 9 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR9 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR8 --------------------------------- +// SVD Line: 10738 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000118) Port n Output Data 8 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR8 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 10744 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000118) Port n Output Data 7 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 10750 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000118) Port n Output Data 6 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 10756 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000118) Port n Output Data 5 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 10762 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000118) Port n Output Data 4 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 10768 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000118) Port n Output Data 3 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 10774 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000118) Port n Output Data 2 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 10780 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000118) Port n Output Data 1 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PB_PB_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 10786 + +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000118) Port n Output Data 0 +// +// ( (unsigned int) PB_PB_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PB_PB_OUTDR ---------------------------------- +// SVD Line: 10686 + +// SFDITEM_REG__PB_PB_OUTDR +// PB_OUTDR +// +// [Bits 31..0] RW (@ 0x30000118) Port n Output Data Register +// ( (unsigned int)((PB_PB_OUTDR >> 0) & 0xFFFFFFFF), ((PB_PB_OUTDR = (PB_PB_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR15 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR14 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR13 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR12 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR11 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR10 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR9 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR8 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR7 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR6 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR5 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR4 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR3 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR2 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR1 +// SFDITEM_FIELD__PB_PB_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_BSR -------------------------------- +// SVD Line: 10794 + +unsigned int PB_PB_BSR __AT (0x3000011C); + + + +// ------------------------------- Field Item: PB_PB_BSR_BSR15 ---------------------------------- +// SVD Line: 10804 + +// SFDITEM_FIELD__PB_PB_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000011C) Port n Output Bit Set 15 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR15 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR14 ---------------------------------- +// SVD Line: 10810 + +// SFDITEM_FIELD__PB_PB_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000011C) Port n Output Bit Set 14 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR14 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR13 ---------------------------------- +// SVD Line: 10816 + +// SFDITEM_FIELD__PB_PB_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000011C) Port n Output Bit Set 13 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR13 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR12 ---------------------------------- +// SVD Line: 10822 + +// SFDITEM_FIELD__PB_PB_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000011C) Port n Output Bit Set 12 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR12 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR11 ---------------------------------- +// SVD Line: 10828 + +// SFDITEM_FIELD__PB_PB_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000011C) Port n Output Bit Set 11 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR11 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR10 ---------------------------------- +// SVD Line: 10834 + +// SFDITEM_FIELD__PB_PB_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000011C) Port n Output Bit Set 10 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR10 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR9 ----------------------------------- +// SVD Line: 10840 + +// SFDITEM_FIELD__PB_PB_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000011C) Port n Output Bit Set 9 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR9 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR8 ----------------------------------- +// SVD Line: 10846 + +// SFDITEM_FIELD__PB_PB_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000011C) Port n Output Bit Set 8 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR8 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR7 ----------------------------------- +// SVD Line: 10852 + +// SFDITEM_FIELD__PB_PB_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000011C) Port n Output Bit Set 7 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR6 ----------------------------------- +// SVD Line: 10858 + +// SFDITEM_FIELD__PB_PB_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000011C) Port n Output Bit Set 6 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR5 ----------------------------------- +// SVD Line: 10864 + +// SFDITEM_FIELD__PB_PB_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000011C) Port n Output Bit Set 5 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR4 ----------------------------------- +// SVD Line: 10870 + +// SFDITEM_FIELD__PB_PB_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000011C) Port n Output Bit Set 4 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR3 ----------------------------------- +// SVD Line: 10876 + +// SFDITEM_FIELD__PB_PB_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000011C) Port n Output Bit Set 3 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR2 ----------------------------------- +// SVD Line: 10882 + +// SFDITEM_FIELD__PB_PB_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000011C) Port n Output Bit Set 2 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR1 ----------------------------------- +// SVD Line: 10888 + +// SFDITEM_FIELD__PB_PB_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000011C) Port n Output Bit Set 1 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BSR_BSR0 ----------------------------------- +// SVD Line: 10894 + +// SFDITEM_FIELD__PB_PB_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000011C) Port n Output Bit Set 0 +// +// ( (unsigned int) PB_PB_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PB_PB_BSR ----------------------------------- +// SVD Line: 10794 + +// SFDITEM_REG__PB_PB_BSR +// PB_BSR +// +// [Bits 31..0] WO (@ 0x3000011C) Port n Output Bit Set Register +// ( (unsigned int)((PB_PB_BSR >> 0) & 0xFFFFFFFF), ((PB_PB_BSR = (PB_PB_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_BSR_BSR15 +// SFDITEM_FIELD__PB_PB_BSR_BSR14 +// SFDITEM_FIELD__PB_PB_BSR_BSR13 +// SFDITEM_FIELD__PB_PB_BSR_BSR12 +// SFDITEM_FIELD__PB_PB_BSR_BSR11 +// SFDITEM_FIELD__PB_PB_BSR_BSR10 +// SFDITEM_FIELD__PB_PB_BSR_BSR9 +// SFDITEM_FIELD__PB_PB_BSR_BSR8 +// SFDITEM_FIELD__PB_PB_BSR_BSR7 +// SFDITEM_FIELD__PB_PB_BSR_BSR6 +// SFDITEM_FIELD__PB_PB_BSR_BSR5 +// SFDITEM_FIELD__PB_PB_BSR_BSR4 +// SFDITEM_FIELD__PB_PB_BSR_BSR3 +// SFDITEM_FIELD__PB_PB_BSR_BSR2 +// SFDITEM_FIELD__PB_PB_BSR_BSR1 +// SFDITEM_FIELD__PB_PB_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_BCR -------------------------------- +// SVD Line: 10902 + +unsigned int PB_PB_BCR __AT (0x30000120); + + + +// ------------------------------- Field Item: PB_PB_BCR_BCR15 ---------------------------------- +// SVD Line: 10912 + +// SFDITEM_FIELD__PB_PB_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000120) Port n Output Bit Clear 15 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR15 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR14 ---------------------------------- +// SVD Line: 10918 + +// SFDITEM_FIELD__PB_PB_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000120) Port n Output Bit Clear 14 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR14 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR13 ---------------------------------- +// SVD Line: 10924 + +// SFDITEM_FIELD__PB_PB_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000120) Port n Output Bit Clear 13 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR13 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR12 ---------------------------------- +// SVD Line: 10930 + +// SFDITEM_FIELD__PB_PB_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000120) Port n Output Bit Clear 12 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR12 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR11 ---------------------------------- +// SVD Line: 10936 + +// SFDITEM_FIELD__PB_PB_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000120) Port n Output Bit Clear 11 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR11 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR10 ---------------------------------- +// SVD Line: 10942 + +// SFDITEM_FIELD__PB_PB_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000120) Port n Output Bit Clear 10 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR10 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR9 ----------------------------------- +// SVD Line: 10948 + +// SFDITEM_FIELD__PB_PB_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000120) Port n Output Bit Clear 9 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR9 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR8 ----------------------------------- +// SVD Line: 10954 + +// SFDITEM_FIELD__PB_PB_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000120) Port n Output Bit Clear 8 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR8 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR7 ----------------------------------- +// SVD Line: 10960 + +// SFDITEM_FIELD__PB_PB_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000120) Port n Output Bit Clear 7 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR6 ----------------------------------- +// SVD Line: 10966 + +// SFDITEM_FIELD__PB_PB_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000120) Port n Output Bit Clear 6 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR5 ----------------------------------- +// SVD Line: 10972 + +// SFDITEM_FIELD__PB_PB_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000120) Port n Output Bit Clear 5 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR4 ----------------------------------- +// SVD Line: 10978 + +// SFDITEM_FIELD__PB_PB_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000120) Port n Output Bit Clear 4 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR3 ----------------------------------- +// SVD Line: 10984 + +// SFDITEM_FIELD__PB_PB_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000120) Port n Output Bit Clear 3 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR2 ----------------------------------- +// SVD Line: 10990 + +// SFDITEM_FIELD__PB_PB_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000120) Port n Output Bit Clear 2 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR1 ----------------------------------- +// SVD Line: 10996 + +// SFDITEM_FIELD__PB_PB_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000120) Port n Output Bit Clear 1 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PB_PB_BCR_BCR0 ----------------------------------- +// SVD Line: 11002 + +// SFDITEM_FIELD__PB_PB_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000120) Port n Output Bit Clear 0 +// +// ( (unsigned int) PB_PB_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PB_PB_BCR ----------------------------------- +// SVD Line: 10902 + +// SFDITEM_REG__PB_PB_BCR +// PB_BCR +// +// [Bits 31..0] WO (@ 0x30000120) Port n Output Bit Clear Register +// ( (unsigned int)((PB_PB_BCR >> 0) & 0xFFFFFFFF), ((PB_PB_BCR = (PB_PB_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_BCR_BCR15 +// SFDITEM_FIELD__PB_PB_BCR_BCR14 +// SFDITEM_FIELD__PB_PB_BCR_BCR13 +// SFDITEM_FIELD__PB_PB_BCR_BCR12 +// SFDITEM_FIELD__PB_PB_BCR_BCR11 +// SFDITEM_FIELD__PB_PB_BCR_BCR10 +// SFDITEM_FIELD__PB_PB_BCR_BCR9 +// SFDITEM_FIELD__PB_PB_BCR_BCR8 +// SFDITEM_FIELD__PB_PB_BCR_BCR7 +// SFDITEM_FIELD__PB_PB_BCR_BCR6 +// SFDITEM_FIELD__PB_PB_BCR_BCR5 +// SFDITEM_FIELD__PB_PB_BCR_BCR4 +// SFDITEM_FIELD__PB_PB_BCR_BCR3 +// SFDITEM_FIELD__PB_PB_BCR_BCR2 +// SFDITEM_FIELD__PB_PB_BCR_BCR1 +// SFDITEM_FIELD__PB_PB_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PB_PB_OUTDMSK ------------------------------ +// SVD Line: 11010 + +unsigned int PB_PB_OUTDMSK __AT (0x30000124); + + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK15 ------------------------------ +// SVD Line: 11020 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000124) Port n Output Data Mask 15 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK15 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK14 ------------------------------ +// SVD Line: 11026 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000124) Port n Output Data Mask 14 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK14 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK13 ------------------------------ +// SVD Line: 11032 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000124) Port n Output Data Mask 13 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK13 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK12 ------------------------------ +// SVD Line: 11038 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000124) Port n Output Data Mask 12 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK12 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK11 ------------------------------ +// SVD Line: 11044 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000124) Port n Output Data Mask 11 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK11 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK10 ------------------------------ +// SVD Line: 11050 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000124) Port n Output Data Mask 10 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK10 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK9 ------------------------------- +// SVD Line: 11056 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000124) Port n Output Data Mask 9 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK9 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK8 ------------------------------- +// SVD Line: 11062 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000124) Port n Output Data Mask 8 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK8 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 11068 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000124) Port n Output Data Mask 7 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 11074 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000124) Port n Output Data Mask 6 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 11080 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000124) Port n Output Data Mask 5 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 11086 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000124) Port n Output Data Mask 4 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 11092 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000124) Port n Output Data Mask 3 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 11098 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000124) Port n Output Data Mask 2 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 11104 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000124) Port n Output Data Mask 1 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PB_PB_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 11110 + +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000124) Port n Output Data Mask 0 +// +// ( (unsigned int) PB_PB_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PB_PB_OUTDMSK --------------------------------- +// SVD Line: 11010 + +// SFDITEM_REG__PB_PB_OUTDMSK +// PB_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000124) Port n Output Data Mask Register +// ( (unsigned int)((PB_PB_OUTDMSK >> 0) & 0xFFFFFFFF), ((PB_PB_OUTDMSK = (PB_PB_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PB_PB_OUTDMSK_OUTDMSK0 +// +// + + +// ---------------------------- Register Item Address: PB_PB_DBCR ------------------------------- +// SVD Line: 11118 + +unsigned int PB_PB_DBCR __AT (0x30000128); + + + +// ------------------------------ Field Item: PB_PB_DBCR_DBCLK ---------------------------------- +// SVD Line: 11128 + +// SFDITEM_FIELD__PB_PB_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000128) Port n Debounce Filter Sampling Clock Selection +// +// ( (unsigned char)((PB_PB_DBCR >> 16) & 0x7), ((PB_PB_DBCR = (PB_PB_DBCR & ~(0x7UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN11 --------------------------------- +// SVD Line: 11134 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000128) Port n Debounce Enable 11 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN11 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN10 --------------------------------- +// SVD Line: 11140 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000128) Port n Debounce Enable 10 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN10 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN9 ---------------------------------- +// SVD Line: 11146 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000128) Port n Debounce Enable 9 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN9 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN8 ---------------------------------- +// SVD Line: 11152 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000128) Port n Debounce Enable 8 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN8 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN7 ---------------------------------- +// SVD Line: 11158 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000128) Port n Debounce Enable 7 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN7 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN6 ---------------------------------- +// SVD Line: 11164 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000128) Port n Debounce Enable 6 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN6 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN5 ---------------------------------- +// SVD Line: 11170 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000128) Port n Debounce Enable 5 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN5 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN4 ---------------------------------- +// SVD Line: 11176 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000128) Port n Debounce Enable 4 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN4 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN3 ---------------------------------- +// SVD Line: 11182 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000128) Port n Debounce Enable 3 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN3 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN2 ---------------------------------- +// SVD Line: 11188 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000128) Port n Debounce Enable 2 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN2 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN1 ---------------------------------- +// SVD Line: 11194 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000128) Port n Debounce Enable 1 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN1 +// +// +// + + +// ------------------------------ Field Item: PB_PB_DBCR_DBEN0 ---------------------------------- +// SVD Line: 11200 + +// SFDITEM_FIELD__PB_PB_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000128) Port n Debounce Enable 0 +// +// ( (unsigned int) PB_PB_DBCR ) +// DBEN0 +// +// +// + + +// ------------------------------- Register RTree: PB_PB_DBCR ----------------------------------- +// SVD Line: 11118 + +// SFDITEM_REG__PB_PB_DBCR +// PB_DBCR +// +// [Bits 31..0] RW (@ 0x30000128) Port n Debounce Control Register +// ( (unsigned int)((PB_PB_DBCR >> 0) & 0xFFFFFFFF), ((PB_PB_DBCR = (PB_PB_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PB_PB_DBCR_DBCLK +// SFDITEM_FIELD__PB_PB_DBCR_DBEN11 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN10 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN9 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN8 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN7 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN6 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN5 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN4 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN3 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN2 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN1 +// SFDITEM_FIELD__PB_PB_DBCR_DBEN0 +// +// + + +// ----------------------------------- Peripheral View: PB -------------------------------------- +// SVD Line: 10120 + +// PB +// PB +// SFDITEM_REG__PB_MOD +// SFDITEM_REG__PB_TYP +// SFDITEM_REG__PB_AFSR1 +// SFDITEM_REG__PB_AFSR2 +// SFDITEM_REG__PB_PUPD +// SFDITEM_REG__PB_INDR +// SFDITEM_REG__PB_OUTDR +// SFDITEM_REG__PB_BSR +// SFDITEM_REG__PB_BCR +// SFDITEM_REG__PB_OUTDMSK +// SFDITEM_REG__PB_DBCR +// SFDITEM_REG__PB_PB_MOD +// SFDITEM_REG__PB_PB_TYP +// SFDITEM_REG__PB_PB_AFSR1 +// SFDITEM_REG__PB_PB_AFSR2 +// SFDITEM_REG__PB_PB_PUPD +// SFDITEM_REG__PB_PB_INDR +// SFDITEM_REG__PB_PB_OUTDR +// SFDITEM_REG__PB_PB_BSR +// SFDITEM_REG__PB_PB_BCR +// SFDITEM_REG__PB_PB_OUTDMSK +// SFDITEM_REG__PB_PB_DBCR +// +// + + +// ------------------------------ Register Item Address: PC_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PC_MOD __AT (0x30000200); + + + +// -------------------------------- Field Item: PC_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PC_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000200) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PC_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000200) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PC_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000200) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PC_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000200) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PC_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000200) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PC_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000200) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PC_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000200) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PC_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000200) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PC_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000200) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PC_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000200) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PC_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000200) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PC_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000200) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PC_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000200) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PC_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000200) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PC_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000200) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PC_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000200) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PC_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PC_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PC_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000200) Port n Mode Register +// ( (unsigned int)((PC_MOD >> 0) & 0xFFFFFFFF), ((PC_MOD = (PC_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_MOD_MODE15 +// SFDITEM_FIELD__PC_MOD_MODE14 +// SFDITEM_FIELD__PC_MOD_MODE13 +// SFDITEM_FIELD__PC_MOD_MODE12 +// SFDITEM_FIELD__PC_MOD_MODE11 +// SFDITEM_FIELD__PC_MOD_MODE10 +// SFDITEM_FIELD__PC_MOD_MODE9 +// SFDITEM_FIELD__PC_MOD_MODE8 +// SFDITEM_FIELD__PC_MOD_MODE7 +// SFDITEM_FIELD__PC_MOD_MODE6 +// SFDITEM_FIELD__PC_MOD_MODE5 +// SFDITEM_FIELD__PC_MOD_MODE4 +// SFDITEM_FIELD__PC_MOD_MODE3 +// SFDITEM_FIELD__PC_MOD_MODE2 +// SFDITEM_FIELD__PC_MOD_MODE1 +// SFDITEM_FIELD__PC_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PC_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PC_TYP __AT (0x30000204); + + + +// -------------------------------- Field Item: PC_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PC_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000204) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PC_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PC_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000204) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PC_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PC_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000204) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PC_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PC_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000204) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PC_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PC_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000204) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PC_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PC_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000204) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PC_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000204) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PC_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000204) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PC_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000204) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PC_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000204) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PC_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000204) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PC_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000204) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PC_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000204) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PC_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000204) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PC_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000204) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PC_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PC_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000204) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PC_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PC_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PC_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000204) Port n Output Type Selection Register +// ( (unsigned int)((PC_TYP >> 0) & 0xFFFFFFFF), ((PC_TYP = (PC_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_TYP_TYP15 +// SFDITEM_FIELD__PC_TYP_TYP14 +// SFDITEM_FIELD__PC_TYP_TYP13 +// SFDITEM_FIELD__PC_TYP_TYP12 +// SFDITEM_FIELD__PC_TYP_TYP11 +// SFDITEM_FIELD__PC_TYP_TYP10 +// SFDITEM_FIELD__PC_TYP_TYP9 +// SFDITEM_FIELD__PC_TYP_TYP8 +// SFDITEM_FIELD__PC_TYP_TYP7 +// SFDITEM_FIELD__PC_TYP_TYP6 +// SFDITEM_FIELD__PC_TYP_TYP5 +// SFDITEM_FIELD__PC_TYP_TYP4 +// SFDITEM_FIELD__PC_TYP_TYP3 +// SFDITEM_FIELD__PC_TYP_TYP2 +// SFDITEM_FIELD__PC_TYP_TYP1 +// SFDITEM_FIELD__PC_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PC_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PC_AFSR1 __AT (0x30000208); + + + +// ------------------------------- Field Item: PC_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PC_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000208) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PC_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000208) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PC_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000208) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PC_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000208) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PC_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000208) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PC_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000208) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PC_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000208) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PC_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000208) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PC_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PC_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000208) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PC_AFSR1 >> 0) & 0xFFFFFFFF), ((PC_AFSR1 = (PC_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_AFSR1_AFSR7 +// SFDITEM_FIELD__PC_AFSR1_AFSR6 +// SFDITEM_FIELD__PC_AFSR1_AFSR5 +// SFDITEM_FIELD__PC_AFSR1_AFSR4 +// SFDITEM_FIELD__PC_AFSR1_AFSR3 +// SFDITEM_FIELD__PC_AFSR1_AFSR2 +// SFDITEM_FIELD__PC_AFSR1_AFSR1 +// SFDITEM_FIELD__PC_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PC_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PC_AFSR2 __AT (0x3000020C); + + + +// ------------------------------- Field Item: PC_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PC_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000020C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PC_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000020C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PC_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000020C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PC_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000020C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PC_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000020C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PC_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000020C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PC_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000020C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PC_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PC_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000020C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PC_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PC_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PC_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000020C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PC_AFSR2 >> 0) & 0xFFFFFFFF), ((PC_AFSR2 = (PC_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_AFSR2_AFSR15 +// SFDITEM_FIELD__PC_AFSR2_AFSR14 +// SFDITEM_FIELD__PC_AFSR2_AFSR13 +// SFDITEM_FIELD__PC_AFSR2_AFSR12 +// SFDITEM_FIELD__PC_AFSR2_AFSR11 +// SFDITEM_FIELD__PC_AFSR2_AFSR10 +// SFDITEM_FIELD__PC_AFSR2_AFSR9 +// SFDITEM_FIELD__PC_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PC_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PC_PUPD __AT (0x30000210); + + + +// ------------------------------- Field Item: PC_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PC_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PC_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PC_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PC_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PC_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PC_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PC_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PC_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PC_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PC_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PC_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PC_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PC_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PC_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PC_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PC_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PC_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PC_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PC_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PC_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PC_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PC_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000210) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PC_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PC_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PC_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PC_PUPD >> 0) & 0xFFFFFFFF), ((PC_PUPD = (PC_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PUPD_PUPD15 +// SFDITEM_FIELD__PC_PUPD_PUPD14 +// SFDITEM_FIELD__PC_PUPD_PUPD13 +// SFDITEM_FIELD__PC_PUPD_PUPD12 +// SFDITEM_FIELD__PC_PUPD_PUPD11 +// SFDITEM_FIELD__PC_PUPD_PUPD10 +// SFDITEM_FIELD__PC_PUPD_PUPD9 +// SFDITEM_FIELD__PC_PUPD_PUPD8 +// SFDITEM_FIELD__PC_PUPD_PUPD7 +// SFDITEM_FIELD__PC_PUPD_PUPD6 +// SFDITEM_FIELD__PC_PUPD_PUPD5 +// SFDITEM_FIELD__PC_PUPD_PUPD4 +// SFDITEM_FIELD__PC_PUPD_PUPD3 +// SFDITEM_FIELD__PC_PUPD_PUPD2 +// SFDITEM_FIELD__PC_PUPD_PUPD1 +// SFDITEM_FIELD__PC_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PC_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PC_INDR __AT (0x30000214); + + + +// ------------------------------- Field Item: PC_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PC_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000214) Port n Input Data 15 +// +// ( (unsigned int) PC_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PC_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PC_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000214) Port n Input Data 14 +// +// ( (unsigned int) PC_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PC_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PC_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000214) Port n Input Data 13 +// +// ( (unsigned int) PC_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PC_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PC_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000214) Port n Input Data 12 +// +// ( (unsigned int) PC_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PC_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PC_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000214) Port n Input Data 11 +// +// ( (unsigned int) PC_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PC_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PC_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000214) Port n Input Data 10 +// +// ( (unsigned int) PC_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PC_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000214) Port n Input Data 9 +// +// ( (unsigned int) PC_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PC_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000214) Port n Input Data 8 +// +// ( (unsigned int) PC_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PC_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000214) Port n Input Data 7 +// +// ( (unsigned int) PC_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PC_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000214) Port n Input Data 6 +// +// ( (unsigned int) PC_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PC_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000214) Port n Input Data 5 +// +// ( (unsigned int) PC_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PC_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000214) Port n Input Data 4 +// +// ( (unsigned int) PC_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PC_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000214) Port n Input Data 3 +// +// ( (unsigned int) PC_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PC_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000214) Port n Input Data 2 +// +// ( (unsigned int) PC_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PC_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000214) Port n Input Data 1 +// +// ( (unsigned int) PC_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PC_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PC_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000214) Port n Input Data 0 +// +// ( (unsigned int) PC_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PC_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PC_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000214) Port n Input Data Register +// ( (unsigned int)((PC_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PC_INDR_INDR15 +// SFDITEM_FIELD__PC_INDR_INDR14 +// SFDITEM_FIELD__PC_INDR_INDR13 +// SFDITEM_FIELD__PC_INDR_INDR12 +// SFDITEM_FIELD__PC_INDR_INDR11 +// SFDITEM_FIELD__PC_INDR_INDR10 +// SFDITEM_FIELD__PC_INDR_INDR9 +// SFDITEM_FIELD__PC_INDR_INDR8 +// SFDITEM_FIELD__PC_INDR_INDR7 +// SFDITEM_FIELD__PC_INDR_INDR6 +// SFDITEM_FIELD__PC_INDR_INDR5 +// SFDITEM_FIELD__PC_INDR_INDR4 +// SFDITEM_FIELD__PC_INDR_INDR3 +// SFDITEM_FIELD__PC_INDR_INDR2 +// SFDITEM_FIELD__PC_INDR_INDR1 +// SFDITEM_FIELD__PC_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PC_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PC_OUTDR __AT (0x30000218); + + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000218) Port n Output Data 15 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000218) Port n Output Data 14 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000218) Port n Output Data 13 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000218) Port n Output Data 12 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000218) Port n Output Data 11 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PC_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000218) Port n Output Data 10 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000218) Port n Output Data 9 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000218) Port n Output Data 8 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000218) Port n Output Data 7 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000218) Port n Output Data 6 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000218) Port n Output Data 5 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000218) Port n Output Data 4 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000218) Port n Output Data 3 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000218) Port n Output Data 2 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000218) Port n Output Data 1 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PC_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PC_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000218) Port n Output Data 0 +// +// ( (unsigned int) PC_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PC_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PC_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000218) Port n Output Data Register +// ( (unsigned int)((PC_OUTDR >> 0) & 0xFFFFFFFF), ((PC_OUTDR = (PC_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_OUTDR_OUTDR15 +// SFDITEM_FIELD__PC_OUTDR_OUTDR14 +// SFDITEM_FIELD__PC_OUTDR_OUTDR13 +// SFDITEM_FIELD__PC_OUTDR_OUTDR12 +// SFDITEM_FIELD__PC_OUTDR_OUTDR11 +// SFDITEM_FIELD__PC_OUTDR_OUTDR10 +// SFDITEM_FIELD__PC_OUTDR_OUTDR9 +// SFDITEM_FIELD__PC_OUTDR_OUTDR8 +// SFDITEM_FIELD__PC_OUTDR_OUTDR7 +// SFDITEM_FIELD__PC_OUTDR_OUTDR6 +// SFDITEM_FIELD__PC_OUTDR_OUTDR5 +// SFDITEM_FIELD__PC_OUTDR_OUTDR4 +// SFDITEM_FIELD__PC_OUTDR_OUTDR3 +// SFDITEM_FIELD__PC_OUTDR_OUTDR2 +// SFDITEM_FIELD__PC_OUTDR_OUTDR1 +// SFDITEM_FIELD__PC_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PC_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PC_BSR __AT (0x3000021C); + + + +// -------------------------------- Field Item: PC_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PC_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000021C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PC_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000021C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PC_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000021C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PC_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000021C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PC_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000021C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PC_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000021C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PC_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000021C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PC_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000021C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PC_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000021C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PC_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000021C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PC_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000021C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PC_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000021C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PC_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000021C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PC_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000021C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PC_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000021C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PC_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000021C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PC_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PC_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000021C) Port n Output Bit Set Register +// ( (unsigned int)((PC_BSR >> 0) & 0xFFFFFFFF), ((PC_BSR = (PC_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_BSR_BSR15 +// SFDITEM_FIELD__PC_BSR_BSR14 +// SFDITEM_FIELD__PC_BSR_BSR13 +// SFDITEM_FIELD__PC_BSR_BSR12 +// SFDITEM_FIELD__PC_BSR_BSR11 +// SFDITEM_FIELD__PC_BSR_BSR10 +// SFDITEM_FIELD__PC_BSR_BSR9 +// SFDITEM_FIELD__PC_BSR_BSR8 +// SFDITEM_FIELD__PC_BSR_BSR7 +// SFDITEM_FIELD__PC_BSR_BSR6 +// SFDITEM_FIELD__PC_BSR_BSR5 +// SFDITEM_FIELD__PC_BSR_BSR4 +// SFDITEM_FIELD__PC_BSR_BSR3 +// SFDITEM_FIELD__PC_BSR_BSR2 +// SFDITEM_FIELD__PC_BSR_BSR1 +// SFDITEM_FIELD__PC_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PC_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PC_BCR __AT (0x30000220); + + + +// -------------------------------- Field Item: PC_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PC_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000220) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PC_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000220) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PC_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000220) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PC_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000220) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PC_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000220) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PC_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PC_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000220) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PC_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000220) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PC_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000220) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PC_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000220) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PC_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000220) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PC_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000220) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PC_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000220) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PC_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000220) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PC_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000220) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PC_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000220) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PC_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PC_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000220) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PC_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PC_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PC_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000220) Port n Output Bit Clear Register +// ( (unsigned int)((PC_BCR >> 0) & 0xFFFFFFFF), ((PC_BCR = (PC_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_BCR_BCR15 +// SFDITEM_FIELD__PC_BCR_BCR14 +// SFDITEM_FIELD__PC_BCR_BCR13 +// SFDITEM_FIELD__PC_BCR_BCR12 +// SFDITEM_FIELD__PC_BCR_BCR11 +// SFDITEM_FIELD__PC_BCR_BCR10 +// SFDITEM_FIELD__PC_BCR_BCR9 +// SFDITEM_FIELD__PC_BCR_BCR8 +// SFDITEM_FIELD__PC_BCR_BCR7 +// SFDITEM_FIELD__PC_BCR_BCR6 +// SFDITEM_FIELD__PC_BCR_BCR5 +// SFDITEM_FIELD__PC_BCR_BCR4 +// SFDITEM_FIELD__PC_BCR_BCR3 +// SFDITEM_FIELD__PC_BCR_BCR2 +// SFDITEM_FIELD__PC_BCR_BCR1 +// SFDITEM_FIELD__PC_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PC_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PC_OUTDMSK __AT (0x30000224); + + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000224) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000224) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000224) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000224) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000224) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PC_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000224) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000224) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000224) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000224) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000224) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000224) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000224) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000224) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000224) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000224) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PC_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000224) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PC_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PC_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PC_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000224) Port n Output Data Mask Register +// ( (unsigned int)((PC_OUTDMSK >> 0) & 0xFFFFFFFF), ((PC_OUTDMSK = (PC_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PC_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PC_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PC_DBCR __AT (0x30000228); + + + +// -------------------------------- Field Item: PC_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PC_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000228) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PC_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PC_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PC_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000228) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PC_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PC_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000228) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PC_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000228) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PC_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000228) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PC_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000228) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PC_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000228) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PC_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000228) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PC_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000228) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PC_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000228) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PC_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000228) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PC_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000228) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PC_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PC_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000228) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PC_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PC_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PC_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000228) Port n Debounce Control Register +// ( (unsigned int)((PC_DBCR >> 0) & 0xFFFFFFFF), ((PC_DBCR = (PC_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_DBCR_DBCLK +// SFDITEM_FIELD__PC_DBCR_DBEN11 +// SFDITEM_FIELD__PC_DBCR_DBEN10 +// SFDITEM_FIELD__PC_DBCR_DBEN9 +// SFDITEM_FIELD__PC_DBCR_DBEN8 +// SFDITEM_FIELD__PC_DBCR_DBEN7 +// SFDITEM_FIELD__PC_DBCR_DBEN6 +// SFDITEM_FIELD__PC_DBCR_DBEN5 +// SFDITEM_FIELD__PC_DBCR_DBEN4 +// SFDITEM_FIELD__PC_DBCR_DBEN3 +// SFDITEM_FIELD__PC_DBCR_DBEN2 +// SFDITEM_FIELD__PC_DBCR_DBEN1 +// SFDITEM_FIELD__PC_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_MOD -------------------------------- +// SVD Line: 11224 + +unsigned int PC_PC_MOD __AT (0x30000200); + + + +// ------------------------------ Field Item: PC_PC_MOD_MODE12 ---------------------------------- +// SVD Line: 11234 + +// SFDITEM_FIELD__PC_PC_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000200) Port n Mode Selection 12 +// +// ( (unsigned char)((PC_PC_MOD >> 24) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_MOD_MODE11 ---------------------------------- +// SVD Line: 11240 + +// SFDITEM_FIELD__PC_PC_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000200) Port n Mode Selection 11 +// +// ( (unsigned char)((PC_PC_MOD >> 22) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_MOD_MODE10 ---------------------------------- +// SVD Line: 11246 + +// SFDITEM_FIELD__PC_PC_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000200) Port n Mode Selection 10 +// +// ( (unsigned char)((PC_PC_MOD >> 20) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE9 ---------------------------------- +// SVD Line: 11252 + +// SFDITEM_FIELD__PC_PC_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000200) Port n Mode Selection 9 +// +// ( (unsigned char)((PC_PC_MOD >> 18) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE8 ---------------------------------- +// SVD Line: 11258 + +// SFDITEM_FIELD__PC_PC_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000200) Port n Mode Selection 8 +// +// ( (unsigned char)((PC_PC_MOD >> 16) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE7 ---------------------------------- +// SVD Line: 11264 + +// SFDITEM_FIELD__PC_PC_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000200) Port n Mode Selection 7 +// +// ( (unsigned char)((PC_PC_MOD >> 14) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE6 ---------------------------------- +// SVD Line: 11270 + +// SFDITEM_FIELD__PC_PC_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000200) Port n Mode Selection 6 +// +// ( (unsigned char)((PC_PC_MOD >> 12) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE5 ---------------------------------- +// SVD Line: 11276 + +// SFDITEM_FIELD__PC_PC_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000200) Port n Mode Selection 5 +// +// ( (unsigned char)((PC_PC_MOD >> 10) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE4 ---------------------------------- +// SVD Line: 11282 + +// SFDITEM_FIELD__PC_PC_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000200) Port n Mode Selection 4 +// +// ( (unsigned char)((PC_PC_MOD >> 8) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE3 ---------------------------------- +// SVD Line: 11288 + +// SFDITEM_FIELD__PC_PC_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000200) Port n Mode Selection 3 +// +// ( (unsigned char)((PC_PC_MOD >> 6) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE2 ---------------------------------- +// SVD Line: 11294 + +// SFDITEM_FIELD__PC_PC_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000200) Port n Mode Selection 2 +// +// ( (unsigned char)((PC_PC_MOD >> 4) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE1 ---------------------------------- +// SVD Line: 11300 + +// SFDITEM_FIELD__PC_PC_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000200) Port n Mode Selection 1 +// +// ( (unsigned char)((PC_PC_MOD >> 2) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PC_PC_MOD_MODE0 ---------------------------------- +// SVD Line: 11306 + +// SFDITEM_FIELD__PC_PC_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000200) Port n Mode Selection 0 +// +// ( (unsigned char)((PC_PC_MOD >> 0) & 0x3), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PC_PC_MOD ----------------------------------- +// SVD Line: 11224 + +// SFDITEM_REG__PC_PC_MOD +// PC_MOD +// +// [Bits 31..0] RW (@ 0x30000200) Port n Mode Register +// ( (unsigned int)((PC_PC_MOD >> 0) & 0xFFFFFFFF), ((PC_PC_MOD = (PC_PC_MOD & ~(0x3FFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_MOD_MODE12 +// SFDITEM_FIELD__PC_PC_MOD_MODE11 +// SFDITEM_FIELD__PC_PC_MOD_MODE10 +// SFDITEM_FIELD__PC_PC_MOD_MODE9 +// SFDITEM_FIELD__PC_PC_MOD_MODE8 +// SFDITEM_FIELD__PC_PC_MOD_MODE7 +// SFDITEM_FIELD__PC_PC_MOD_MODE6 +// SFDITEM_FIELD__PC_PC_MOD_MODE5 +// SFDITEM_FIELD__PC_PC_MOD_MODE4 +// SFDITEM_FIELD__PC_PC_MOD_MODE3 +// SFDITEM_FIELD__PC_PC_MOD_MODE2 +// SFDITEM_FIELD__PC_PC_MOD_MODE1 +// SFDITEM_FIELD__PC_PC_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_TYP -------------------------------- +// SVD Line: 11314 + +unsigned int PC_PC_TYP __AT (0x30000204); + + + +// ------------------------------- Field Item: PC_PC_TYP_TYP12 ---------------------------------- +// SVD Line: 11324 + +// SFDITEM_FIELD__PC_PC_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000204) Port n Output Type Selection 12 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP12 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP11 ---------------------------------- +// SVD Line: 11330 + +// SFDITEM_FIELD__PC_PC_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000204) Port n Output Type Selection 11 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP11 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP10 ---------------------------------- +// SVD Line: 11336 + +// SFDITEM_FIELD__PC_PC_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000204) Port n Output Type Selection 10 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP10 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP9 ----------------------------------- +// SVD Line: 11342 + +// SFDITEM_FIELD__PC_PC_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000204) Port n Output Type Selection 9 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP9 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP8 ----------------------------------- +// SVD Line: 11348 + +// SFDITEM_FIELD__PC_PC_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000204) Port n Output Type Selection 8 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP8 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP7 ----------------------------------- +// SVD Line: 11354 + +// SFDITEM_FIELD__PC_PC_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000204) Port n Output Type Selection 7 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP6 ----------------------------------- +// SVD Line: 11360 + +// SFDITEM_FIELD__PC_PC_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000204) Port n Output Type Selection 6 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP5 ----------------------------------- +// SVD Line: 11366 + +// SFDITEM_FIELD__PC_PC_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000204) Port n Output Type Selection 5 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP4 ----------------------------------- +// SVD Line: 11372 + +// SFDITEM_FIELD__PC_PC_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000204) Port n Output Type Selection 4 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP3 ----------------------------------- +// SVD Line: 11378 + +// SFDITEM_FIELD__PC_PC_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000204) Port n Output Type Selection 3 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP2 ----------------------------------- +// SVD Line: 11384 + +// SFDITEM_FIELD__PC_PC_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000204) Port n Output Type Selection 2 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP1 ----------------------------------- +// SVD Line: 11390 + +// SFDITEM_FIELD__PC_PC_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000204) Port n Output Type Selection 1 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PC_PC_TYP_TYP0 ----------------------------------- +// SVD Line: 11396 + +// SFDITEM_FIELD__PC_PC_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000204) Port n Output Type Selection 0 +// +// ( (unsigned int) PC_PC_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PC_PC_TYP ----------------------------------- +// SVD Line: 11314 + +// SFDITEM_REG__PC_PC_TYP +// PC_TYP +// +// [Bits 31..0] RW (@ 0x30000204) Port n Output Type Selection Register +// ( (unsigned int)((PC_PC_TYP >> 0) & 0xFFFFFFFF), ((PC_PC_TYP = (PC_PC_TYP & ~(0x1FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_TYP_TYP12 +// SFDITEM_FIELD__PC_PC_TYP_TYP11 +// SFDITEM_FIELD__PC_PC_TYP_TYP10 +// SFDITEM_FIELD__PC_PC_TYP_TYP9 +// SFDITEM_FIELD__PC_PC_TYP_TYP8 +// SFDITEM_FIELD__PC_PC_TYP_TYP7 +// SFDITEM_FIELD__PC_PC_TYP_TYP6 +// SFDITEM_FIELD__PC_PC_TYP_TYP5 +// SFDITEM_FIELD__PC_PC_TYP_TYP4 +// SFDITEM_FIELD__PC_PC_TYP_TYP3 +// SFDITEM_FIELD__PC_PC_TYP_TYP2 +// SFDITEM_FIELD__PC_PC_TYP_TYP1 +// SFDITEM_FIELD__PC_PC_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PC_PC_AFSR1 ------------------------------- +// SVD Line: 11404 + +unsigned int PC_PC_AFSR1 __AT (0x30000208); + + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR7 --------------------------------- +// SVD Line: 11414 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000208) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 28) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR6 --------------------------------- +// SVD Line: 11420 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000208) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 24) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR5 --------------------------------- +// SVD Line: 11426 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000208) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 20) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR4 --------------------------------- +// SVD Line: 11432 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000208) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 16) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR3 --------------------------------- +// SVD Line: 11438 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000208) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 12) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR2 --------------------------------- +// SVD Line: 11444 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000208) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 8) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR1 --------------------------------- +// SVD Line: 11450 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000208) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 4) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR1_AFSR0 --------------------------------- +// SVD Line: 11456 + +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000208) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PC_PC_AFSR1 >> 0) & 0xF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PC_PC_AFSR1 ---------------------------------- +// SVD Line: 11404 + +// SFDITEM_REG__PC_PC_AFSR1 +// PC_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000208) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PC_PC_AFSR1 >> 0) & 0xFFFFFFFF), ((PC_PC_AFSR1 = (PC_PC_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR7 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR6 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR5 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR4 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR3 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR2 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR1 +// SFDITEM_FIELD__PC_PC_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PC_PC_AFSR2 ------------------------------- +// SVD Line: 11464 + +unsigned int PC_PC_AFSR2 __AT (0x3000020C); + + + +// ----------------------------- Field Item: PC_PC_AFSR2_AFSR12 --------------------------------- +// SVD Line: 11474 + +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000020C) Port n Alternative Function Selection 12 +// +// ( (unsigned char)((PC_PC_AFSR2 >> 16) & 0xF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PC_PC_AFSR2_AFSR11 --------------------------------- +// SVD Line: 11480 + +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000020C) Port n Alternative Function Selection 11 +// +// ( (unsigned char)((PC_PC_AFSR2 >> 12) & 0xF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PC_PC_AFSR2_AFSR10 --------------------------------- +// SVD Line: 11486 + +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000020C) Port n Alternative Function Selection 10 +// +// ( (unsigned char)((PC_PC_AFSR2 >> 8) & 0xF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR2_AFSR9 --------------------------------- +// SVD Line: 11492 + +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000020C) Port n Alternative Function Selection 9 +// +// ( (unsigned char)((PC_PC_AFSR2 >> 4) & 0xF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_AFSR2_AFSR8 --------------------------------- +// SVD Line: 11498 + +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000020C) Port n Alternative Function Selection 8 +// +// ( (unsigned char)((PC_PC_AFSR2 >> 0) & 0xF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PC_PC_AFSR2 ---------------------------------- +// SVD Line: 11464 + +// SFDITEM_REG__PC_PC_AFSR2 +// PC_AFSR2 +// +// [Bits 31..0] RW (@ 0x3000020C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PC_PC_AFSR2 >> 0) & 0xFFFFFFFF), ((PC_PC_AFSR2 = (PC_PC_AFSR2 & ~(0xFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR12 +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR11 +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR10 +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR9 +// SFDITEM_FIELD__PC_PC_AFSR2_AFSR8 +// +// + + +// ---------------------------- Register Item Address: PC_PC_PUPD ------------------------------- +// SVD Line: 11506 + +unsigned int PC_PC_PUPD __AT (0x30000210); + + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD12 --------------------------------- +// SVD Line: 11516 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 12 +// +// ( (unsigned char)((PC_PC_PUPD >> 24) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD11 --------------------------------- +// SVD Line: 11522 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 11 +// +// ( (unsigned char)((PC_PC_PUPD >> 22) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD10 --------------------------------- +// SVD Line: 11528 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 10 +// +// ( (unsigned char)((PC_PC_PUPD >> 20) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD9 ---------------------------------- +// SVD Line: 11534 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 9 +// +// ( (unsigned char)((PC_PC_PUPD >> 18) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD8 ---------------------------------- +// SVD Line: 11540 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 8 +// +// ( (unsigned char)((PC_PC_PUPD >> 16) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD7 ---------------------------------- +// SVD Line: 11546 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PC_PC_PUPD >> 14) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD6 ---------------------------------- +// SVD Line: 11552 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PC_PC_PUPD >> 12) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD5 ---------------------------------- +// SVD Line: 11558 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PC_PC_PUPD >> 10) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD4 ---------------------------------- +// SVD Line: 11564 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PC_PC_PUPD >> 8) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD3 ---------------------------------- +// SVD Line: 11570 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PC_PC_PUPD >> 6) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD2 ---------------------------------- +// SVD Line: 11576 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PC_PC_PUPD >> 4) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD1 ---------------------------------- +// SVD Line: 11582 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PC_PC_PUPD >> 2) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_PUPD_PUPD0 ---------------------------------- +// SVD Line: 11588 + +// SFDITEM_FIELD__PC_PC_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PC_PC_PUPD >> 0) & 0x3), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PC_PC_PUPD ----------------------------------- +// SVD Line: 11506 + +// SFDITEM_REG__PC_PC_PUPD +// PC_PUPD +// +// [Bits 31..0] RW (@ 0x30000210) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PC_PC_PUPD >> 0) & 0xFFFFFFFF), ((PC_PC_PUPD = (PC_PC_PUPD & ~(0x3FFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_PUPD_PUPD12 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD11 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD10 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD9 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD8 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD7 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD6 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD5 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD4 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD3 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD2 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD1 +// SFDITEM_FIELD__PC_PC_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_INDR ------------------------------- +// SVD Line: 11596 + +unsigned int PC_PC_INDR __AT (0x30000214); + + + +// ------------------------------ Field Item: PC_PC_INDR_INDR12 --------------------------------- +// SVD Line: 11606 + +// SFDITEM_FIELD__PC_PC_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000214) Port n Input Data 12 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR12 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR11 --------------------------------- +// SVD Line: 11612 + +// SFDITEM_FIELD__PC_PC_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000214) Port n Input Data 11 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR11 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR10 --------------------------------- +// SVD Line: 11618 + +// SFDITEM_FIELD__PC_PC_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000214) Port n Input Data 10 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR10 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR9 ---------------------------------- +// SVD Line: 11624 + +// SFDITEM_FIELD__PC_PC_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000214) Port n Input Data 9 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR9 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR8 ---------------------------------- +// SVD Line: 11630 + +// SFDITEM_FIELD__PC_PC_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000214) Port n Input Data 8 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR8 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR7 ---------------------------------- +// SVD Line: 11636 + +// SFDITEM_FIELD__PC_PC_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000214) Port n Input Data 7 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR6 ---------------------------------- +// SVD Line: 11642 + +// SFDITEM_FIELD__PC_PC_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000214) Port n Input Data 6 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR5 ---------------------------------- +// SVD Line: 11648 + +// SFDITEM_FIELD__PC_PC_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000214) Port n Input Data 5 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR4 ---------------------------------- +// SVD Line: 11654 + +// SFDITEM_FIELD__PC_PC_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000214) Port n Input Data 4 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR3 ---------------------------------- +// SVD Line: 11660 + +// SFDITEM_FIELD__PC_PC_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000214) Port n Input Data 3 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR2 ---------------------------------- +// SVD Line: 11666 + +// SFDITEM_FIELD__PC_PC_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000214) Port n Input Data 2 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR1 ---------------------------------- +// SVD Line: 11672 + +// SFDITEM_FIELD__PC_PC_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000214) Port n Input Data 1 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PC_PC_INDR_INDR0 ---------------------------------- +// SVD Line: 11678 + +// SFDITEM_FIELD__PC_PC_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000214) Port n Input Data 0 +// +// ( (unsigned int) PC_PC_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PC_PC_INDR ----------------------------------- +// SVD Line: 11596 + +// SFDITEM_REG__PC_PC_INDR +// PC_INDR +// +// [Bits 31..0] RO (@ 0x30000214) Port n Input Data Register +// ( (unsigned int)((PC_PC_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PC_PC_INDR_INDR12 +// SFDITEM_FIELD__PC_PC_INDR_INDR11 +// SFDITEM_FIELD__PC_PC_INDR_INDR10 +// SFDITEM_FIELD__PC_PC_INDR_INDR9 +// SFDITEM_FIELD__PC_PC_INDR_INDR8 +// SFDITEM_FIELD__PC_PC_INDR_INDR7 +// SFDITEM_FIELD__PC_PC_INDR_INDR6 +// SFDITEM_FIELD__PC_PC_INDR_INDR5 +// SFDITEM_FIELD__PC_PC_INDR_INDR4 +// SFDITEM_FIELD__PC_PC_INDR_INDR3 +// SFDITEM_FIELD__PC_PC_INDR_INDR2 +// SFDITEM_FIELD__PC_PC_INDR_INDR1 +// SFDITEM_FIELD__PC_PC_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PC_PC_OUTDR ------------------------------- +// SVD Line: 11686 + +unsigned int PC_PC_OUTDR __AT (0x30000218); + + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR12 -------------------------------- +// SVD Line: 11696 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000218) Port n Output Data 12 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR12 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR11 -------------------------------- +// SVD Line: 11702 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000218) Port n Output Data 11 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR11 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR10 -------------------------------- +// SVD Line: 11708 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000218) Port n Output Data 10 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR10 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR9 --------------------------------- +// SVD Line: 11714 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000218) Port n Output Data 9 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR9 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR8 --------------------------------- +// SVD Line: 11720 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000218) Port n Output Data 8 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR8 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 11726 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000218) Port n Output Data 7 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 11732 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000218) Port n Output Data 6 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 11738 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000218) Port n Output Data 5 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 11744 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000218) Port n Output Data 4 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 11750 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000218) Port n Output Data 3 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 11756 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000218) Port n Output Data 2 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 11762 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000218) Port n Output Data 1 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PC_PC_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 11768 + +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000218) Port n Output Data 0 +// +// ( (unsigned int) PC_PC_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PC_PC_OUTDR ---------------------------------- +// SVD Line: 11686 + +// SFDITEM_REG__PC_PC_OUTDR +// PC_OUTDR +// +// [Bits 31..0] RW (@ 0x30000218) Port n Output Data Register +// ( (unsigned int)((PC_PC_OUTDR >> 0) & 0xFFFFFFFF), ((PC_PC_OUTDR = (PC_PC_OUTDR & ~(0x1FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR12 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR11 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR10 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR9 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR8 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR7 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR6 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR5 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR4 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR3 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR2 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR1 +// SFDITEM_FIELD__PC_PC_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_BSR -------------------------------- +// SVD Line: 11776 + +unsigned int PC_PC_BSR __AT (0x3000021C); + + + +// ------------------------------- Field Item: PC_PC_BSR_BSR12 ---------------------------------- +// SVD Line: 11786 + +// SFDITEM_FIELD__PC_PC_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000021C) Port n Output Bit Set 12 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR12 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR11 ---------------------------------- +// SVD Line: 11792 + +// SFDITEM_FIELD__PC_PC_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000021C) Port n Output Bit Set 11 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR11 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR10 ---------------------------------- +// SVD Line: 11798 + +// SFDITEM_FIELD__PC_PC_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000021C) Port n Output Bit Set 10 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR10 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR9 ----------------------------------- +// SVD Line: 11804 + +// SFDITEM_FIELD__PC_PC_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000021C) Port n Output Bit Set 9 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR9 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR8 ----------------------------------- +// SVD Line: 11810 + +// SFDITEM_FIELD__PC_PC_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000021C) Port n Output Bit Set 8 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR8 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR7 ----------------------------------- +// SVD Line: 11816 + +// SFDITEM_FIELD__PC_PC_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000021C) Port n Output Bit Set 7 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR6 ----------------------------------- +// SVD Line: 11822 + +// SFDITEM_FIELD__PC_PC_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000021C) Port n Output Bit Set 6 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR5 ----------------------------------- +// SVD Line: 11828 + +// SFDITEM_FIELD__PC_PC_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000021C) Port n Output Bit Set 5 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR4 ----------------------------------- +// SVD Line: 11834 + +// SFDITEM_FIELD__PC_PC_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000021C) Port n Output Bit Set 4 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR3 ----------------------------------- +// SVD Line: 11840 + +// SFDITEM_FIELD__PC_PC_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000021C) Port n Output Bit Set 3 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR2 ----------------------------------- +// SVD Line: 11846 + +// SFDITEM_FIELD__PC_PC_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000021C) Port n Output Bit Set 2 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR1 ----------------------------------- +// SVD Line: 11852 + +// SFDITEM_FIELD__PC_PC_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000021C) Port n Output Bit Set 1 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BSR_BSR0 ----------------------------------- +// SVD Line: 11858 + +// SFDITEM_FIELD__PC_PC_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000021C) Port n Output Bit Set 0 +// +// ( (unsigned int) PC_PC_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PC_PC_BSR ----------------------------------- +// SVD Line: 11776 + +// SFDITEM_REG__PC_PC_BSR +// PC_BSR +// +// [Bits 31..0] WO (@ 0x3000021C) Port n Output Bit Set Register +// ( (unsigned int)((PC_PC_BSR >> 0) & 0xFFFFFFFF), ((PC_PC_BSR = (PC_PC_BSR & ~(0x1FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_BSR_BSR12 +// SFDITEM_FIELD__PC_PC_BSR_BSR11 +// SFDITEM_FIELD__PC_PC_BSR_BSR10 +// SFDITEM_FIELD__PC_PC_BSR_BSR9 +// SFDITEM_FIELD__PC_PC_BSR_BSR8 +// SFDITEM_FIELD__PC_PC_BSR_BSR7 +// SFDITEM_FIELD__PC_PC_BSR_BSR6 +// SFDITEM_FIELD__PC_PC_BSR_BSR5 +// SFDITEM_FIELD__PC_PC_BSR_BSR4 +// SFDITEM_FIELD__PC_PC_BSR_BSR3 +// SFDITEM_FIELD__PC_PC_BSR_BSR2 +// SFDITEM_FIELD__PC_PC_BSR_BSR1 +// SFDITEM_FIELD__PC_PC_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_BCR -------------------------------- +// SVD Line: 11866 + +unsigned int PC_PC_BCR __AT (0x30000220); + + + +// ------------------------------- Field Item: PC_PC_BCR_BCR12 ---------------------------------- +// SVD Line: 11876 + +// SFDITEM_FIELD__PC_PC_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000220) Port n Output Bit Clear 12 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR12 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR11 ---------------------------------- +// SVD Line: 11882 + +// SFDITEM_FIELD__PC_PC_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000220) Port n Output Bit Clear 11 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR11 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR10 ---------------------------------- +// SVD Line: 11888 + +// SFDITEM_FIELD__PC_PC_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000220) Port n Output Bit Clear 10 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR10 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR9 ----------------------------------- +// SVD Line: 11894 + +// SFDITEM_FIELD__PC_PC_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000220) Port n Output Bit Clear 9 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR9 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR8 ----------------------------------- +// SVD Line: 11900 + +// SFDITEM_FIELD__PC_PC_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000220) Port n Output Bit Clear 8 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR8 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR7 ----------------------------------- +// SVD Line: 11906 + +// SFDITEM_FIELD__PC_PC_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000220) Port n Output Bit Clear 7 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR6 ----------------------------------- +// SVD Line: 11912 + +// SFDITEM_FIELD__PC_PC_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000220) Port n Output Bit Clear 6 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR5 ----------------------------------- +// SVD Line: 11918 + +// SFDITEM_FIELD__PC_PC_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000220) Port n Output Bit Clear 5 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR4 ----------------------------------- +// SVD Line: 11924 + +// SFDITEM_FIELD__PC_PC_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000220) Port n Output Bit Clear 4 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR3 ----------------------------------- +// SVD Line: 11930 + +// SFDITEM_FIELD__PC_PC_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000220) Port n Output Bit Clear 3 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR2 ----------------------------------- +// SVD Line: 11936 + +// SFDITEM_FIELD__PC_PC_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000220) Port n Output Bit Clear 2 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR1 ----------------------------------- +// SVD Line: 11942 + +// SFDITEM_FIELD__PC_PC_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000220) Port n Output Bit Clear 1 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PC_PC_BCR_BCR0 ----------------------------------- +// SVD Line: 11948 + +// SFDITEM_FIELD__PC_PC_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000220) Port n Output Bit Clear 0 +// +// ( (unsigned int) PC_PC_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PC_PC_BCR ----------------------------------- +// SVD Line: 11866 + +// SFDITEM_REG__PC_PC_BCR +// PC_BCR +// +// [Bits 31..0] WO (@ 0x30000220) Port n Output Bit Clear Register +// ( (unsigned int)((PC_PC_BCR >> 0) & 0xFFFFFFFF), ((PC_PC_BCR = (PC_PC_BCR & ~(0x1FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_BCR_BCR12 +// SFDITEM_FIELD__PC_PC_BCR_BCR11 +// SFDITEM_FIELD__PC_PC_BCR_BCR10 +// SFDITEM_FIELD__PC_PC_BCR_BCR9 +// SFDITEM_FIELD__PC_PC_BCR_BCR8 +// SFDITEM_FIELD__PC_PC_BCR_BCR7 +// SFDITEM_FIELD__PC_PC_BCR_BCR6 +// SFDITEM_FIELD__PC_PC_BCR_BCR5 +// SFDITEM_FIELD__PC_PC_BCR_BCR4 +// SFDITEM_FIELD__PC_PC_BCR_BCR3 +// SFDITEM_FIELD__PC_PC_BCR_BCR2 +// SFDITEM_FIELD__PC_PC_BCR_BCR1 +// SFDITEM_FIELD__PC_PC_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PC_PC_OUTDMSK ------------------------------ +// SVD Line: 11956 + +unsigned int PC_PC_OUTDMSK __AT (0x30000224); + + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK12 ------------------------------ +// SVD Line: 11966 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000224) Port n Output Data Mask 12 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK12 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK11 ------------------------------ +// SVD Line: 11972 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000224) Port n Output Data Mask 11 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK11 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK10 ------------------------------ +// SVD Line: 11978 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000224) Port n Output Data Mask 10 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK10 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK9 ------------------------------- +// SVD Line: 11984 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000224) Port n Output Data Mask 9 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK9 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK8 ------------------------------- +// SVD Line: 11990 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000224) Port n Output Data Mask 8 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK8 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 11996 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000224) Port n Output Data Mask 7 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 12002 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000224) Port n Output Data Mask 6 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 12008 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000224) Port n Output Data Mask 5 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 12014 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000224) Port n Output Data Mask 4 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 12020 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000224) Port n Output Data Mask 3 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 12026 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000224) Port n Output Data Mask 2 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 12032 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000224) Port n Output Data Mask 1 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PC_PC_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 12038 + +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000224) Port n Output Data Mask 0 +// +// ( (unsigned int) PC_PC_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PC_PC_OUTDMSK --------------------------------- +// SVD Line: 11956 + +// SFDITEM_REG__PC_PC_OUTDMSK +// PC_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000224) Port n Output Data Mask Register +// ( (unsigned int)((PC_PC_OUTDMSK >> 0) & 0xFFFFFFFF), ((PC_PC_OUTDMSK = (PC_PC_OUTDMSK & ~(0x1FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1FFF) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PC_PC_OUTDMSK_OUTDMSK0 +// +// + + +// ---------------------------- Register Item Address: PC_PC_DBCR ------------------------------- +// SVD Line: 12046 + +unsigned int PC_PC_DBCR __AT (0x30000228); + + + +// ------------------------------ Field Item: PC_PC_DBCR_DBCLK ---------------------------------- +// SVD Line: 12056 + +// SFDITEM_FIELD__PC_PC_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000228) Port n Debounce Filter Sampling Clock Selection +// +// ( (unsigned char)((PC_PC_DBCR >> 16) & 0x7), ((PC_PC_DBCR = (PC_PC_DBCR & ~(0x7UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PC_PC_DBCR_DBEN3 ---------------------------------- +// SVD Line: 12062 + +// SFDITEM_FIELD__PC_PC_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000228) Port n Debounce Enable 3 +// +// ( (unsigned int) PC_PC_DBCR ) +// DBEN3 +// +// +// + + +// ------------------------------ Field Item: PC_PC_DBCR_DBEN2 ---------------------------------- +// SVD Line: 12068 + +// SFDITEM_FIELD__PC_PC_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000228) Port n Debounce Enable 2 +// +// ( (unsigned int) PC_PC_DBCR ) +// DBEN2 +// +// +// + + +// ------------------------------ Field Item: PC_PC_DBCR_DBEN1 ---------------------------------- +// SVD Line: 12074 + +// SFDITEM_FIELD__PC_PC_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000228) Port n Debounce Enable 1 +// +// ( (unsigned int) PC_PC_DBCR ) +// DBEN1 +// +// +// + + +// ------------------------------ Field Item: PC_PC_DBCR_DBEN0 ---------------------------------- +// SVD Line: 12080 + +// SFDITEM_FIELD__PC_PC_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000228) Port n Debounce Enable 0 +// +// ( (unsigned int) PC_PC_DBCR ) +// DBEN0 +// +// +// + + +// ------------------------------- Register RTree: PC_PC_DBCR ----------------------------------- +// SVD Line: 12046 + +// SFDITEM_REG__PC_PC_DBCR +// PC_DBCR +// +// [Bits 31..0] RW (@ 0x30000228) Port n Debounce Control Register +// ( (unsigned int)((PC_PC_DBCR >> 0) & 0xFFFFFFFF), ((PC_PC_DBCR = (PC_PC_DBCR & ~(0x7000FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7000F) << 0 ) ) )) +// SFDITEM_FIELD__PC_PC_DBCR_DBCLK +// SFDITEM_FIELD__PC_PC_DBCR_DBEN3 +// SFDITEM_FIELD__PC_PC_DBCR_DBEN2 +// SFDITEM_FIELD__PC_PC_DBCR_DBEN1 +// SFDITEM_FIELD__PC_PC_DBCR_DBEN0 +// +// + + +// ----------------------------------- Peripheral View: PC -------------------------------------- +// SVD Line: 11210 + +// PC +// PC +// SFDITEM_REG__PC_MOD +// SFDITEM_REG__PC_TYP +// SFDITEM_REG__PC_AFSR1 +// SFDITEM_REG__PC_AFSR2 +// SFDITEM_REG__PC_PUPD +// SFDITEM_REG__PC_INDR +// SFDITEM_REG__PC_OUTDR +// SFDITEM_REG__PC_BSR +// SFDITEM_REG__PC_BCR +// SFDITEM_REG__PC_OUTDMSK +// SFDITEM_REG__PC_DBCR +// SFDITEM_REG__PC_PC_MOD +// SFDITEM_REG__PC_PC_TYP +// SFDITEM_REG__PC_PC_AFSR1 +// SFDITEM_REG__PC_PC_AFSR2 +// SFDITEM_REG__PC_PC_PUPD +// SFDITEM_REG__PC_PC_INDR +// SFDITEM_REG__PC_PC_OUTDR +// SFDITEM_REG__PC_PC_BSR +// SFDITEM_REG__PC_PC_BCR +// SFDITEM_REG__PC_PC_OUTDMSK +// SFDITEM_REG__PC_PC_DBCR +// +// + + +// ------------------------------ Register Item Address: PD_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PD_MOD __AT (0x30000300); + + + +// -------------------------------- Field Item: PD_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PD_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000300) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PD_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000300) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PD_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000300) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PD_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000300) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PD_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000300) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PD_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000300) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PD_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000300) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PD_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000300) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PD_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000300) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PD_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000300) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PD_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000300) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PD_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000300) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PD_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000300) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PD_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000300) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PD_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000300) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PD_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000300) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PD_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PD_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PD_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000300) Port n Mode Register +// ( (unsigned int)((PD_MOD >> 0) & 0xFFFFFFFF), ((PD_MOD = (PD_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_MOD_MODE15 +// SFDITEM_FIELD__PD_MOD_MODE14 +// SFDITEM_FIELD__PD_MOD_MODE13 +// SFDITEM_FIELD__PD_MOD_MODE12 +// SFDITEM_FIELD__PD_MOD_MODE11 +// SFDITEM_FIELD__PD_MOD_MODE10 +// SFDITEM_FIELD__PD_MOD_MODE9 +// SFDITEM_FIELD__PD_MOD_MODE8 +// SFDITEM_FIELD__PD_MOD_MODE7 +// SFDITEM_FIELD__PD_MOD_MODE6 +// SFDITEM_FIELD__PD_MOD_MODE5 +// SFDITEM_FIELD__PD_MOD_MODE4 +// SFDITEM_FIELD__PD_MOD_MODE3 +// SFDITEM_FIELD__PD_MOD_MODE2 +// SFDITEM_FIELD__PD_MOD_MODE1 +// SFDITEM_FIELD__PD_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PD_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PD_TYP __AT (0x30000304); + + + +// -------------------------------- Field Item: PD_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PD_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000304) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PD_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PD_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000304) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PD_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PD_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000304) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PD_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PD_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000304) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PD_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PD_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000304) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PD_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PD_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000304) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PD_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000304) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PD_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000304) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PD_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000304) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PD_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000304) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PD_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000304) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PD_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000304) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PD_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000304) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PD_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000304) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PD_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000304) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PD_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PD_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000304) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PD_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PD_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PD_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000304) Port n Output Type Selection Register +// ( (unsigned int)((PD_TYP >> 0) & 0xFFFFFFFF), ((PD_TYP = (PD_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_TYP_TYP15 +// SFDITEM_FIELD__PD_TYP_TYP14 +// SFDITEM_FIELD__PD_TYP_TYP13 +// SFDITEM_FIELD__PD_TYP_TYP12 +// SFDITEM_FIELD__PD_TYP_TYP11 +// SFDITEM_FIELD__PD_TYP_TYP10 +// SFDITEM_FIELD__PD_TYP_TYP9 +// SFDITEM_FIELD__PD_TYP_TYP8 +// SFDITEM_FIELD__PD_TYP_TYP7 +// SFDITEM_FIELD__PD_TYP_TYP6 +// SFDITEM_FIELD__PD_TYP_TYP5 +// SFDITEM_FIELD__PD_TYP_TYP4 +// SFDITEM_FIELD__PD_TYP_TYP3 +// SFDITEM_FIELD__PD_TYP_TYP2 +// SFDITEM_FIELD__PD_TYP_TYP1 +// SFDITEM_FIELD__PD_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PD_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PD_AFSR1 __AT (0x30000308); + + + +// ------------------------------- Field Item: PD_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PD_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000308) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PD_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000308) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PD_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000308) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PD_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000308) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PD_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000308) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PD_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000308) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PD_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000308) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PD_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000308) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PD_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PD_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000308) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PD_AFSR1 >> 0) & 0xFFFFFFFF), ((PD_AFSR1 = (PD_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_AFSR1_AFSR7 +// SFDITEM_FIELD__PD_AFSR1_AFSR6 +// SFDITEM_FIELD__PD_AFSR1_AFSR5 +// SFDITEM_FIELD__PD_AFSR1_AFSR4 +// SFDITEM_FIELD__PD_AFSR1_AFSR3 +// SFDITEM_FIELD__PD_AFSR1_AFSR2 +// SFDITEM_FIELD__PD_AFSR1_AFSR1 +// SFDITEM_FIELD__PD_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PD_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PD_AFSR2 __AT (0x3000030C); + + + +// ------------------------------- Field Item: PD_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PD_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000030C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PD_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000030C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PD_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000030C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PD_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000030C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PD_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000030C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PD_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000030C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PD_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000030C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PD_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PD_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000030C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PD_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PD_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PD_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000030C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PD_AFSR2 >> 0) & 0xFFFFFFFF), ((PD_AFSR2 = (PD_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_AFSR2_AFSR15 +// SFDITEM_FIELD__PD_AFSR2_AFSR14 +// SFDITEM_FIELD__PD_AFSR2_AFSR13 +// SFDITEM_FIELD__PD_AFSR2_AFSR12 +// SFDITEM_FIELD__PD_AFSR2_AFSR11 +// SFDITEM_FIELD__PD_AFSR2_AFSR10 +// SFDITEM_FIELD__PD_AFSR2_AFSR9 +// SFDITEM_FIELD__PD_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PD_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PD_PUPD __AT (0x30000310); + + + +// ------------------------------- Field Item: PD_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PD_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PD_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PD_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PD_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PD_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PD_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PD_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PD_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PD_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PD_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PD_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PD_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PD_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PD_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PD_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PD_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PD_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PD_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PD_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PD_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PD_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PD_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000310) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PD_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PD_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PD_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PD_PUPD >> 0) & 0xFFFFFFFF), ((PD_PUPD = (PD_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PUPD_PUPD15 +// SFDITEM_FIELD__PD_PUPD_PUPD14 +// SFDITEM_FIELD__PD_PUPD_PUPD13 +// SFDITEM_FIELD__PD_PUPD_PUPD12 +// SFDITEM_FIELD__PD_PUPD_PUPD11 +// SFDITEM_FIELD__PD_PUPD_PUPD10 +// SFDITEM_FIELD__PD_PUPD_PUPD9 +// SFDITEM_FIELD__PD_PUPD_PUPD8 +// SFDITEM_FIELD__PD_PUPD_PUPD7 +// SFDITEM_FIELD__PD_PUPD_PUPD6 +// SFDITEM_FIELD__PD_PUPD_PUPD5 +// SFDITEM_FIELD__PD_PUPD_PUPD4 +// SFDITEM_FIELD__PD_PUPD_PUPD3 +// SFDITEM_FIELD__PD_PUPD_PUPD2 +// SFDITEM_FIELD__PD_PUPD_PUPD1 +// SFDITEM_FIELD__PD_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PD_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PD_INDR __AT (0x30000314); + + + +// ------------------------------- Field Item: PD_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PD_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000314) Port n Input Data 15 +// +// ( (unsigned int) PD_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PD_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PD_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000314) Port n Input Data 14 +// +// ( (unsigned int) PD_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PD_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PD_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000314) Port n Input Data 13 +// +// ( (unsigned int) PD_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PD_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PD_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000314) Port n Input Data 12 +// +// ( (unsigned int) PD_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PD_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PD_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000314) Port n Input Data 11 +// +// ( (unsigned int) PD_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PD_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PD_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000314) Port n Input Data 10 +// +// ( (unsigned int) PD_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PD_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000314) Port n Input Data 9 +// +// ( (unsigned int) PD_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PD_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000314) Port n Input Data 8 +// +// ( (unsigned int) PD_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PD_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000314) Port n Input Data 7 +// +// ( (unsigned int) PD_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PD_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000314) Port n Input Data 6 +// +// ( (unsigned int) PD_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PD_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000314) Port n Input Data 5 +// +// ( (unsigned int) PD_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PD_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000314) Port n Input Data 4 +// +// ( (unsigned int) PD_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PD_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000314) Port n Input Data 3 +// +// ( (unsigned int) PD_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PD_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000314) Port n Input Data 2 +// +// ( (unsigned int) PD_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PD_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000314) Port n Input Data 1 +// +// ( (unsigned int) PD_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PD_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PD_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000314) Port n Input Data 0 +// +// ( (unsigned int) PD_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PD_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PD_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000314) Port n Input Data Register +// ( (unsigned int)((PD_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PD_INDR_INDR15 +// SFDITEM_FIELD__PD_INDR_INDR14 +// SFDITEM_FIELD__PD_INDR_INDR13 +// SFDITEM_FIELD__PD_INDR_INDR12 +// SFDITEM_FIELD__PD_INDR_INDR11 +// SFDITEM_FIELD__PD_INDR_INDR10 +// SFDITEM_FIELD__PD_INDR_INDR9 +// SFDITEM_FIELD__PD_INDR_INDR8 +// SFDITEM_FIELD__PD_INDR_INDR7 +// SFDITEM_FIELD__PD_INDR_INDR6 +// SFDITEM_FIELD__PD_INDR_INDR5 +// SFDITEM_FIELD__PD_INDR_INDR4 +// SFDITEM_FIELD__PD_INDR_INDR3 +// SFDITEM_FIELD__PD_INDR_INDR2 +// SFDITEM_FIELD__PD_INDR_INDR1 +// SFDITEM_FIELD__PD_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PD_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PD_OUTDR __AT (0x30000318); + + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000318) Port n Output Data 15 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000318) Port n Output Data 14 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000318) Port n Output Data 13 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000318) Port n Output Data 12 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000318) Port n Output Data 11 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PD_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000318) Port n Output Data 10 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000318) Port n Output Data 9 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000318) Port n Output Data 8 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000318) Port n Output Data 7 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000318) Port n Output Data 6 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000318) Port n Output Data 5 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000318) Port n Output Data 4 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000318) Port n Output Data 3 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000318) Port n Output Data 2 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000318) Port n Output Data 1 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PD_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PD_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000318) Port n Output Data 0 +// +// ( (unsigned int) PD_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PD_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PD_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000318) Port n Output Data Register +// ( (unsigned int)((PD_OUTDR >> 0) & 0xFFFFFFFF), ((PD_OUTDR = (PD_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_OUTDR_OUTDR15 +// SFDITEM_FIELD__PD_OUTDR_OUTDR14 +// SFDITEM_FIELD__PD_OUTDR_OUTDR13 +// SFDITEM_FIELD__PD_OUTDR_OUTDR12 +// SFDITEM_FIELD__PD_OUTDR_OUTDR11 +// SFDITEM_FIELD__PD_OUTDR_OUTDR10 +// SFDITEM_FIELD__PD_OUTDR_OUTDR9 +// SFDITEM_FIELD__PD_OUTDR_OUTDR8 +// SFDITEM_FIELD__PD_OUTDR_OUTDR7 +// SFDITEM_FIELD__PD_OUTDR_OUTDR6 +// SFDITEM_FIELD__PD_OUTDR_OUTDR5 +// SFDITEM_FIELD__PD_OUTDR_OUTDR4 +// SFDITEM_FIELD__PD_OUTDR_OUTDR3 +// SFDITEM_FIELD__PD_OUTDR_OUTDR2 +// SFDITEM_FIELD__PD_OUTDR_OUTDR1 +// SFDITEM_FIELD__PD_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PD_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PD_BSR __AT (0x3000031C); + + + +// -------------------------------- Field Item: PD_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PD_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000031C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PD_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000031C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PD_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000031C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PD_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000031C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PD_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000031C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PD_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000031C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PD_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000031C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PD_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000031C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PD_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000031C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PD_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000031C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PD_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000031C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PD_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000031C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PD_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000031C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PD_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000031C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PD_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000031C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PD_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000031C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PD_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PD_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000031C) Port n Output Bit Set Register +// ( (unsigned int)((PD_BSR >> 0) & 0xFFFFFFFF), ((PD_BSR = (PD_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_BSR_BSR15 +// SFDITEM_FIELD__PD_BSR_BSR14 +// SFDITEM_FIELD__PD_BSR_BSR13 +// SFDITEM_FIELD__PD_BSR_BSR12 +// SFDITEM_FIELD__PD_BSR_BSR11 +// SFDITEM_FIELD__PD_BSR_BSR10 +// SFDITEM_FIELD__PD_BSR_BSR9 +// SFDITEM_FIELD__PD_BSR_BSR8 +// SFDITEM_FIELD__PD_BSR_BSR7 +// SFDITEM_FIELD__PD_BSR_BSR6 +// SFDITEM_FIELD__PD_BSR_BSR5 +// SFDITEM_FIELD__PD_BSR_BSR4 +// SFDITEM_FIELD__PD_BSR_BSR3 +// SFDITEM_FIELD__PD_BSR_BSR2 +// SFDITEM_FIELD__PD_BSR_BSR1 +// SFDITEM_FIELD__PD_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PD_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PD_BCR __AT (0x30000320); + + + +// -------------------------------- Field Item: PD_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PD_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000320) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PD_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000320) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PD_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000320) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PD_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000320) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PD_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000320) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PD_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PD_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000320) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PD_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000320) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PD_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000320) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PD_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000320) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PD_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000320) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PD_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000320) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PD_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000320) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PD_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000320) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PD_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000320) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PD_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000320) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PD_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PD_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000320) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PD_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PD_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PD_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000320) Port n Output Bit Clear Register +// ( (unsigned int)((PD_BCR >> 0) & 0xFFFFFFFF), ((PD_BCR = (PD_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_BCR_BCR15 +// SFDITEM_FIELD__PD_BCR_BCR14 +// SFDITEM_FIELD__PD_BCR_BCR13 +// SFDITEM_FIELD__PD_BCR_BCR12 +// SFDITEM_FIELD__PD_BCR_BCR11 +// SFDITEM_FIELD__PD_BCR_BCR10 +// SFDITEM_FIELD__PD_BCR_BCR9 +// SFDITEM_FIELD__PD_BCR_BCR8 +// SFDITEM_FIELD__PD_BCR_BCR7 +// SFDITEM_FIELD__PD_BCR_BCR6 +// SFDITEM_FIELD__PD_BCR_BCR5 +// SFDITEM_FIELD__PD_BCR_BCR4 +// SFDITEM_FIELD__PD_BCR_BCR3 +// SFDITEM_FIELD__PD_BCR_BCR2 +// SFDITEM_FIELD__PD_BCR_BCR1 +// SFDITEM_FIELD__PD_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PD_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PD_OUTDMSK __AT (0x30000324); + + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000324) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000324) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000324) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000324) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000324) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PD_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000324) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000324) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000324) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000324) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000324) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000324) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000324) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000324) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000324) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000324) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PD_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000324) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PD_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PD_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PD_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000324) Port n Output Data Mask Register +// ( (unsigned int)((PD_OUTDMSK >> 0) & 0xFFFFFFFF), ((PD_OUTDMSK = (PD_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PD_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PD_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PD_DBCR __AT (0x30000328); + + + +// -------------------------------- Field Item: PD_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PD_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000328) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PD_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PD_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PD_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000328) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PD_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PD_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000328) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PD_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000328) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PD_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000328) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PD_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000328) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PD_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000328) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PD_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000328) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PD_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000328) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PD_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000328) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PD_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000328) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PD_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000328) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PD_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PD_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000328) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PD_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PD_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PD_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000328) Port n Debounce Control Register +// ( (unsigned int)((PD_DBCR >> 0) & 0xFFFFFFFF), ((PD_DBCR = (PD_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_DBCR_DBCLK +// SFDITEM_FIELD__PD_DBCR_DBEN11 +// SFDITEM_FIELD__PD_DBCR_DBEN10 +// SFDITEM_FIELD__PD_DBCR_DBEN9 +// SFDITEM_FIELD__PD_DBCR_DBEN8 +// SFDITEM_FIELD__PD_DBCR_DBEN7 +// SFDITEM_FIELD__PD_DBCR_DBEN6 +// SFDITEM_FIELD__PD_DBCR_DBEN5 +// SFDITEM_FIELD__PD_DBCR_DBEN4 +// SFDITEM_FIELD__PD_DBCR_DBEN3 +// SFDITEM_FIELD__PD_DBCR_DBEN2 +// SFDITEM_FIELD__PD_DBCR_DBEN1 +// SFDITEM_FIELD__PD_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PD_PD_MOD -------------------------------- +// SVD Line: 12104 + +unsigned int PD_PD_MOD __AT (0x30000300); + + + +// ------------------------------- Field Item: PD_PD_MOD_MODE7 ---------------------------------- +// SVD Line: 12114 + +// SFDITEM_FIELD__PD_PD_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000300) Port n Mode Selection 7 +// +// ( (unsigned char)((PD_PD_MOD >> 14) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE6 ---------------------------------- +// SVD Line: 12120 + +// SFDITEM_FIELD__PD_PD_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000300) Port n Mode Selection 6 +// +// ( (unsigned char)((PD_PD_MOD >> 12) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE5 ---------------------------------- +// SVD Line: 12126 + +// SFDITEM_FIELD__PD_PD_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000300) Port n Mode Selection 5 +// +// ( (unsigned char)((PD_PD_MOD >> 10) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE4 ---------------------------------- +// SVD Line: 12132 + +// SFDITEM_FIELD__PD_PD_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000300) Port n Mode Selection 4 +// +// ( (unsigned char)((PD_PD_MOD >> 8) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE3 ---------------------------------- +// SVD Line: 12138 + +// SFDITEM_FIELD__PD_PD_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000300) Port n Mode Selection 3 +// +// ( (unsigned char)((PD_PD_MOD >> 6) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE2 ---------------------------------- +// SVD Line: 12144 + +// SFDITEM_FIELD__PD_PD_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000300) Port n Mode Selection 2 +// +// ( (unsigned char)((PD_PD_MOD >> 4) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE1 ---------------------------------- +// SVD Line: 12150 + +// SFDITEM_FIELD__PD_PD_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000300) Port n Mode Selection 1 +// +// ( (unsigned char)((PD_PD_MOD >> 2) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PD_PD_MOD_MODE0 ---------------------------------- +// SVD Line: 12156 + +// SFDITEM_FIELD__PD_PD_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000300) Port n Mode Selection 0 +// +// ( (unsigned char)((PD_PD_MOD >> 0) & 0x3), ((PD_PD_MOD = (PD_PD_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PD_PD_MOD ----------------------------------- +// SVD Line: 12104 + +// SFDITEM_REG__PD_PD_MOD +// PD_MOD +// +// [Bits 31..0] RW (@ 0x30000300) Port n Mode Register +// ( (unsigned int)((PD_PD_MOD >> 0) & 0xFFFFFFFF), ((PD_PD_MOD = (PD_PD_MOD & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_MOD_MODE7 +// SFDITEM_FIELD__PD_PD_MOD_MODE6 +// SFDITEM_FIELD__PD_PD_MOD_MODE5 +// SFDITEM_FIELD__PD_PD_MOD_MODE4 +// SFDITEM_FIELD__PD_PD_MOD_MODE3 +// SFDITEM_FIELD__PD_PD_MOD_MODE2 +// SFDITEM_FIELD__PD_PD_MOD_MODE1 +// SFDITEM_FIELD__PD_PD_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PD_PD_TYP -------------------------------- +// SVD Line: 12164 + +unsigned int PD_PD_TYP __AT (0x30000304); + + + +// ------------------------------- Field Item: PD_PD_TYP_TYP7 ----------------------------------- +// SVD Line: 12174 + +// SFDITEM_FIELD__PD_PD_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000304) Port n Output Type Selection 7 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP6 ----------------------------------- +// SVD Line: 12180 + +// SFDITEM_FIELD__PD_PD_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000304) Port n Output Type Selection 6 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP5 ----------------------------------- +// SVD Line: 12186 + +// SFDITEM_FIELD__PD_PD_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000304) Port n Output Type Selection 5 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP4 ----------------------------------- +// SVD Line: 12192 + +// SFDITEM_FIELD__PD_PD_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000304) Port n Output Type Selection 4 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP3 ----------------------------------- +// SVD Line: 12198 + +// SFDITEM_FIELD__PD_PD_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000304) Port n Output Type Selection 3 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP2 ----------------------------------- +// SVD Line: 12204 + +// SFDITEM_FIELD__PD_PD_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000304) Port n Output Type Selection 2 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP1 ----------------------------------- +// SVD Line: 12210 + +// SFDITEM_FIELD__PD_PD_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000304) Port n Output Type Selection 1 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PD_PD_TYP_TYP0 ----------------------------------- +// SVD Line: 12216 + +// SFDITEM_FIELD__PD_PD_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000304) Port n Output Type Selection 0 +// +// ( (unsigned int) PD_PD_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PD_PD_TYP ----------------------------------- +// SVD Line: 12164 + +// SFDITEM_REG__PD_PD_TYP +// PD_TYP +// +// [Bits 31..0] RW (@ 0x30000304) Port n Output Type Selection Register +// ( (unsigned int)((PD_PD_TYP >> 0) & 0xFFFFFFFF), ((PD_PD_TYP = (PD_PD_TYP & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_TYP_TYP7 +// SFDITEM_FIELD__PD_PD_TYP_TYP6 +// SFDITEM_FIELD__PD_PD_TYP_TYP5 +// SFDITEM_FIELD__PD_PD_TYP_TYP4 +// SFDITEM_FIELD__PD_PD_TYP_TYP3 +// SFDITEM_FIELD__PD_PD_TYP_TYP2 +// SFDITEM_FIELD__PD_PD_TYP_TYP1 +// SFDITEM_FIELD__PD_PD_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PD_PD_AFSR1 ------------------------------- +// SVD Line: 12224 + +unsigned int PD_PD_AFSR1 __AT (0x30000308); + + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR7 --------------------------------- +// SVD Line: 12234 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000308) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 28) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR6 --------------------------------- +// SVD Line: 12240 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000308) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 24) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR5 --------------------------------- +// SVD Line: 12246 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000308) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 20) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR4 --------------------------------- +// SVD Line: 12252 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000308) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 16) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR3 --------------------------------- +// SVD Line: 12258 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000308) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 12) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR2 --------------------------------- +// SVD Line: 12264 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000308) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 8) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR1 --------------------------------- +// SVD Line: 12270 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000308) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 4) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_AFSR1_AFSR0 --------------------------------- +// SVD Line: 12276 + +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000308) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PD_PD_AFSR1 >> 0) & 0xF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PD_PD_AFSR1 ---------------------------------- +// SVD Line: 12224 + +// SFDITEM_REG__PD_PD_AFSR1 +// PD_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000308) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PD_PD_AFSR1 >> 0) & 0xFFFFFFFF), ((PD_PD_AFSR1 = (PD_PD_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR7 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR6 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR5 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR4 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR3 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR2 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR1 +// SFDITEM_FIELD__PD_PD_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PD_PD_AFSR2 ------------------------------- +// SVD Line: 12284 + +unsigned int PD_PD_AFSR2 __AT (0x3000030C); + + + +// ------------------------------- Register Item: PD_PD_AFSR2 ----------------------------------- +// SVD Line: 12284 + +// SFDITEM_REG__PD_PD_AFSR2 +// PD_AFSR2 +// [Bits 31..0] RW (@ 0x3000030C) Port n Alternative Function Selection Register 2 +// +// ( (unsigned int)((PD_PD_AFSR2 >> 0) & 0xFFFFFFFF), ((PD_PD_AFSR2 = (PD_PD_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------- Register Item Address: PD_PD_PUPD ------------------------------- +// SVD Line: 12294 + +unsigned int PD_PD_PUPD __AT (0x30000310); + + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD7 ---------------------------------- +// SVD Line: 12304 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PD_PD_PUPD >> 14) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD6 ---------------------------------- +// SVD Line: 12310 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PD_PD_PUPD >> 12) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD5 ---------------------------------- +// SVD Line: 12316 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PD_PD_PUPD >> 10) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD4 ---------------------------------- +// SVD Line: 12322 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PD_PD_PUPD >> 8) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD3 ---------------------------------- +// SVD Line: 12328 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PD_PD_PUPD >> 6) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD2 ---------------------------------- +// SVD Line: 12334 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PD_PD_PUPD >> 4) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD1 ---------------------------------- +// SVD Line: 12340 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PD_PD_PUPD >> 2) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PD_PD_PUPD_PUPD0 ---------------------------------- +// SVD Line: 12346 + +// SFDITEM_FIELD__PD_PD_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PD_PD_PUPD >> 0) & 0x3), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PD_PD_PUPD ----------------------------------- +// SVD Line: 12294 + +// SFDITEM_REG__PD_PD_PUPD +// PD_PUPD +// +// [Bits 31..0] RW (@ 0x30000310) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PD_PD_PUPD >> 0) & 0xFFFFFFFF), ((PD_PD_PUPD = (PD_PD_PUPD & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_PUPD_PUPD7 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD6 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD5 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD4 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD3 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD2 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD1 +// SFDITEM_FIELD__PD_PD_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PD_PD_INDR ------------------------------- +// SVD Line: 12354 + +unsigned int PD_PD_INDR __AT (0x30000314); + + + +// ------------------------------ Field Item: PD_PD_INDR_INDR7 ---------------------------------- +// SVD Line: 12364 + +// SFDITEM_FIELD__PD_PD_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000314) Port n Input Data 7 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR6 ---------------------------------- +// SVD Line: 12370 + +// SFDITEM_FIELD__PD_PD_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000314) Port n Input Data 6 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR5 ---------------------------------- +// SVD Line: 12376 + +// SFDITEM_FIELD__PD_PD_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000314) Port n Input Data 5 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR4 ---------------------------------- +// SVD Line: 12382 + +// SFDITEM_FIELD__PD_PD_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000314) Port n Input Data 4 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR3 ---------------------------------- +// SVD Line: 12388 + +// SFDITEM_FIELD__PD_PD_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000314) Port n Input Data 3 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR2 ---------------------------------- +// SVD Line: 12394 + +// SFDITEM_FIELD__PD_PD_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000314) Port n Input Data 2 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR1 ---------------------------------- +// SVD Line: 12400 + +// SFDITEM_FIELD__PD_PD_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000314) Port n Input Data 1 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PD_PD_INDR_INDR0 ---------------------------------- +// SVD Line: 12406 + +// SFDITEM_FIELD__PD_PD_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000314) Port n Input Data 0 +// +// ( (unsigned int) PD_PD_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PD_PD_INDR ----------------------------------- +// SVD Line: 12354 + +// SFDITEM_REG__PD_PD_INDR +// PD_INDR +// +// [Bits 31..0] RO (@ 0x30000314) Port n Input Data Register +// ( (unsigned int)((PD_PD_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PD_PD_INDR_INDR7 +// SFDITEM_FIELD__PD_PD_INDR_INDR6 +// SFDITEM_FIELD__PD_PD_INDR_INDR5 +// SFDITEM_FIELD__PD_PD_INDR_INDR4 +// SFDITEM_FIELD__PD_PD_INDR_INDR3 +// SFDITEM_FIELD__PD_PD_INDR_INDR2 +// SFDITEM_FIELD__PD_PD_INDR_INDR1 +// SFDITEM_FIELD__PD_PD_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PD_PD_OUTDR ------------------------------- +// SVD Line: 12414 + +unsigned int PD_PD_OUTDR __AT (0x30000318); + + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 12424 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000318) Port n Output Data 7 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 12430 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000318) Port n Output Data 6 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 12436 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000318) Port n Output Data 5 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 12442 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000318) Port n Output Data 4 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 12448 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000318) Port n Output Data 3 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 12454 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000318) Port n Output Data 2 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 12460 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000318) Port n Output Data 1 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PD_PD_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 12466 + +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000318) Port n Output Data 0 +// +// ( (unsigned int) PD_PD_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PD_PD_OUTDR ---------------------------------- +// SVD Line: 12414 + +// SFDITEM_REG__PD_PD_OUTDR +// PD_OUTDR +// +// [Bits 31..0] RW (@ 0x30000318) Port n Output Data Register +// ( (unsigned int)((PD_PD_OUTDR >> 0) & 0xFFFFFFFF), ((PD_PD_OUTDR = (PD_PD_OUTDR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR7 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR6 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR5 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR4 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR3 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR2 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR1 +// SFDITEM_FIELD__PD_PD_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PD_PD_BSR -------------------------------- +// SVD Line: 12474 + +unsigned int PD_PD_BSR __AT (0x3000031C); + + + +// ------------------------------- Field Item: PD_PD_BSR_BSR7 ----------------------------------- +// SVD Line: 12484 + +// SFDITEM_FIELD__PD_PD_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000031C) Port n Output Bit Set 7 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR6 ----------------------------------- +// SVD Line: 12490 + +// SFDITEM_FIELD__PD_PD_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000031C) Port n Output Bit Set 6 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR5 ----------------------------------- +// SVD Line: 12496 + +// SFDITEM_FIELD__PD_PD_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000031C) Port n Output Bit Set 5 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR4 ----------------------------------- +// SVD Line: 12502 + +// SFDITEM_FIELD__PD_PD_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000031C) Port n Output Bit Set 4 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR3 ----------------------------------- +// SVD Line: 12508 + +// SFDITEM_FIELD__PD_PD_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000031C) Port n Output Bit Set 3 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR2 ----------------------------------- +// SVD Line: 12514 + +// SFDITEM_FIELD__PD_PD_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000031C) Port n Output Bit Set 2 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR1 ----------------------------------- +// SVD Line: 12520 + +// SFDITEM_FIELD__PD_PD_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000031C) Port n Output Bit Set 1 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BSR_BSR0 ----------------------------------- +// SVD Line: 12526 + +// SFDITEM_FIELD__PD_PD_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000031C) Port n Output Bit Set 0 +// +// ( (unsigned int) PD_PD_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PD_PD_BSR ----------------------------------- +// SVD Line: 12474 + +// SFDITEM_REG__PD_PD_BSR +// PD_BSR +// +// [Bits 31..0] WO (@ 0x3000031C) Port n Output Bit Set Register +// ( (unsigned int)((PD_PD_BSR >> 0) & 0xFFFFFFFF), ((PD_PD_BSR = (PD_PD_BSR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_BSR_BSR7 +// SFDITEM_FIELD__PD_PD_BSR_BSR6 +// SFDITEM_FIELD__PD_PD_BSR_BSR5 +// SFDITEM_FIELD__PD_PD_BSR_BSR4 +// SFDITEM_FIELD__PD_PD_BSR_BSR3 +// SFDITEM_FIELD__PD_PD_BSR_BSR2 +// SFDITEM_FIELD__PD_PD_BSR_BSR1 +// SFDITEM_FIELD__PD_PD_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PD_PD_BCR -------------------------------- +// SVD Line: 12534 + +unsigned int PD_PD_BCR __AT (0x30000320); + + + +// ------------------------------- Field Item: PD_PD_BCR_BCR7 ----------------------------------- +// SVD Line: 12544 + +// SFDITEM_FIELD__PD_PD_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000320) Port n Output Bit Clear 7 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR6 ----------------------------------- +// SVD Line: 12550 + +// SFDITEM_FIELD__PD_PD_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000320) Port n Output Bit Clear 6 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR5 ----------------------------------- +// SVD Line: 12556 + +// SFDITEM_FIELD__PD_PD_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000320) Port n Output Bit Clear 5 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR4 ----------------------------------- +// SVD Line: 12562 + +// SFDITEM_FIELD__PD_PD_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000320) Port n Output Bit Clear 4 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR3 ----------------------------------- +// SVD Line: 12568 + +// SFDITEM_FIELD__PD_PD_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000320) Port n Output Bit Clear 3 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR2 ----------------------------------- +// SVD Line: 12574 + +// SFDITEM_FIELD__PD_PD_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000320) Port n Output Bit Clear 2 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR1 ----------------------------------- +// SVD Line: 12580 + +// SFDITEM_FIELD__PD_PD_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000320) Port n Output Bit Clear 1 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PD_PD_BCR_BCR0 ----------------------------------- +// SVD Line: 12586 + +// SFDITEM_FIELD__PD_PD_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000320) Port n Output Bit Clear 0 +// +// ( (unsigned int) PD_PD_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PD_PD_BCR ----------------------------------- +// SVD Line: 12534 + +// SFDITEM_REG__PD_PD_BCR +// PD_BCR +// +// [Bits 31..0] WO (@ 0x30000320) Port n Output Bit Clear Register +// ( (unsigned int)((PD_PD_BCR >> 0) & 0xFFFFFFFF), ((PD_PD_BCR = (PD_PD_BCR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_BCR_BCR7 +// SFDITEM_FIELD__PD_PD_BCR_BCR6 +// SFDITEM_FIELD__PD_PD_BCR_BCR5 +// SFDITEM_FIELD__PD_PD_BCR_BCR4 +// SFDITEM_FIELD__PD_PD_BCR_BCR3 +// SFDITEM_FIELD__PD_PD_BCR_BCR2 +// SFDITEM_FIELD__PD_PD_BCR_BCR1 +// SFDITEM_FIELD__PD_PD_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PD_PD_OUTDMSK ------------------------------ +// SVD Line: 12594 + +unsigned int PD_PD_OUTDMSK __AT (0x30000324); + + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 12604 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000324) Port n Output Data Mask 7 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 12610 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000324) Port n Output Data Mask 6 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 12616 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000324) Port n Output Data Mask 5 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 12622 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000324) Port n Output Data Mask 4 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 12628 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000324) Port n Output Data Mask 3 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 12634 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000324) Port n Output Data Mask 2 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 12640 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000324) Port n Output Data Mask 1 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PD_PD_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 12646 + +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000324) Port n Output Data Mask 0 +// +// ( (unsigned int) PD_PD_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PD_PD_OUTDMSK --------------------------------- +// SVD Line: 12594 + +// SFDITEM_REG__PD_PD_OUTDMSK +// PD_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000324) Port n Output Data Mask Register +// ( (unsigned int)((PD_PD_OUTDMSK >> 0) & 0xFFFFFFFF), ((PD_PD_OUTDMSK = (PD_PD_OUTDMSK & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PD_PD_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------------- Peripheral View: PD -------------------------------------- +// SVD Line: 12090 + +// PD +// PD +// SFDITEM_REG__PD_MOD +// SFDITEM_REG__PD_TYP +// SFDITEM_REG__PD_AFSR1 +// SFDITEM_REG__PD_AFSR2 +// SFDITEM_REG__PD_PUPD +// SFDITEM_REG__PD_INDR +// SFDITEM_REG__PD_OUTDR +// SFDITEM_REG__PD_BSR +// SFDITEM_REG__PD_BCR +// SFDITEM_REG__PD_OUTDMSK +// SFDITEM_REG__PD_DBCR +// SFDITEM_REG__PD_PD_MOD +// SFDITEM_REG__PD_PD_TYP +// SFDITEM_REG__PD_PD_AFSR1 +// SFDITEM_REG__PD_PD_AFSR2 +// SFDITEM_REG__PD_PD_PUPD +// SFDITEM_REG__PD_PD_INDR +// SFDITEM_REG__PD_PD_OUTDR +// SFDITEM_REG__PD_PD_BSR +// SFDITEM_REG__PD_PD_BCR +// SFDITEM_REG__PD_PD_OUTDMSK +// +// + + +// ------------------------------ Register Item Address: PE_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PE_MOD __AT (0x30000400); + + + +// -------------------------------- Field Item: PE_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PE_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000400) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PE_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000400) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PE_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000400) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PE_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000400) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PE_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000400) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PE_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000400) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PE_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000400) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PE_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000400) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PE_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000400) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PE_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000400) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PE_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000400) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PE_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000400) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PE_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000400) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PE_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000400) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PE_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000400) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PE_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000400) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PE_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PE_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PE_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000400) Port n Mode Register +// ( (unsigned int)((PE_MOD >> 0) & 0xFFFFFFFF), ((PE_MOD = (PE_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_MOD_MODE15 +// SFDITEM_FIELD__PE_MOD_MODE14 +// SFDITEM_FIELD__PE_MOD_MODE13 +// SFDITEM_FIELD__PE_MOD_MODE12 +// SFDITEM_FIELD__PE_MOD_MODE11 +// SFDITEM_FIELD__PE_MOD_MODE10 +// SFDITEM_FIELD__PE_MOD_MODE9 +// SFDITEM_FIELD__PE_MOD_MODE8 +// SFDITEM_FIELD__PE_MOD_MODE7 +// SFDITEM_FIELD__PE_MOD_MODE6 +// SFDITEM_FIELD__PE_MOD_MODE5 +// SFDITEM_FIELD__PE_MOD_MODE4 +// SFDITEM_FIELD__PE_MOD_MODE3 +// SFDITEM_FIELD__PE_MOD_MODE2 +// SFDITEM_FIELD__PE_MOD_MODE1 +// SFDITEM_FIELD__PE_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PE_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PE_TYP __AT (0x30000404); + + + +// -------------------------------- Field Item: PE_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PE_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000404) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PE_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PE_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000404) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PE_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PE_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000404) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PE_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PE_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000404) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PE_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PE_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000404) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PE_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PE_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000404) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PE_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000404) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PE_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000404) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PE_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000404) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PE_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000404) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PE_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000404) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PE_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000404) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PE_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000404) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PE_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000404) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PE_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000404) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PE_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PE_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000404) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PE_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PE_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PE_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000404) Port n Output Type Selection Register +// ( (unsigned int)((PE_TYP >> 0) & 0xFFFFFFFF), ((PE_TYP = (PE_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_TYP_TYP15 +// SFDITEM_FIELD__PE_TYP_TYP14 +// SFDITEM_FIELD__PE_TYP_TYP13 +// SFDITEM_FIELD__PE_TYP_TYP12 +// SFDITEM_FIELD__PE_TYP_TYP11 +// SFDITEM_FIELD__PE_TYP_TYP10 +// SFDITEM_FIELD__PE_TYP_TYP9 +// SFDITEM_FIELD__PE_TYP_TYP8 +// SFDITEM_FIELD__PE_TYP_TYP7 +// SFDITEM_FIELD__PE_TYP_TYP6 +// SFDITEM_FIELD__PE_TYP_TYP5 +// SFDITEM_FIELD__PE_TYP_TYP4 +// SFDITEM_FIELD__PE_TYP_TYP3 +// SFDITEM_FIELD__PE_TYP_TYP2 +// SFDITEM_FIELD__PE_TYP_TYP1 +// SFDITEM_FIELD__PE_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PE_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PE_AFSR1 __AT (0x30000408); + + + +// ------------------------------- Field Item: PE_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PE_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000408) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PE_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000408) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PE_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000408) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PE_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000408) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PE_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000408) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PE_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000408) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PE_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000408) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PE_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000408) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PE_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PE_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000408) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PE_AFSR1 >> 0) & 0xFFFFFFFF), ((PE_AFSR1 = (PE_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_AFSR1_AFSR7 +// SFDITEM_FIELD__PE_AFSR1_AFSR6 +// SFDITEM_FIELD__PE_AFSR1_AFSR5 +// SFDITEM_FIELD__PE_AFSR1_AFSR4 +// SFDITEM_FIELD__PE_AFSR1_AFSR3 +// SFDITEM_FIELD__PE_AFSR1_AFSR2 +// SFDITEM_FIELD__PE_AFSR1_AFSR1 +// SFDITEM_FIELD__PE_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PE_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PE_AFSR2 __AT (0x3000040C); + + + +// ------------------------------- Field Item: PE_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PE_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000040C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PE_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000040C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PE_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000040C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PE_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000040C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PE_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000040C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PE_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000040C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PE_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000040C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PE_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PE_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000040C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PE_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PE_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PE_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000040C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PE_AFSR2 >> 0) & 0xFFFFFFFF), ((PE_AFSR2 = (PE_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_AFSR2_AFSR15 +// SFDITEM_FIELD__PE_AFSR2_AFSR14 +// SFDITEM_FIELD__PE_AFSR2_AFSR13 +// SFDITEM_FIELD__PE_AFSR2_AFSR12 +// SFDITEM_FIELD__PE_AFSR2_AFSR11 +// SFDITEM_FIELD__PE_AFSR2_AFSR10 +// SFDITEM_FIELD__PE_AFSR2_AFSR9 +// SFDITEM_FIELD__PE_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PE_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PE_PUPD __AT (0x30000410); + + + +// ------------------------------- Field Item: PE_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PE_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PE_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PE_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PE_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PE_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PE_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PE_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PE_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PE_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PE_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PE_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PE_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PE_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PE_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PE_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PE_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PE_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PE_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PE_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PE_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PE_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PE_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000410) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PE_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PE_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PE_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PE_PUPD >> 0) & 0xFFFFFFFF), ((PE_PUPD = (PE_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PUPD_PUPD15 +// SFDITEM_FIELD__PE_PUPD_PUPD14 +// SFDITEM_FIELD__PE_PUPD_PUPD13 +// SFDITEM_FIELD__PE_PUPD_PUPD12 +// SFDITEM_FIELD__PE_PUPD_PUPD11 +// SFDITEM_FIELD__PE_PUPD_PUPD10 +// SFDITEM_FIELD__PE_PUPD_PUPD9 +// SFDITEM_FIELD__PE_PUPD_PUPD8 +// SFDITEM_FIELD__PE_PUPD_PUPD7 +// SFDITEM_FIELD__PE_PUPD_PUPD6 +// SFDITEM_FIELD__PE_PUPD_PUPD5 +// SFDITEM_FIELD__PE_PUPD_PUPD4 +// SFDITEM_FIELD__PE_PUPD_PUPD3 +// SFDITEM_FIELD__PE_PUPD_PUPD2 +// SFDITEM_FIELD__PE_PUPD_PUPD1 +// SFDITEM_FIELD__PE_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PE_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PE_INDR __AT (0x30000414); + + + +// ------------------------------- Field Item: PE_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PE_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000414) Port n Input Data 15 +// +// ( (unsigned int) PE_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PE_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PE_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000414) Port n Input Data 14 +// +// ( (unsigned int) PE_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PE_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PE_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000414) Port n Input Data 13 +// +// ( (unsigned int) PE_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PE_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PE_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000414) Port n Input Data 12 +// +// ( (unsigned int) PE_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PE_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PE_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000414) Port n Input Data 11 +// +// ( (unsigned int) PE_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PE_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PE_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000414) Port n Input Data 10 +// +// ( (unsigned int) PE_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PE_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000414) Port n Input Data 9 +// +// ( (unsigned int) PE_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PE_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000414) Port n Input Data 8 +// +// ( (unsigned int) PE_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PE_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000414) Port n Input Data 7 +// +// ( (unsigned int) PE_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PE_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000414) Port n Input Data 6 +// +// ( (unsigned int) PE_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PE_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000414) Port n Input Data 5 +// +// ( (unsigned int) PE_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PE_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000414) Port n Input Data 4 +// +// ( (unsigned int) PE_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PE_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000414) Port n Input Data 3 +// +// ( (unsigned int) PE_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PE_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000414) Port n Input Data 2 +// +// ( (unsigned int) PE_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PE_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000414) Port n Input Data 1 +// +// ( (unsigned int) PE_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PE_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PE_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000414) Port n Input Data 0 +// +// ( (unsigned int) PE_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PE_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PE_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000414) Port n Input Data Register +// ( (unsigned int)((PE_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PE_INDR_INDR15 +// SFDITEM_FIELD__PE_INDR_INDR14 +// SFDITEM_FIELD__PE_INDR_INDR13 +// SFDITEM_FIELD__PE_INDR_INDR12 +// SFDITEM_FIELD__PE_INDR_INDR11 +// SFDITEM_FIELD__PE_INDR_INDR10 +// SFDITEM_FIELD__PE_INDR_INDR9 +// SFDITEM_FIELD__PE_INDR_INDR8 +// SFDITEM_FIELD__PE_INDR_INDR7 +// SFDITEM_FIELD__PE_INDR_INDR6 +// SFDITEM_FIELD__PE_INDR_INDR5 +// SFDITEM_FIELD__PE_INDR_INDR4 +// SFDITEM_FIELD__PE_INDR_INDR3 +// SFDITEM_FIELD__PE_INDR_INDR2 +// SFDITEM_FIELD__PE_INDR_INDR1 +// SFDITEM_FIELD__PE_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PE_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PE_OUTDR __AT (0x30000418); + + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000418) Port n Output Data 15 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000418) Port n Output Data 14 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000418) Port n Output Data 13 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000418) Port n Output Data 12 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000418) Port n Output Data 11 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PE_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000418) Port n Output Data 10 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000418) Port n Output Data 9 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000418) Port n Output Data 8 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000418) Port n Output Data 7 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000418) Port n Output Data 6 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000418) Port n Output Data 5 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000418) Port n Output Data 4 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000418) Port n Output Data 3 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000418) Port n Output Data 2 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000418) Port n Output Data 1 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PE_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PE_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000418) Port n Output Data 0 +// +// ( (unsigned int) PE_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PE_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PE_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000418) Port n Output Data Register +// ( (unsigned int)((PE_OUTDR >> 0) & 0xFFFFFFFF), ((PE_OUTDR = (PE_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_OUTDR_OUTDR15 +// SFDITEM_FIELD__PE_OUTDR_OUTDR14 +// SFDITEM_FIELD__PE_OUTDR_OUTDR13 +// SFDITEM_FIELD__PE_OUTDR_OUTDR12 +// SFDITEM_FIELD__PE_OUTDR_OUTDR11 +// SFDITEM_FIELD__PE_OUTDR_OUTDR10 +// SFDITEM_FIELD__PE_OUTDR_OUTDR9 +// SFDITEM_FIELD__PE_OUTDR_OUTDR8 +// SFDITEM_FIELD__PE_OUTDR_OUTDR7 +// SFDITEM_FIELD__PE_OUTDR_OUTDR6 +// SFDITEM_FIELD__PE_OUTDR_OUTDR5 +// SFDITEM_FIELD__PE_OUTDR_OUTDR4 +// SFDITEM_FIELD__PE_OUTDR_OUTDR3 +// SFDITEM_FIELD__PE_OUTDR_OUTDR2 +// SFDITEM_FIELD__PE_OUTDR_OUTDR1 +// SFDITEM_FIELD__PE_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PE_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PE_BSR __AT (0x3000041C); + + + +// -------------------------------- Field Item: PE_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PE_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000041C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PE_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000041C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PE_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000041C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PE_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000041C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PE_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000041C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PE_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000041C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PE_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000041C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PE_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000041C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PE_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000041C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PE_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000041C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PE_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000041C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PE_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000041C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PE_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000041C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PE_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000041C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PE_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000041C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PE_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000041C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PE_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PE_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000041C) Port n Output Bit Set Register +// ( (unsigned int)((PE_BSR >> 0) & 0xFFFFFFFF), ((PE_BSR = (PE_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_BSR_BSR15 +// SFDITEM_FIELD__PE_BSR_BSR14 +// SFDITEM_FIELD__PE_BSR_BSR13 +// SFDITEM_FIELD__PE_BSR_BSR12 +// SFDITEM_FIELD__PE_BSR_BSR11 +// SFDITEM_FIELD__PE_BSR_BSR10 +// SFDITEM_FIELD__PE_BSR_BSR9 +// SFDITEM_FIELD__PE_BSR_BSR8 +// SFDITEM_FIELD__PE_BSR_BSR7 +// SFDITEM_FIELD__PE_BSR_BSR6 +// SFDITEM_FIELD__PE_BSR_BSR5 +// SFDITEM_FIELD__PE_BSR_BSR4 +// SFDITEM_FIELD__PE_BSR_BSR3 +// SFDITEM_FIELD__PE_BSR_BSR2 +// SFDITEM_FIELD__PE_BSR_BSR1 +// SFDITEM_FIELD__PE_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PE_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PE_BCR __AT (0x30000420); + + + +// -------------------------------- Field Item: PE_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PE_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000420) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PE_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000420) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PE_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000420) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PE_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000420) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PE_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000420) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PE_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PE_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000420) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PE_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000420) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PE_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000420) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PE_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000420) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PE_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000420) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PE_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000420) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PE_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000420) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PE_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000420) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PE_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000420) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PE_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000420) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PE_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PE_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000420) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PE_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PE_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PE_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000420) Port n Output Bit Clear Register +// ( (unsigned int)((PE_BCR >> 0) & 0xFFFFFFFF), ((PE_BCR = (PE_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_BCR_BCR15 +// SFDITEM_FIELD__PE_BCR_BCR14 +// SFDITEM_FIELD__PE_BCR_BCR13 +// SFDITEM_FIELD__PE_BCR_BCR12 +// SFDITEM_FIELD__PE_BCR_BCR11 +// SFDITEM_FIELD__PE_BCR_BCR10 +// SFDITEM_FIELD__PE_BCR_BCR9 +// SFDITEM_FIELD__PE_BCR_BCR8 +// SFDITEM_FIELD__PE_BCR_BCR7 +// SFDITEM_FIELD__PE_BCR_BCR6 +// SFDITEM_FIELD__PE_BCR_BCR5 +// SFDITEM_FIELD__PE_BCR_BCR4 +// SFDITEM_FIELD__PE_BCR_BCR3 +// SFDITEM_FIELD__PE_BCR_BCR2 +// SFDITEM_FIELD__PE_BCR_BCR1 +// SFDITEM_FIELD__PE_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PE_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PE_OUTDMSK __AT (0x30000424); + + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000424) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000424) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000424) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000424) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000424) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PE_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000424) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000424) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000424) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000424) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000424) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000424) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000424) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000424) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000424) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000424) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PE_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000424) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PE_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PE_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PE_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000424) Port n Output Data Mask Register +// ( (unsigned int)((PE_OUTDMSK >> 0) & 0xFFFFFFFF), ((PE_OUTDMSK = (PE_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PE_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PE_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PE_DBCR __AT (0x30000428); + + + +// -------------------------------- Field Item: PE_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PE_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000428) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PE_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PE_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PE_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000428) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PE_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PE_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000428) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PE_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000428) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PE_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000428) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PE_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000428) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PE_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000428) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PE_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000428) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PE_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000428) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PE_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000428) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PE_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000428) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PE_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000428) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PE_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PE_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000428) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PE_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PE_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PE_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000428) Port n Debounce Control Register +// ( (unsigned int)((PE_DBCR >> 0) & 0xFFFFFFFF), ((PE_DBCR = (PE_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_DBCR_DBCLK +// SFDITEM_FIELD__PE_DBCR_DBEN11 +// SFDITEM_FIELD__PE_DBCR_DBEN10 +// SFDITEM_FIELD__PE_DBCR_DBEN9 +// SFDITEM_FIELD__PE_DBCR_DBEN8 +// SFDITEM_FIELD__PE_DBCR_DBEN7 +// SFDITEM_FIELD__PE_DBCR_DBEN6 +// SFDITEM_FIELD__PE_DBCR_DBEN5 +// SFDITEM_FIELD__PE_DBCR_DBEN4 +// SFDITEM_FIELD__PE_DBCR_DBEN3 +// SFDITEM_FIELD__PE_DBCR_DBEN2 +// SFDITEM_FIELD__PE_DBCR_DBEN1 +// SFDITEM_FIELD__PE_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_MOD -------------------------------- +// SVD Line: 12670 + +unsigned int PE_PE_MOD __AT (0x30000400); + + + +// ------------------------------ Field Item: PE_PE_MOD_MODE15 ---------------------------------- +// SVD Line: 12680 + +// SFDITEM_FIELD__PE_PE_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000400) Port n Mode Selection 15 +// +// ( (unsigned char)((PE_PE_MOD >> 30) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 30 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 30 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_MOD_MODE14 ---------------------------------- +// SVD Line: 12686 + +// SFDITEM_FIELD__PE_PE_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000400) Port n Mode Selection 14 +// +// ( (unsigned char)((PE_PE_MOD >> 28) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_MOD_MODE13 ---------------------------------- +// SVD Line: 12692 + +// SFDITEM_FIELD__PE_PE_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000400) Port n Mode Selection 13 +// +// ( (unsigned char)((PE_PE_MOD >> 26) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 26 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 26 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_MOD_MODE12 ---------------------------------- +// SVD Line: 12698 + +// SFDITEM_FIELD__PE_PE_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000400) Port n Mode Selection 12 +// +// ( (unsigned char)((PE_PE_MOD >> 24) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_MOD_MODE11 ---------------------------------- +// SVD Line: 12704 + +// SFDITEM_FIELD__PE_PE_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000400) Port n Mode Selection 11 +// +// ( (unsigned char)((PE_PE_MOD >> 22) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_MOD_MODE10 ---------------------------------- +// SVD Line: 12710 + +// SFDITEM_FIELD__PE_PE_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000400) Port n Mode Selection 10 +// +// ( (unsigned char)((PE_PE_MOD >> 20) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE9 ---------------------------------- +// SVD Line: 12716 + +// SFDITEM_FIELD__PE_PE_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000400) Port n Mode Selection 9 +// +// ( (unsigned char)((PE_PE_MOD >> 18) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE8 ---------------------------------- +// SVD Line: 12722 + +// SFDITEM_FIELD__PE_PE_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000400) Port n Mode Selection 8 +// +// ( (unsigned char)((PE_PE_MOD >> 16) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE7 ---------------------------------- +// SVD Line: 12728 + +// SFDITEM_FIELD__PE_PE_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000400) Port n Mode Selection 7 +// +// ( (unsigned char)((PE_PE_MOD >> 14) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE6 ---------------------------------- +// SVD Line: 12734 + +// SFDITEM_FIELD__PE_PE_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000400) Port n Mode Selection 6 +// +// ( (unsigned char)((PE_PE_MOD >> 12) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE5 ---------------------------------- +// SVD Line: 12740 + +// SFDITEM_FIELD__PE_PE_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000400) Port n Mode Selection 5 +// +// ( (unsigned char)((PE_PE_MOD >> 10) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE4 ---------------------------------- +// SVD Line: 12746 + +// SFDITEM_FIELD__PE_PE_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000400) Port n Mode Selection 4 +// +// ( (unsigned char)((PE_PE_MOD >> 8) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE3 ---------------------------------- +// SVD Line: 12752 + +// SFDITEM_FIELD__PE_PE_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000400) Port n Mode Selection 3 +// +// ( (unsigned char)((PE_PE_MOD >> 6) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE2 ---------------------------------- +// SVD Line: 12758 + +// SFDITEM_FIELD__PE_PE_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000400) Port n Mode Selection 2 +// +// ( (unsigned char)((PE_PE_MOD >> 4) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE1 ---------------------------------- +// SVD Line: 12764 + +// SFDITEM_FIELD__PE_PE_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000400) Port n Mode Selection 1 +// +// ( (unsigned char)((PE_PE_MOD >> 2) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PE_PE_MOD_MODE0 ---------------------------------- +// SVD Line: 12770 + +// SFDITEM_FIELD__PE_PE_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000400) Port n Mode Selection 0 +// +// ( (unsigned char)((PE_PE_MOD >> 0) & 0x3), ((PE_PE_MOD = (PE_PE_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PE_PE_MOD ----------------------------------- +// SVD Line: 12670 + +// SFDITEM_REG__PE_PE_MOD +// PE_MOD +// +// [Bits 31..0] RW (@ 0x30000400) Port n Mode Register +// ( (unsigned int)((PE_PE_MOD >> 0) & 0xFFFFFFFF), ((PE_PE_MOD = (PE_PE_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_MOD_MODE15 +// SFDITEM_FIELD__PE_PE_MOD_MODE14 +// SFDITEM_FIELD__PE_PE_MOD_MODE13 +// SFDITEM_FIELD__PE_PE_MOD_MODE12 +// SFDITEM_FIELD__PE_PE_MOD_MODE11 +// SFDITEM_FIELD__PE_PE_MOD_MODE10 +// SFDITEM_FIELD__PE_PE_MOD_MODE9 +// SFDITEM_FIELD__PE_PE_MOD_MODE8 +// SFDITEM_FIELD__PE_PE_MOD_MODE7 +// SFDITEM_FIELD__PE_PE_MOD_MODE6 +// SFDITEM_FIELD__PE_PE_MOD_MODE5 +// SFDITEM_FIELD__PE_PE_MOD_MODE4 +// SFDITEM_FIELD__PE_PE_MOD_MODE3 +// SFDITEM_FIELD__PE_PE_MOD_MODE2 +// SFDITEM_FIELD__PE_PE_MOD_MODE1 +// SFDITEM_FIELD__PE_PE_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_TYP -------------------------------- +// SVD Line: 12778 + +unsigned int PE_PE_TYP __AT (0x30000404); + + + +// ------------------------------- Field Item: PE_PE_TYP_TYP15 ---------------------------------- +// SVD Line: 12788 + +// SFDITEM_FIELD__PE_PE_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000404) Port n Output Type Selection 15 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP15 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP14 ---------------------------------- +// SVD Line: 12794 + +// SFDITEM_FIELD__PE_PE_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000404) Port n Output Type Selection 14 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP14 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP13 ---------------------------------- +// SVD Line: 12800 + +// SFDITEM_FIELD__PE_PE_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000404) Port n Output Type Selection 13 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP13 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP12 ---------------------------------- +// SVD Line: 12806 + +// SFDITEM_FIELD__PE_PE_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000404) Port n Output Type Selection 12 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP12 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP11 ---------------------------------- +// SVD Line: 12812 + +// SFDITEM_FIELD__PE_PE_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000404) Port n Output Type Selection 11 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP11 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP10 ---------------------------------- +// SVD Line: 12818 + +// SFDITEM_FIELD__PE_PE_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000404) Port n Output Type Selection 10 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP10 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP9 ----------------------------------- +// SVD Line: 12824 + +// SFDITEM_FIELD__PE_PE_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000404) Port n Output Type Selection 9 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP9 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP8 ----------------------------------- +// SVD Line: 12830 + +// SFDITEM_FIELD__PE_PE_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000404) Port n Output Type Selection 8 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP8 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP7 ----------------------------------- +// SVD Line: 12836 + +// SFDITEM_FIELD__PE_PE_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000404) Port n Output Type Selection 7 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP6 ----------------------------------- +// SVD Line: 12842 + +// SFDITEM_FIELD__PE_PE_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000404) Port n Output Type Selection 6 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP5 ----------------------------------- +// SVD Line: 12848 + +// SFDITEM_FIELD__PE_PE_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000404) Port n Output Type Selection 5 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP4 ----------------------------------- +// SVD Line: 12854 + +// SFDITEM_FIELD__PE_PE_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000404) Port n Output Type Selection 4 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP3 ----------------------------------- +// SVD Line: 12860 + +// SFDITEM_FIELD__PE_PE_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000404) Port n Output Type Selection 3 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP2 ----------------------------------- +// SVD Line: 12866 + +// SFDITEM_FIELD__PE_PE_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000404) Port n Output Type Selection 2 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP1 ----------------------------------- +// SVD Line: 12872 + +// SFDITEM_FIELD__PE_PE_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000404) Port n Output Type Selection 1 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PE_PE_TYP_TYP0 ----------------------------------- +// SVD Line: 12878 + +// SFDITEM_FIELD__PE_PE_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000404) Port n Output Type Selection 0 +// +// ( (unsigned int) PE_PE_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PE_PE_TYP ----------------------------------- +// SVD Line: 12778 + +// SFDITEM_REG__PE_PE_TYP +// PE_TYP +// +// [Bits 31..0] RW (@ 0x30000404) Port n Output Type Selection Register +// ( (unsigned int)((PE_PE_TYP >> 0) & 0xFFFFFFFF), ((PE_PE_TYP = (PE_PE_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_TYP_TYP15 +// SFDITEM_FIELD__PE_PE_TYP_TYP14 +// SFDITEM_FIELD__PE_PE_TYP_TYP13 +// SFDITEM_FIELD__PE_PE_TYP_TYP12 +// SFDITEM_FIELD__PE_PE_TYP_TYP11 +// SFDITEM_FIELD__PE_PE_TYP_TYP10 +// SFDITEM_FIELD__PE_PE_TYP_TYP9 +// SFDITEM_FIELD__PE_PE_TYP_TYP8 +// SFDITEM_FIELD__PE_PE_TYP_TYP7 +// SFDITEM_FIELD__PE_PE_TYP_TYP6 +// SFDITEM_FIELD__PE_PE_TYP_TYP5 +// SFDITEM_FIELD__PE_PE_TYP_TYP4 +// SFDITEM_FIELD__PE_PE_TYP_TYP3 +// SFDITEM_FIELD__PE_PE_TYP_TYP2 +// SFDITEM_FIELD__PE_PE_TYP_TYP1 +// SFDITEM_FIELD__PE_PE_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PE_PE_AFSR1 ------------------------------- +// SVD Line: 12886 + +unsigned int PE_PE_AFSR1 __AT (0x30000408); + + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR7 --------------------------------- +// SVD Line: 12896 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000408) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 28) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR6 --------------------------------- +// SVD Line: 12902 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000408) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 24) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR5 --------------------------------- +// SVD Line: 12908 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000408) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 20) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR4 --------------------------------- +// SVD Line: 12914 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000408) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 16) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR3 --------------------------------- +// SVD Line: 12920 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000408) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 12) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR2 --------------------------------- +// SVD Line: 12926 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000408) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 8) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR1 --------------------------------- +// SVD Line: 12932 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000408) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 4) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR1_AFSR0 --------------------------------- +// SVD Line: 12938 + +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000408) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PE_PE_AFSR1 >> 0) & 0xF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PE_PE_AFSR1 ---------------------------------- +// SVD Line: 12886 + +// SFDITEM_REG__PE_PE_AFSR1 +// PE_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000408) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PE_PE_AFSR1 >> 0) & 0xFFFFFFFF), ((PE_PE_AFSR1 = (PE_PE_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR7 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR6 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR5 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR4 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR3 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR2 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR1 +// SFDITEM_FIELD__PE_PE_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PE_PE_AFSR2 ------------------------------- +// SVD Line: 12946 + +unsigned int PE_PE_AFSR2 __AT (0x3000040C); + + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR15 --------------------------------- +// SVD Line: 12956 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000040C) Port n Alternative Function Selection 15 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 28) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR14 --------------------------------- +// SVD Line: 12962 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000040C) Port n Alternative Function Selection 14 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 24) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR13 --------------------------------- +// SVD Line: 12968 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000040C) Port n Alternative Function Selection 13 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 20) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR12 --------------------------------- +// SVD Line: 12974 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000040C) Port n Alternative Function Selection 12 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 16) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR11 --------------------------------- +// SVD Line: 12980 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000040C) Port n Alternative Function Selection 11 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 12) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PE_PE_AFSR2_AFSR10 --------------------------------- +// SVD Line: 12986 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000040C) Port n Alternative Function Selection 10 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 8) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR2_AFSR9 --------------------------------- +// SVD Line: 12992 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000040C) Port n Alternative Function Selection 9 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 4) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_AFSR2_AFSR8 --------------------------------- +// SVD Line: 12998 + +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000040C) Port n Alternative Function Selection 8 +// +// ( (unsigned char)((PE_PE_AFSR2 >> 0) & 0xF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PE_PE_AFSR2 ---------------------------------- +// SVD Line: 12946 + +// SFDITEM_REG__PE_PE_AFSR2 +// PE_AFSR2 +// +// [Bits 31..0] RW (@ 0x3000040C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PE_PE_AFSR2 >> 0) & 0xFFFFFFFF), ((PE_PE_AFSR2 = (PE_PE_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR15 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR14 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR13 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR12 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR11 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR10 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR9 +// SFDITEM_FIELD__PE_PE_AFSR2_AFSR8 +// +// + + +// ---------------------------- Register Item Address: PE_PE_PUPD ------------------------------- +// SVD Line: 13006 + +unsigned int PE_PE_PUPD __AT (0x30000410); + + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD15 --------------------------------- +// SVD Line: 13016 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 15 +// +// ( (unsigned char)((PE_PE_PUPD >> 30) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 30 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 30 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD14 --------------------------------- +// SVD Line: 13022 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 14 +// +// ( (unsigned char)((PE_PE_PUPD >> 28) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD13 --------------------------------- +// SVD Line: 13028 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 13 +// +// ( (unsigned char)((PE_PE_PUPD >> 26) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 26 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 26 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD12 --------------------------------- +// SVD Line: 13034 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 12 +// +// ( (unsigned char)((PE_PE_PUPD >> 24) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD11 --------------------------------- +// SVD Line: 13040 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 11 +// +// ( (unsigned char)((PE_PE_PUPD >> 22) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD10 --------------------------------- +// SVD Line: 13046 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 10 +// +// ( (unsigned char)((PE_PE_PUPD >> 20) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD9 ---------------------------------- +// SVD Line: 13052 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 9 +// +// ( (unsigned char)((PE_PE_PUPD >> 18) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD8 ---------------------------------- +// SVD Line: 13058 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 8 +// +// ( (unsigned char)((PE_PE_PUPD >> 16) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD7 ---------------------------------- +// SVD Line: 13064 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PE_PE_PUPD >> 14) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD6 ---------------------------------- +// SVD Line: 13070 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PE_PE_PUPD >> 12) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD5 ---------------------------------- +// SVD Line: 13076 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PE_PE_PUPD >> 10) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD4 ---------------------------------- +// SVD Line: 13082 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PE_PE_PUPD >> 8) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD3 ---------------------------------- +// SVD Line: 13088 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PE_PE_PUPD >> 6) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD2 ---------------------------------- +// SVD Line: 13094 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PE_PE_PUPD >> 4) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD1 ---------------------------------- +// SVD Line: 13100 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PE_PE_PUPD >> 2) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_PUPD_PUPD0 ---------------------------------- +// SVD Line: 13106 + +// SFDITEM_FIELD__PE_PE_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PE_PE_PUPD >> 0) & 0x3), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PE_PE_PUPD ----------------------------------- +// SVD Line: 13006 + +// SFDITEM_REG__PE_PE_PUPD +// PE_PUPD +// +// [Bits 31..0] RW (@ 0x30000410) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PE_PE_PUPD >> 0) & 0xFFFFFFFF), ((PE_PE_PUPD = (PE_PE_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_PUPD_PUPD15 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD14 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD13 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD12 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD11 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD10 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD9 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD8 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD7 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD6 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD5 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD4 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD3 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD2 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD1 +// SFDITEM_FIELD__PE_PE_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_INDR ------------------------------- +// SVD Line: 13114 + +unsigned int PE_PE_INDR __AT (0x30000414); + + + +// ------------------------------ Field Item: PE_PE_INDR_INDR15 --------------------------------- +// SVD Line: 13124 + +// SFDITEM_FIELD__PE_PE_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000414) Port n Input Data 15 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR15 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR14 --------------------------------- +// SVD Line: 13130 + +// SFDITEM_FIELD__PE_PE_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000414) Port n Input Data 14 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR14 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR13 --------------------------------- +// SVD Line: 13136 + +// SFDITEM_FIELD__PE_PE_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000414) Port n Input Data 13 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR13 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR12 --------------------------------- +// SVD Line: 13142 + +// SFDITEM_FIELD__PE_PE_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000414) Port n Input Data 12 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR12 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR11 --------------------------------- +// SVD Line: 13148 + +// SFDITEM_FIELD__PE_PE_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000414) Port n Input Data 11 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR11 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR10 --------------------------------- +// SVD Line: 13154 + +// SFDITEM_FIELD__PE_PE_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000414) Port n Input Data 10 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR10 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR9 ---------------------------------- +// SVD Line: 13160 + +// SFDITEM_FIELD__PE_PE_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000414) Port n Input Data 9 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR9 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR8 ---------------------------------- +// SVD Line: 13166 + +// SFDITEM_FIELD__PE_PE_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000414) Port n Input Data 8 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR8 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR7 ---------------------------------- +// SVD Line: 13172 + +// SFDITEM_FIELD__PE_PE_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000414) Port n Input Data 7 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR6 ---------------------------------- +// SVD Line: 13178 + +// SFDITEM_FIELD__PE_PE_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000414) Port n Input Data 6 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR5 ---------------------------------- +// SVD Line: 13184 + +// SFDITEM_FIELD__PE_PE_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000414) Port n Input Data 5 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR4 ---------------------------------- +// SVD Line: 13190 + +// SFDITEM_FIELD__PE_PE_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000414) Port n Input Data 4 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR3 ---------------------------------- +// SVD Line: 13196 + +// SFDITEM_FIELD__PE_PE_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000414) Port n Input Data 3 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR2 ---------------------------------- +// SVD Line: 13202 + +// SFDITEM_FIELD__PE_PE_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000414) Port n Input Data 2 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR1 ---------------------------------- +// SVD Line: 13208 + +// SFDITEM_FIELD__PE_PE_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000414) Port n Input Data 1 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PE_PE_INDR_INDR0 ---------------------------------- +// SVD Line: 13214 + +// SFDITEM_FIELD__PE_PE_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000414) Port n Input Data 0 +// +// ( (unsigned int) PE_PE_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PE_PE_INDR ----------------------------------- +// SVD Line: 13114 + +// SFDITEM_REG__PE_PE_INDR +// PE_INDR +// +// [Bits 31..0] RO (@ 0x30000414) Port n Input Data Register +// ( (unsigned int)((PE_PE_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PE_PE_INDR_INDR15 +// SFDITEM_FIELD__PE_PE_INDR_INDR14 +// SFDITEM_FIELD__PE_PE_INDR_INDR13 +// SFDITEM_FIELD__PE_PE_INDR_INDR12 +// SFDITEM_FIELD__PE_PE_INDR_INDR11 +// SFDITEM_FIELD__PE_PE_INDR_INDR10 +// SFDITEM_FIELD__PE_PE_INDR_INDR9 +// SFDITEM_FIELD__PE_PE_INDR_INDR8 +// SFDITEM_FIELD__PE_PE_INDR_INDR7 +// SFDITEM_FIELD__PE_PE_INDR_INDR6 +// SFDITEM_FIELD__PE_PE_INDR_INDR5 +// SFDITEM_FIELD__PE_PE_INDR_INDR4 +// SFDITEM_FIELD__PE_PE_INDR_INDR3 +// SFDITEM_FIELD__PE_PE_INDR_INDR2 +// SFDITEM_FIELD__PE_PE_INDR_INDR1 +// SFDITEM_FIELD__PE_PE_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PE_PE_OUTDR ------------------------------- +// SVD Line: 13222 + +unsigned int PE_PE_OUTDR __AT (0x30000418); + + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR15 -------------------------------- +// SVD Line: 13232 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000418) Port n Output Data 15 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR15 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR14 -------------------------------- +// SVD Line: 13238 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000418) Port n Output Data 14 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR14 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR13 -------------------------------- +// SVD Line: 13244 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000418) Port n Output Data 13 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR13 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR12 -------------------------------- +// SVD Line: 13250 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000418) Port n Output Data 12 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR12 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR11 -------------------------------- +// SVD Line: 13256 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000418) Port n Output Data 11 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR11 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR10 -------------------------------- +// SVD Line: 13262 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000418) Port n Output Data 10 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR10 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR9 --------------------------------- +// SVD Line: 13268 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000418) Port n Output Data 9 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR9 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR8 --------------------------------- +// SVD Line: 13274 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000418) Port n Output Data 8 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR8 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 13280 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000418) Port n Output Data 7 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 13286 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000418) Port n Output Data 6 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 13292 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000418) Port n Output Data 5 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 13298 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000418) Port n Output Data 4 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 13304 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000418) Port n Output Data 3 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 13310 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000418) Port n Output Data 2 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 13316 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000418) Port n Output Data 1 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PE_PE_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 13322 + +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000418) Port n Output Data 0 +// +// ( (unsigned int) PE_PE_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PE_PE_OUTDR ---------------------------------- +// SVD Line: 13222 + +// SFDITEM_REG__PE_PE_OUTDR +// PE_OUTDR +// +// [Bits 31..0] RW (@ 0x30000418) Port n Output Data Register +// ( (unsigned int)((PE_PE_OUTDR >> 0) & 0xFFFFFFFF), ((PE_PE_OUTDR = (PE_PE_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR15 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR14 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR13 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR12 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR11 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR10 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR9 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR8 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR7 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR6 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR5 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR4 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR3 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR2 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR1 +// SFDITEM_FIELD__PE_PE_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_BSR -------------------------------- +// SVD Line: 13330 + +unsigned int PE_PE_BSR __AT (0x3000041C); + + + +// ------------------------------- Field Item: PE_PE_BSR_BSR15 ---------------------------------- +// SVD Line: 13340 + +// SFDITEM_FIELD__PE_PE_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000041C) Port n Output Bit Set 15 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR15 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR14 ---------------------------------- +// SVD Line: 13346 + +// SFDITEM_FIELD__PE_PE_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000041C) Port n Output Bit Set 14 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR14 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR13 ---------------------------------- +// SVD Line: 13352 + +// SFDITEM_FIELD__PE_PE_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000041C) Port n Output Bit Set 13 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR13 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR12 ---------------------------------- +// SVD Line: 13358 + +// SFDITEM_FIELD__PE_PE_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000041C) Port n Output Bit Set 12 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR12 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR11 ---------------------------------- +// SVD Line: 13364 + +// SFDITEM_FIELD__PE_PE_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000041C) Port n Output Bit Set 11 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR11 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR10 ---------------------------------- +// SVD Line: 13370 + +// SFDITEM_FIELD__PE_PE_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000041C) Port n Output Bit Set 10 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR10 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR9 ----------------------------------- +// SVD Line: 13376 + +// SFDITEM_FIELD__PE_PE_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000041C) Port n Output Bit Set 9 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR9 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR8 ----------------------------------- +// SVD Line: 13382 + +// SFDITEM_FIELD__PE_PE_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000041C) Port n Output Bit Set 8 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR8 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR7 ----------------------------------- +// SVD Line: 13388 + +// SFDITEM_FIELD__PE_PE_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000041C) Port n Output Bit Set 7 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR6 ----------------------------------- +// SVD Line: 13394 + +// SFDITEM_FIELD__PE_PE_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000041C) Port n Output Bit Set 6 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR5 ----------------------------------- +// SVD Line: 13400 + +// SFDITEM_FIELD__PE_PE_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000041C) Port n Output Bit Set 5 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR4 ----------------------------------- +// SVD Line: 13406 + +// SFDITEM_FIELD__PE_PE_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000041C) Port n Output Bit Set 4 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR3 ----------------------------------- +// SVD Line: 13412 + +// SFDITEM_FIELD__PE_PE_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000041C) Port n Output Bit Set 3 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR2 ----------------------------------- +// SVD Line: 13418 + +// SFDITEM_FIELD__PE_PE_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000041C) Port n Output Bit Set 2 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR1 ----------------------------------- +// SVD Line: 13424 + +// SFDITEM_FIELD__PE_PE_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000041C) Port n Output Bit Set 1 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BSR_BSR0 ----------------------------------- +// SVD Line: 13430 + +// SFDITEM_FIELD__PE_PE_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000041C) Port n Output Bit Set 0 +// +// ( (unsigned int) PE_PE_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PE_PE_BSR ----------------------------------- +// SVD Line: 13330 + +// SFDITEM_REG__PE_PE_BSR +// PE_BSR +// +// [Bits 31..0] WO (@ 0x3000041C) Port n Output Bit Set Register +// ( (unsigned int)((PE_PE_BSR >> 0) & 0xFFFFFFFF), ((PE_PE_BSR = (PE_PE_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_BSR_BSR15 +// SFDITEM_FIELD__PE_PE_BSR_BSR14 +// SFDITEM_FIELD__PE_PE_BSR_BSR13 +// SFDITEM_FIELD__PE_PE_BSR_BSR12 +// SFDITEM_FIELD__PE_PE_BSR_BSR11 +// SFDITEM_FIELD__PE_PE_BSR_BSR10 +// SFDITEM_FIELD__PE_PE_BSR_BSR9 +// SFDITEM_FIELD__PE_PE_BSR_BSR8 +// SFDITEM_FIELD__PE_PE_BSR_BSR7 +// SFDITEM_FIELD__PE_PE_BSR_BSR6 +// SFDITEM_FIELD__PE_PE_BSR_BSR5 +// SFDITEM_FIELD__PE_PE_BSR_BSR4 +// SFDITEM_FIELD__PE_PE_BSR_BSR3 +// SFDITEM_FIELD__PE_PE_BSR_BSR2 +// SFDITEM_FIELD__PE_PE_BSR_BSR1 +// SFDITEM_FIELD__PE_PE_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_BCR -------------------------------- +// SVD Line: 13438 + +unsigned int PE_PE_BCR __AT (0x30000420); + + + +// ------------------------------- Field Item: PE_PE_BCR_BCR15 ---------------------------------- +// SVD Line: 13448 + +// SFDITEM_FIELD__PE_PE_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000420) Port n Output Bit Clear 15 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR15 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR14 ---------------------------------- +// SVD Line: 13454 + +// SFDITEM_FIELD__PE_PE_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000420) Port n Output Bit Clear 14 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR14 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR13 ---------------------------------- +// SVD Line: 13460 + +// SFDITEM_FIELD__PE_PE_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000420) Port n Output Bit Clear 13 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR13 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR12 ---------------------------------- +// SVD Line: 13466 + +// SFDITEM_FIELD__PE_PE_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000420) Port n Output Bit Clear 12 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR12 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR11 ---------------------------------- +// SVD Line: 13472 + +// SFDITEM_FIELD__PE_PE_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000420) Port n Output Bit Clear 11 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR11 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR10 ---------------------------------- +// SVD Line: 13478 + +// SFDITEM_FIELD__PE_PE_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000420) Port n Output Bit Clear 10 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR10 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR9 ----------------------------------- +// SVD Line: 13484 + +// SFDITEM_FIELD__PE_PE_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000420) Port n Output Bit Clear 9 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR9 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR8 ----------------------------------- +// SVD Line: 13490 + +// SFDITEM_FIELD__PE_PE_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000420) Port n Output Bit Clear 8 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR8 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR7 ----------------------------------- +// SVD Line: 13496 + +// SFDITEM_FIELD__PE_PE_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000420) Port n Output Bit Clear 7 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR6 ----------------------------------- +// SVD Line: 13502 + +// SFDITEM_FIELD__PE_PE_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000420) Port n Output Bit Clear 6 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR5 ----------------------------------- +// SVD Line: 13508 + +// SFDITEM_FIELD__PE_PE_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000420) Port n Output Bit Clear 5 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR4 ----------------------------------- +// SVD Line: 13514 + +// SFDITEM_FIELD__PE_PE_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000420) Port n Output Bit Clear 4 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR3 ----------------------------------- +// SVD Line: 13520 + +// SFDITEM_FIELD__PE_PE_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000420) Port n Output Bit Clear 3 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR2 ----------------------------------- +// SVD Line: 13526 + +// SFDITEM_FIELD__PE_PE_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000420) Port n Output Bit Clear 2 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR1 ----------------------------------- +// SVD Line: 13532 + +// SFDITEM_FIELD__PE_PE_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000420) Port n Output Bit Clear 1 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PE_PE_BCR_BCR0 ----------------------------------- +// SVD Line: 13538 + +// SFDITEM_FIELD__PE_PE_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000420) Port n Output Bit Clear 0 +// +// ( (unsigned int) PE_PE_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PE_PE_BCR ----------------------------------- +// SVD Line: 13438 + +// SFDITEM_REG__PE_PE_BCR +// PE_BCR +// +// [Bits 31..0] WO (@ 0x30000420) Port n Output Bit Clear Register +// ( (unsigned int)((PE_PE_BCR >> 0) & 0xFFFFFFFF), ((PE_PE_BCR = (PE_PE_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_BCR_BCR15 +// SFDITEM_FIELD__PE_PE_BCR_BCR14 +// SFDITEM_FIELD__PE_PE_BCR_BCR13 +// SFDITEM_FIELD__PE_PE_BCR_BCR12 +// SFDITEM_FIELD__PE_PE_BCR_BCR11 +// SFDITEM_FIELD__PE_PE_BCR_BCR10 +// SFDITEM_FIELD__PE_PE_BCR_BCR9 +// SFDITEM_FIELD__PE_PE_BCR_BCR8 +// SFDITEM_FIELD__PE_PE_BCR_BCR7 +// SFDITEM_FIELD__PE_PE_BCR_BCR6 +// SFDITEM_FIELD__PE_PE_BCR_BCR5 +// SFDITEM_FIELD__PE_PE_BCR_BCR4 +// SFDITEM_FIELD__PE_PE_BCR_BCR3 +// SFDITEM_FIELD__PE_PE_BCR_BCR2 +// SFDITEM_FIELD__PE_PE_BCR_BCR1 +// SFDITEM_FIELD__PE_PE_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PE_PE_OUTDMSK ------------------------------ +// SVD Line: 13546 + +unsigned int PE_PE_OUTDMSK __AT (0x30000424); + + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK15 ------------------------------ +// SVD Line: 13556 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000424) Port n Output Data Mask 15 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK15 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK14 ------------------------------ +// SVD Line: 13562 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000424) Port n Output Data Mask 14 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK14 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK13 ------------------------------ +// SVD Line: 13568 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000424) Port n Output Data Mask 13 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK13 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK12 ------------------------------ +// SVD Line: 13574 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000424) Port n Output Data Mask 12 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK12 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK11 ------------------------------ +// SVD Line: 13580 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000424) Port n Output Data Mask 11 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK11 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK10 ------------------------------ +// SVD Line: 13586 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000424) Port n Output Data Mask 10 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK10 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK9 ------------------------------- +// SVD Line: 13592 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000424) Port n Output Data Mask 9 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK9 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK8 ------------------------------- +// SVD Line: 13598 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000424) Port n Output Data Mask 8 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK8 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 13604 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000424) Port n Output Data Mask 7 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 13610 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000424) Port n Output Data Mask 6 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 13616 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000424) Port n Output Data Mask 5 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 13622 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000424) Port n Output Data Mask 4 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 13628 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000424) Port n Output Data Mask 3 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 13634 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000424) Port n Output Data Mask 2 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 13640 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000424) Port n Output Data Mask 1 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PE_PE_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 13646 + +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000424) Port n Output Data Mask 0 +// +// ( (unsigned int) PE_PE_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PE_PE_OUTDMSK --------------------------------- +// SVD Line: 13546 + +// SFDITEM_REG__PE_PE_OUTDMSK +// PE_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000424) Port n Output Data Mask Register +// ( (unsigned int)((PE_PE_OUTDMSK >> 0) & 0xFFFFFFFF), ((PE_PE_OUTDMSK = (PE_PE_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PE_PE_OUTDMSK_OUTDMSK0 +// +// + + +// ---------------------------- Register Item Address: PE_PE_DBCR ------------------------------- +// SVD Line: 13654 + +unsigned int PE_PE_DBCR __AT (0x30000428); + + + +// ------------------------------ Field Item: PE_PE_DBCR_DBCLK ---------------------------------- +// SVD Line: 13664 + +// SFDITEM_FIELD__PE_PE_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000428) Port n Debounce Filter Sampling Clock Selection +// +// ( (unsigned char)((PE_PE_DBCR >> 16) & 0x7), ((PE_PE_DBCR = (PE_PE_DBCR & ~(0x7UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PE_PE_DBCR_DBEN3 ---------------------------------- +// SVD Line: 13670 + +// SFDITEM_FIELD__PE_PE_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000428) Port n Debounce Enable 3 +// +// ( (unsigned int) PE_PE_DBCR ) +// DBEN3 +// +// +// + + +// ------------------------------ Field Item: PE_PE_DBCR_DBEN2 ---------------------------------- +// SVD Line: 13676 + +// SFDITEM_FIELD__PE_PE_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000428) Port n Debounce Enable 2 +// +// ( (unsigned int) PE_PE_DBCR ) +// DBEN2 +// +// +// + + +// ------------------------------ Field Item: PE_PE_DBCR_DBEN1 ---------------------------------- +// SVD Line: 13682 + +// SFDITEM_FIELD__PE_PE_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000428) Port n Debounce Enable 1 +// +// ( (unsigned int) PE_PE_DBCR ) +// DBEN1 +// +// +// + + +// ------------------------------ Field Item: PE_PE_DBCR_DBEN0 ---------------------------------- +// SVD Line: 13688 + +// SFDITEM_FIELD__PE_PE_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000428) Port n Debounce Enable 0 +// +// ( (unsigned int) PE_PE_DBCR ) +// DBEN0 +// +// +// + + +// ------------------------------- Register RTree: PE_PE_DBCR ----------------------------------- +// SVD Line: 13654 + +// SFDITEM_REG__PE_PE_DBCR +// PE_DBCR +// +// [Bits 31..0] RW (@ 0x30000428) Port n Debounce Control Register +// ( (unsigned int)((PE_PE_DBCR >> 0) & 0xFFFFFFFF), ((PE_PE_DBCR = (PE_PE_DBCR & ~(0x7000FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7000F) << 0 ) ) )) +// SFDITEM_FIELD__PE_PE_DBCR_DBCLK +// SFDITEM_FIELD__PE_PE_DBCR_DBEN3 +// SFDITEM_FIELD__PE_PE_DBCR_DBEN2 +// SFDITEM_FIELD__PE_PE_DBCR_DBEN1 +// SFDITEM_FIELD__PE_PE_DBCR_DBEN0 +// +// + + +// ----------------------------------- Peripheral View: PE -------------------------------------- +// SVD Line: 12656 + +// PE +// PE +// SFDITEM_REG__PE_MOD +// SFDITEM_REG__PE_TYP +// SFDITEM_REG__PE_AFSR1 +// SFDITEM_REG__PE_AFSR2 +// SFDITEM_REG__PE_PUPD +// SFDITEM_REG__PE_INDR +// SFDITEM_REG__PE_OUTDR +// SFDITEM_REG__PE_BSR +// SFDITEM_REG__PE_BCR +// SFDITEM_REG__PE_OUTDMSK +// SFDITEM_REG__PE_DBCR +// SFDITEM_REG__PE_PE_MOD +// SFDITEM_REG__PE_PE_TYP +// SFDITEM_REG__PE_PE_AFSR1 +// SFDITEM_REG__PE_PE_AFSR2 +// SFDITEM_REG__PE_PE_PUPD +// SFDITEM_REG__PE_PE_INDR +// SFDITEM_REG__PE_PE_OUTDR +// SFDITEM_REG__PE_PE_BSR +// SFDITEM_REG__PE_PE_BCR +// SFDITEM_REG__PE_PE_OUTDMSK +// SFDITEM_REG__PE_PE_DBCR +// +// + + +// ------------------------------ Register Item Address: PF_MOD --------------------------------- +// SVD Line: 6351 + +unsigned int PF_MOD __AT (0x30000500); + + + +// -------------------------------- Field Item: PF_MOD_MODE15 ----------------------------------- +// SVD Line: 6360 + +// SFDITEM_FIELD__PF_MOD_MODE15 +// MODE15 +// +// [Bits 31..30] RW (@ 0x30000500) \nPort n Mode Selection 15\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE15 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE14 ----------------------------------- +// SVD Line: 6383 + +// SFDITEM_FIELD__PF_MOD_MODE14 +// MODE14 +// +// [Bits 29..28] RW (@ 0x30000500) \nPort n Mode Selection 14\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE14 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE13 ----------------------------------- +// SVD Line: 6406 + +// SFDITEM_FIELD__PF_MOD_MODE13 +// MODE13 +// +// [Bits 27..26] RW (@ 0x30000500) \nPort n Mode Selection 13\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE13 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE12 ----------------------------------- +// SVD Line: 6429 + +// SFDITEM_FIELD__PF_MOD_MODE12 +// MODE12 +// +// [Bits 25..24] RW (@ 0x30000500) \nPort n Mode Selection 12\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE12 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE11 ----------------------------------- +// SVD Line: 6452 + +// SFDITEM_FIELD__PF_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000500) \nPort n Mode Selection 11\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE11 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE10 ----------------------------------- +// SVD Line: 6475 + +// SFDITEM_FIELD__PF_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000500) \nPort n Mode Selection 10\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE10 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE9 ------------------------------------ +// SVD Line: 6498 + +// SFDITEM_FIELD__PF_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000500) \nPort n Mode Selection 9\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE9 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE8 ------------------------------------ +// SVD Line: 6521 + +// SFDITEM_FIELD__PF_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000500) \nPort n Mode Selection 8\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE8 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE7 ------------------------------------ +// SVD Line: 6544 + +// SFDITEM_FIELD__PF_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000500) \nPort n Mode Selection 7\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE7 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE6 ------------------------------------ +// SVD Line: 6567 + +// SFDITEM_FIELD__PF_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000500) \nPort n Mode Selection 6\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE6 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE5 ------------------------------------ +// SVD Line: 6590 + +// SFDITEM_FIELD__PF_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000500) \nPort n Mode Selection 5\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE5 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE4 ------------------------------------ +// SVD Line: 6613 + +// SFDITEM_FIELD__PF_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000500) \nPort n Mode Selection 4\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE4 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE3 ------------------------------------ +// SVD Line: 6636 + +// SFDITEM_FIELD__PF_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000500) \nPort n Mode Selection 3\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE3 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE2 ------------------------------------ +// SVD Line: 6659 + +// SFDITEM_FIELD__PF_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000500) \nPort n Mode Selection 2\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE2 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE1 ------------------------------------ +// SVD Line: 6682 + +// SFDITEM_FIELD__PF_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000500) \nPort n Mode Selection 1\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE1 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_MOD_MODE0 ------------------------------------ +// SVD Line: 6705 + +// SFDITEM_FIELD__PF_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000500) \nPort n Mode Selection 0\n0 : Input = Input Mode\n1 : Output = Output Mode\n2 : Alternative = Alternative Function Mode\n3 : Reserved - do not use +// +// ( (unsigned int) PF_MOD ) +// MODE0 +// <0=> 0: Input = Input Mode +// <1=> 1: Output = Output Mode +// <2=> 2: Alternative = Alternative Function Mode +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PF_MOD ------------------------------------- +// SVD Line: 6351 + +// SFDITEM_REG__PF_MOD +// MOD +// +// [Bits 31..0] RW (@ 0x30000500) Port n Mode Register +// ( (unsigned int)((PF_MOD >> 0) & 0xFFFFFFFF), ((PF_MOD = (PF_MOD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_MOD_MODE15 +// SFDITEM_FIELD__PF_MOD_MODE14 +// SFDITEM_FIELD__PF_MOD_MODE13 +// SFDITEM_FIELD__PF_MOD_MODE12 +// SFDITEM_FIELD__PF_MOD_MODE11 +// SFDITEM_FIELD__PF_MOD_MODE10 +// SFDITEM_FIELD__PF_MOD_MODE9 +// SFDITEM_FIELD__PF_MOD_MODE8 +// SFDITEM_FIELD__PF_MOD_MODE7 +// SFDITEM_FIELD__PF_MOD_MODE6 +// SFDITEM_FIELD__PF_MOD_MODE5 +// SFDITEM_FIELD__PF_MOD_MODE4 +// SFDITEM_FIELD__PF_MOD_MODE3 +// SFDITEM_FIELD__PF_MOD_MODE2 +// SFDITEM_FIELD__PF_MOD_MODE1 +// SFDITEM_FIELD__PF_MOD_MODE0 +// +// + + +// ------------------------------ Register Item Address: PF_TYP --------------------------------- +// SVD Line: 6730 + +unsigned int PF_TYP __AT (0x30000504); + + + +// -------------------------------- Field Item: PF_TYP_TYP15 ------------------------------------ +// SVD Line: 6739 + +// SFDITEM_FIELD__PF_TYP_TYP15 +// TYP15 +// +// [Bit 15] RW (@ 0x30000504) \nPort n Output Type Selection 15\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP15 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PF_TYP_TYP14 ------------------------------------ +// SVD Line: 6757 + +// SFDITEM_FIELD__PF_TYP_TYP14 +// TYP14 +// +// [Bit 14] RW (@ 0x30000504) \nPort n Output Type Selection 14\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP14 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PF_TYP_TYP13 ------------------------------------ +// SVD Line: 6775 + +// SFDITEM_FIELD__PF_TYP_TYP13 +// TYP13 +// +// [Bit 13] RW (@ 0x30000504) \nPort n Output Type Selection 13\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP13 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PF_TYP_TYP12 ------------------------------------ +// SVD Line: 6793 + +// SFDITEM_FIELD__PF_TYP_TYP12 +// TYP12 +// +// [Bit 12] RW (@ 0x30000504) \nPort n Output Type Selection 12\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP12 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PF_TYP_TYP11 ------------------------------------ +// SVD Line: 6811 + +// SFDITEM_FIELD__PF_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000504) \nPort n Output Type Selection 11\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP11 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// -------------------------------- Field Item: PF_TYP_TYP10 ------------------------------------ +// SVD Line: 6829 + +// SFDITEM_FIELD__PF_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000504) \nPort n Output Type Selection 10\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP10 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP9 ------------------------------------ +// SVD Line: 6847 + +// SFDITEM_FIELD__PF_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000504) \nPort n Output Type Selection 9\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP9 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP8 ------------------------------------ +// SVD Line: 6865 + +// SFDITEM_FIELD__PF_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000504) \nPort n Output Type Selection 8\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP8 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP7 ------------------------------------ +// SVD Line: 6883 + +// SFDITEM_FIELD__PF_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000504) \nPort n Output Type Selection 7\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP7 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP6 ------------------------------------ +// SVD Line: 6901 + +// SFDITEM_FIELD__PF_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000504) \nPort n Output Type Selection 6\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP6 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP5 ------------------------------------ +// SVD Line: 6919 + +// SFDITEM_FIELD__PF_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000504) \nPort n Output Type Selection 5\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP5 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP4 ------------------------------------ +// SVD Line: 6937 + +// SFDITEM_FIELD__PF_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000504) \nPort n Output Type Selection 4\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP4 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP3 ------------------------------------ +// SVD Line: 6955 + +// SFDITEM_FIELD__PF_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000504) \nPort n Output Type Selection 3\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP3 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP2 ------------------------------------ +// SVD Line: 6973 + +// SFDITEM_FIELD__PF_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000504) \nPort n Output Type Selection 2\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP2 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP1 ------------------------------------ +// SVD Line: 6991 + +// SFDITEM_FIELD__PF_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000504) \nPort n Output Type Selection 1\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP1 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Field Item: PF_TYP_TYP0 ------------------------------------ +// SVD Line: 7009 + +// SFDITEM_FIELD__PF_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000504) \nPort n Output Type Selection 0\n0 : PushPull = Push-Pull Output\n1 : OpenDrain = Open-Drain Output +// +// ( (unsigned int) PF_TYP ) +// TYP0 +// <0=> 0: PushPull = Push-Pull Output +// <1=> 1: OpenDrain = Open-Drain Output +// +// +// + + +// --------------------------------- Register RTree: PF_TYP ------------------------------------- +// SVD Line: 6730 + +// SFDITEM_REG__PF_TYP +// TYP +// +// [Bits 31..0] RW (@ 0x30000504) Port n Output Type Selection Register +// ( (unsigned int)((PF_TYP >> 0) & 0xFFFFFFFF), ((PF_TYP = (PF_TYP & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_TYP_TYP15 +// SFDITEM_FIELD__PF_TYP_TYP14 +// SFDITEM_FIELD__PF_TYP_TYP13 +// SFDITEM_FIELD__PF_TYP_TYP12 +// SFDITEM_FIELD__PF_TYP_TYP11 +// SFDITEM_FIELD__PF_TYP_TYP10 +// SFDITEM_FIELD__PF_TYP_TYP9 +// SFDITEM_FIELD__PF_TYP_TYP8 +// SFDITEM_FIELD__PF_TYP_TYP7 +// SFDITEM_FIELD__PF_TYP_TYP6 +// SFDITEM_FIELD__PF_TYP_TYP5 +// SFDITEM_FIELD__PF_TYP_TYP4 +// SFDITEM_FIELD__PF_TYP_TYP3 +// SFDITEM_FIELD__PF_TYP_TYP2 +// SFDITEM_FIELD__PF_TYP_TYP1 +// SFDITEM_FIELD__PF_TYP_TYP0 +// +// + + +// ----------------------------- Register Item Address: PF_AFSR1 -------------------------------- +// SVD Line: 7029 + +unsigned int PF_AFSR1 __AT (0x30000508); + + + +// ------------------------------- Field Item: PF_AFSR1_AFSR7 ----------------------------------- +// SVD Line: 7038 + +// SFDITEM_FIELD__PF_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000508) \nPort n Alternative Function Selection 7\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR7 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR6 ----------------------------------- +// SVD Line: 7071 + +// SFDITEM_FIELD__PF_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000508) \nPort n Alternative Function Selection 6\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR6 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR5 ----------------------------------- +// SVD Line: 7104 + +// SFDITEM_FIELD__PF_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000508) \nPort n Alternative Function Selection 5\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR5 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR4 ----------------------------------- +// SVD Line: 7137 + +// SFDITEM_FIELD__PF_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000508) \nPort n Alternative Function Selection 4\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR4 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR3 ----------------------------------- +// SVD Line: 7170 + +// SFDITEM_FIELD__PF_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000508) \nPort n Alternative Function Selection 3\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR3 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR2 ----------------------------------- +// SVD Line: 7203 + +// SFDITEM_FIELD__PF_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000508) \nPort n Alternative Function Selection 2\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR2 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR1 ----------------------------------- +// SVD Line: 7236 + +// SFDITEM_FIELD__PF_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000508) \nPort n Alternative Function Selection 1\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR1 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR1_AFSR0 ----------------------------------- +// SVD Line: 7269 + +// SFDITEM_FIELD__PF_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000508) \nPort n Alternative Function Selection 0\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR1 ) +// AFSR0 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PF_AFSR1 ------------------------------------ +// SVD Line: 7029 + +// SFDITEM_REG__PF_AFSR1 +// AFSR1 +// +// [Bits 31..0] RW (@ 0x30000508) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PF_AFSR1 >> 0) & 0xFFFFFFFF), ((PF_AFSR1 = (PF_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_AFSR1_AFSR7 +// SFDITEM_FIELD__PF_AFSR1_AFSR6 +// SFDITEM_FIELD__PF_AFSR1_AFSR5 +// SFDITEM_FIELD__PF_AFSR1_AFSR4 +// SFDITEM_FIELD__PF_AFSR1_AFSR3 +// SFDITEM_FIELD__PF_AFSR1_AFSR2 +// SFDITEM_FIELD__PF_AFSR1_AFSR1 +// SFDITEM_FIELD__PF_AFSR1_AFSR0 +// +// + + +// ----------------------------- Register Item Address: PF_AFSR2 -------------------------------- +// SVD Line: 7304 + +unsigned int PF_AFSR2 __AT (0x3000050C); + + + +// ------------------------------- Field Item: PF_AFSR2_AFSR15 ---------------------------------- +// SVD Line: 7313 + +// SFDITEM_FIELD__PF_AFSR2_AFSR15 +// AFSR15 +// +// [Bits 31..28] RW (@ 0x3000050C) \nPort n Alternative Function Selection 15\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR15 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR14 ---------------------------------- +// SVD Line: 7346 + +// SFDITEM_FIELD__PF_AFSR2_AFSR14 +// AFSR14 +// +// [Bits 27..24] RW (@ 0x3000050C) \nPort n Alternative Function Selection 14\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR14 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR13 ---------------------------------- +// SVD Line: 7379 + +// SFDITEM_FIELD__PF_AFSR2_AFSR13 +// AFSR13 +// +// [Bits 23..20] RW (@ 0x3000050C) \nPort n Alternative Function Selection 13\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR13 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR12 ---------------------------------- +// SVD Line: 7412 + +// SFDITEM_FIELD__PF_AFSR2_AFSR12 +// AFSR12 +// +// [Bits 19..16] RW (@ 0x3000050C) \nPort n Alternative Function Selection 12\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR12 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR11 ---------------------------------- +// SVD Line: 7445 + +// SFDITEM_FIELD__PF_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000050C) \nPort n Alternative Function Selection 11\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR11 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR10 ---------------------------------- +// SVD Line: 7478 + +// SFDITEM_FIELD__PF_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000050C) \nPort n Alternative Function Selection 10\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR10 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR9 ----------------------------------- +// SVD Line: 7511 + +// SFDITEM_FIELD__PF_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000050C) \nPort n Alternative Function Selection 9\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR9 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// ------------------------------- Field Item: PF_AFSR2_AFSR8 ----------------------------------- +// SVD Line: 7544 + +// SFDITEM_FIELD__PF_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000050C) \nPort n Alternative Function Selection 8\n0 : AF0 = Alternative Function 0 (AF0)\n1 : AF1 = Alternative Function 1 (AF1)\n2 : AF2 = Alternative Function 2 (AF2)\n3 : AF3 = Alternative Function 3 (AF3)\n4 : AF4 = Alternative Function 4 (AF4)\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) PF_AFSR2 ) +// AFSR8 +// <0=> 0: AF0 = Alternative Function 0 (AF0) +// <1=> 1: AF1 = Alternative Function 1 (AF1) +// <2=> 2: AF2 = Alternative Function 2 (AF2) +// <3=> 3: AF3 = Alternative Function 3 (AF3) +// <4=> 4: AF4 = Alternative Function 4 (AF4) +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// +// +// + + +// -------------------------------- Register RTree: PF_AFSR2 ------------------------------------ +// SVD Line: 7304 + +// SFDITEM_REG__PF_AFSR2 +// AFSR2 +// +// [Bits 31..0] RW (@ 0x3000050C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PF_AFSR2 >> 0) & 0xFFFFFFFF), ((PF_AFSR2 = (PF_AFSR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_AFSR2_AFSR15 +// SFDITEM_FIELD__PF_AFSR2_AFSR14 +// SFDITEM_FIELD__PF_AFSR2_AFSR13 +// SFDITEM_FIELD__PF_AFSR2_AFSR12 +// SFDITEM_FIELD__PF_AFSR2_AFSR11 +// SFDITEM_FIELD__PF_AFSR2_AFSR10 +// SFDITEM_FIELD__PF_AFSR2_AFSR9 +// SFDITEM_FIELD__PF_AFSR2_AFSR8 +// +// + + +// ----------------------------- Register Item Address: PF_PUPD --------------------------------- +// SVD Line: 7579 + +unsigned int PF_PUPD __AT (0x30000510); + + + +// ------------------------------- Field Item: PF_PUPD_PUPD15 ----------------------------------- +// SVD Line: 7588 + +// SFDITEM_FIELD__PF_PUPD_PUPD15 +// PUPD15 +// +// [Bits 31..30] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 15\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD15 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PF_PUPD_PUPD14 ----------------------------------- +// SVD Line: 7611 + +// SFDITEM_FIELD__PF_PUPD_PUPD14 +// PUPD14 +// +// [Bits 29..28] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 14\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD14 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PF_PUPD_PUPD13 ----------------------------------- +// SVD Line: 7634 + +// SFDITEM_FIELD__PF_PUPD_PUPD13 +// PUPD13 +// +// [Bits 27..26] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 13\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD13 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PF_PUPD_PUPD12 ----------------------------------- +// SVD Line: 7657 + +// SFDITEM_FIELD__PF_PUPD_PUPD12 +// PUPD12 +// +// [Bits 25..24] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 12\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD12 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PF_PUPD_PUPD11 ----------------------------------- +// SVD Line: 7680 + +// SFDITEM_FIELD__PF_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 11\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD11 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: PF_PUPD_PUPD10 ----------------------------------- +// SVD Line: 7703 + +// SFDITEM_FIELD__PF_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 10\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD10 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD9 ----------------------------------- +// SVD Line: 7726 + +// SFDITEM_FIELD__PF_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 9\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD9 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD8 ----------------------------------- +// SVD Line: 7749 + +// SFDITEM_FIELD__PF_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 8\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD8 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD7 ----------------------------------- +// SVD Line: 7772 + +// SFDITEM_FIELD__PF_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 7\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD7 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD6 ----------------------------------- +// SVD Line: 7795 + +// SFDITEM_FIELD__PF_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 6\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD6 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD5 ----------------------------------- +// SVD Line: 7818 + +// SFDITEM_FIELD__PF_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 5\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD5 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD4 ----------------------------------- +// SVD Line: 7841 + +// SFDITEM_FIELD__PF_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 4\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD4 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD3 ----------------------------------- +// SVD Line: 7864 + +// SFDITEM_FIELD__PF_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 3\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD3 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD2 ----------------------------------- +// SVD Line: 7887 + +// SFDITEM_FIELD__PF_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 2\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD2 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD1 ----------------------------------- +// SVD Line: 7910 + +// SFDITEM_FIELD__PF_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 1\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD1 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// -------------------------------- Field Item: PF_PUPD_PUPD0 ----------------------------------- +// SVD Line: 7933 + +// SFDITEM_FIELD__PF_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000510) \nPort n Pull-Up/Down Resistor Selection 0\n0 : Disable = Disable pull-up/down resistor.\n1 : EnablePU = Enable pull-up resistor.\n2 : EnablePD = Enable pull-down resistor.\n3 : Reserved - do not use +// +// ( (unsigned int) PF_PUPD ) +// PUPD0 +// <0=> 0: Disable = Disable pull-up/down resistor. +// <1=> 1: EnablePU = Enable pull-up resistor. +// <2=> 2: EnablePD = Enable pull-down resistor. +// <3=> 3: +// +// +// + + +// --------------------------------- Register RTree: PF_PUPD ------------------------------------ +// SVD Line: 7579 + +// SFDITEM_REG__PF_PUPD +// PUPD +// +// [Bits 31..0] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PF_PUPD >> 0) & 0xFFFFFFFF), ((PF_PUPD = (PF_PUPD & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PUPD_PUPD15 +// SFDITEM_FIELD__PF_PUPD_PUPD14 +// SFDITEM_FIELD__PF_PUPD_PUPD13 +// SFDITEM_FIELD__PF_PUPD_PUPD12 +// SFDITEM_FIELD__PF_PUPD_PUPD11 +// SFDITEM_FIELD__PF_PUPD_PUPD10 +// SFDITEM_FIELD__PF_PUPD_PUPD9 +// SFDITEM_FIELD__PF_PUPD_PUPD8 +// SFDITEM_FIELD__PF_PUPD_PUPD7 +// SFDITEM_FIELD__PF_PUPD_PUPD6 +// SFDITEM_FIELD__PF_PUPD_PUPD5 +// SFDITEM_FIELD__PF_PUPD_PUPD4 +// SFDITEM_FIELD__PF_PUPD_PUPD3 +// SFDITEM_FIELD__PF_PUPD_PUPD2 +// SFDITEM_FIELD__PF_PUPD_PUPD1 +// SFDITEM_FIELD__PF_PUPD_PUPD0 +// +// + + +// ----------------------------- Register Item Address: PF_INDR --------------------------------- +// SVD Line: 7958 + +unsigned int PF_INDR __AT (0x30000514); + + + +// ------------------------------- Field Item: PF_INDR_INDR15 ----------------------------------- +// SVD Line: 7967 + +// SFDITEM_FIELD__PF_INDR_INDR15 +// INDR15 +// +// [Bit 15] RO (@ 0x30000514) Port n Input Data 15 +// +// ( (unsigned int) PF_INDR ) +// INDR15 +// +// +// + + +// ------------------------------- Field Item: PF_INDR_INDR14 ----------------------------------- +// SVD Line: 7973 + +// SFDITEM_FIELD__PF_INDR_INDR14 +// INDR14 +// +// [Bit 14] RO (@ 0x30000514) Port n Input Data 14 +// +// ( (unsigned int) PF_INDR ) +// INDR14 +// +// +// + + +// ------------------------------- Field Item: PF_INDR_INDR13 ----------------------------------- +// SVD Line: 7979 + +// SFDITEM_FIELD__PF_INDR_INDR13 +// INDR13 +// +// [Bit 13] RO (@ 0x30000514) Port n Input Data 13 +// +// ( (unsigned int) PF_INDR ) +// INDR13 +// +// +// + + +// ------------------------------- Field Item: PF_INDR_INDR12 ----------------------------------- +// SVD Line: 7985 + +// SFDITEM_FIELD__PF_INDR_INDR12 +// INDR12 +// +// [Bit 12] RO (@ 0x30000514) Port n Input Data 12 +// +// ( (unsigned int) PF_INDR ) +// INDR12 +// +// +// + + +// ------------------------------- Field Item: PF_INDR_INDR11 ----------------------------------- +// SVD Line: 7991 + +// SFDITEM_FIELD__PF_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000514) Port n Input Data 11 +// +// ( (unsigned int) PF_INDR ) +// INDR11 +// +// +// + + +// ------------------------------- Field Item: PF_INDR_INDR10 ----------------------------------- +// SVD Line: 7997 + +// SFDITEM_FIELD__PF_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000514) Port n Input Data 10 +// +// ( (unsigned int) PF_INDR ) +// INDR10 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR9 ----------------------------------- +// SVD Line: 8003 + +// SFDITEM_FIELD__PF_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000514) Port n Input Data 9 +// +// ( (unsigned int) PF_INDR ) +// INDR9 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR8 ----------------------------------- +// SVD Line: 8009 + +// SFDITEM_FIELD__PF_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000514) Port n Input Data 8 +// +// ( (unsigned int) PF_INDR ) +// INDR8 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR7 ----------------------------------- +// SVD Line: 8015 + +// SFDITEM_FIELD__PF_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000514) Port n Input Data 7 +// +// ( (unsigned int) PF_INDR ) +// INDR7 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR6 ----------------------------------- +// SVD Line: 8021 + +// SFDITEM_FIELD__PF_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000514) Port n Input Data 6 +// +// ( (unsigned int) PF_INDR ) +// INDR6 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR5 ----------------------------------- +// SVD Line: 8027 + +// SFDITEM_FIELD__PF_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000514) Port n Input Data 5 +// +// ( (unsigned int) PF_INDR ) +// INDR5 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR4 ----------------------------------- +// SVD Line: 8033 + +// SFDITEM_FIELD__PF_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000514) Port n Input Data 4 +// +// ( (unsigned int) PF_INDR ) +// INDR4 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR3 ----------------------------------- +// SVD Line: 8039 + +// SFDITEM_FIELD__PF_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000514) Port n Input Data 3 +// +// ( (unsigned int) PF_INDR ) +// INDR3 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR2 ----------------------------------- +// SVD Line: 8045 + +// SFDITEM_FIELD__PF_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000514) Port n Input Data 2 +// +// ( (unsigned int) PF_INDR ) +// INDR2 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR1 ----------------------------------- +// SVD Line: 8051 + +// SFDITEM_FIELD__PF_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000514) Port n Input Data 1 +// +// ( (unsigned int) PF_INDR ) +// INDR1 +// +// +// + + +// -------------------------------- Field Item: PF_INDR_INDR0 ----------------------------------- +// SVD Line: 8057 + +// SFDITEM_FIELD__PF_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000514) Port n Input Data 0 +// +// ( (unsigned int) PF_INDR ) +// INDR0 +// +// +// + + +// --------------------------------- Register RTree: PF_INDR ------------------------------------ +// SVD Line: 7958 + +// SFDITEM_REG__PF_INDR +// INDR +// +// [Bits 31..0] RO (@ 0x30000514) Port n Input Data Register +// ( (unsigned int)((PF_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PF_INDR_INDR15 +// SFDITEM_FIELD__PF_INDR_INDR14 +// SFDITEM_FIELD__PF_INDR_INDR13 +// SFDITEM_FIELD__PF_INDR_INDR12 +// SFDITEM_FIELD__PF_INDR_INDR11 +// SFDITEM_FIELD__PF_INDR_INDR10 +// SFDITEM_FIELD__PF_INDR_INDR9 +// SFDITEM_FIELD__PF_INDR_INDR8 +// SFDITEM_FIELD__PF_INDR_INDR7 +// SFDITEM_FIELD__PF_INDR_INDR6 +// SFDITEM_FIELD__PF_INDR_INDR5 +// SFDITEM_FIELD__PF_INDR_INDR4 +// SFDITEM_FIELD__PF_INDR_INDR3 +// SFDITEM_FIELD__PF_INDR_INDR2 +// SFDITEM_FIELD__PF_INDR_INDR1 +// SFDITEM_FIELD__PF_INDR_INDR0 +// +// + + +// ----------------------------- Register Item Address: PF_OUTDR -------------------------------- +// SVD Line: 8065 + +unsigned int PF_OUTDR __AT (0x30000518); + + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR15 ---------------------------------- +// SVD Line: 8074 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR15 +// OUTDR15 +// +// [Bit 15] RW (@ 0x30000518) Port n Output Data 15 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR15 +// +// +// + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR14 ---------------------------------- +// SVD Line: 8080 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR14 +// OUTDR14 +// +// [Bit 14] RW (@ 0x30000518) Port n Output Data 14 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR14 +// +// +// + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR13 ---------------------------------- +// SVD Line: 8086 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR13 +// OUTDR13 +// +// [Bit 13] RW (@ 0x30000518) Port n Output Data 13 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR13 +// +// +// + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR12 ---------------------------------- +// SVD Line: 8092 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR12 +// OUTDR12 +// +// [Bit 12] RW (@ 0x30000518) Port n Output Data 12 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR12 +// +// +// + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR11 ---------------------------------- +// SVD Line: 8098 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000518) Port n Output Data 11 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR11 +// +// +// + + +// ------------------------------ Field Item: PF_OUTDR_OUTDR10 ---------------------------------- +// SVD Line: 8104 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000518) Port n Output Data 10 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR10 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR9 ---------------------------------- +// SVD Line: 8110 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000518) Port n Output Data 9 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR9 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR8 ---------------------------------- +// SVD Line: 8116 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000518) Port n Output Data 8 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR8 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR7 ---------------------------------- +// SVD Line: 8122 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000518) Port n Output Data 7 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR7 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR6 ---------------------------------- +// SVD Line: 8128 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000518) Port n Output Data 6 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR6 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR5 ---------------------------------- +// SVD Line: 8134 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000518) Port n Output Data 5 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR5 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR4 ---------------------------------- +// SVD Line: 8140 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000518) Port n Output Data 4 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR4 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR3 ---------------------------------- +// SVD Line: 8146 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000518) Port n Output Data 3 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR3 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR2 ---------------------------------- +// SVD Line: 8152 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000518) Port n Output Data 2 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR2 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR1 ---------------------------------- +// SVD Line: 8158 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000518) Port n Output Data 1 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR1 +// +// +// + + +// ------------------------------- Field Item: PF_OUTDR_OUTDR0 ---------------------------------- +// SVD Line: 8164 + +// SFDITEM_FIELD__PF_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000518) Port n Output Data 0 +// +// ( (unsigned int) PF_OUTDR ) +// OUTDR0 +// +// +// + + +// -------------------------------- Register RTree: PF_OUTDR ------------------------------------ +// SVD Line: 8065 + +// SFDITEM_REG__PF_OUTDR +// OUTDR +// +// [Bits 31..0] RW (@ 0x30000518) Port n Output Data Register +// ( (unsigned int)((PF_OUTDR >> 0) & 0xFFFFFFFF), ((PF_OUTDR = (PF_OUTDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_OUTDR_OUTDR15 +// SFDITEM_FIELD__PF_OUTDR_OUTDR14 +// SFDITEM_FIELD__PF_OUTDR_OUTDR13 +// SFDITEM_FIELD__PF_OUTDR_OUTDR12 +// SFDITEM_FIELD__PF_OUTDR_OUTDR11 +// SFDITEM_FIELD__PF_OUTDR_OUTDR10 +// SFDITEM_FIELD__PF_OUTDR_OUTDR9 +// SFDITEM_FIELD__PF_OUTDR_OUTDR8 +// SFDITEM_FIELD__PF_OUTDR_OUTDR7 +// SFDITEM_FIELD__PF_OUTDR_OUTDR6 +// SFDITEM_FIELD__PF_OUTDR_OUTDR5 +// SFDITEM_FIELD__PF_OUTDR_OUTDR4 +// SFDITEM_FIELD__PF_OUTDR_OUTDR3 +// SFDITEM_FIELD__PF_OUTDR_OUTDR2 +// SFDITEM_FIELD__PF_OUTDR_OUTDR1 +// SFDITEM_FIELD__PF_OUTDR_OUTDR0 +// +// + + +// ------------------------------ Register Item Address: PF_BSR --------------------------------- +// SVD Line: 8172 + +unsigned int PF_BSR __AT (0x3000051C); + + + +// -------------------------------- Field Item: PF_BSR_BSR15 ------------------------------------ +// SVD Line: 8181 + +// SFDITEM_FIELD__PF_BSR_BSR15 +// BSR15 +// +// [Bit 15] WO (@ 0x3000051C) \nPort n Output Bit Set 15\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BSR_BSR14 ------------------------------------ +// SVD Line: 8199 + +// SFDITEM_FIELD__PF_BSR_BSR14 +// BSR14 +// +// [Bit 14] WO (@ 0x3000051C) \nPort n Output Bit Set 14\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BSR_BSR13 ------------------------------------ +// SVD Line: 8217 + +// SFDITEM_FIELD__PF_BSR_BSR13 +// BSR13 +// +// [Bit 13] WO (@ 0x3000051C) \nPort n Output Bit Set 13\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BSR_BSR12 ------------------------------------ +// SVD Line: 8235 + +// SFDITEM_FIELD__PF_BSR_BSR12 +// BSR12 +// +// [Bit 12] WO (@ 0x3000051C) \nPort n Output Bit Set 12\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BSR_BSR11 ------------------------------------ +// SVD Line: 8253 + +// SFDITEM_FIELD__PF_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000051C) \nPort n Output Bit Set 11\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BSR_BSR10 ------------------------------------ +// SVD Line: 8271 + +// SFDITEM_FIELD__PF_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000051C) \nPort n Output Bit Set 10\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR9 ------------------------------------ +// SVD Line: 8289 + +// SFDITEM_FIELD__PF_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000051C) \nPort n Output Bit Set 9\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR8 ------------------------------------ +// SVD Line: 8307 + +// SFDITEM_FIELD__PF_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000051C) \nPort n Output Bit Set 8\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR7 ------------------------------------ +// SVD Line: 8325 + +// SFDITEM_FIELD__PF_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000051C) \nPort n Output Bit Set 7\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR6 ------------------------------------ +// SVD Line: 8343 + +// SFDITEM_FIELD__PF_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000051C) \nPort n Output Bit Set 6\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR5 ------------------------------------ +// SVD Line: 8361 + +// SFDITEM_FIELD__PF_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000051C) \nPort n Output Bit Set 5\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR4 ------------------------------------ +// SVD Line: 8379 + +// SFDITEM_FIELD__PF_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000051C) \nPort n Output Bit Set 4\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR3 ------------------------------------ +// SVD Line: 8397 + +// SFDITEM_FIELD__PF_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000051C) \nPort n Output Bit Set 3\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR2 ------------------------------------ +// SVD Line: 8415 + +// SFDITEM_FIELD__PF_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000051C) \nPort n Output Bit Set 2\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR1 ------------------------------------ +// SVD Line: 8433 + +// SFDITEM_FIELD__PF_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000051C) \nPort n Output Bit Set 1\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BSR_BSR0 ------------------------------------ +// SVD Line: 8451 + +// SFDITEM_FIELD__PF_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000051C) \nPort n Output Bit Set 0\n0 : NoEffect = No effect.\n1 : Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BSR ) +// BSR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Set = Set the corresponding OUTDRx bit (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PF_BSR ------------------------------------- +// SVD Line: 8172 + +// SFDITEM_REG__PF_BSR +// BSR +// +// [Bits 31..0] WO (@ 0x3000051C) Port n Output Bit Set Register +// ( (unsigned int)((PF_BSR >> 0) & 0xFFFFFFFF), ((PF_BSR = (PF_BSR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_BSR_BSR15 +// SFDITEM_FIELD__PF_BSR_BSR14 +// SFDITEM_FIELD__PF_BSR_BSR13 +// SFDITEM_FIELD__PF_BSR_BSR12 +// SFDITEM_FIELD__PF_BSR_BSR11 +// SFDITEM_FIELD__PF_BSR_BSR10 +// SFDITEM_FIELD__PF_BSR_BSR9 +// SFDITEM_FIELD__PF_BSR_BSR8 +// SFDITEM_FIELD__PF_BSR_BSR7 +// SFDITEM_FIELD__PF_BSR_BSR6 +// SFDITEM_FIELD__PF_BSR_BSR5 +// SFDITEM_FIELD__PF_BSR_BSR4 +// SFDITEM_FIELD__PF_BSR_BSR3 +// SFDITEM_FIELD__PF_BSR_BSR2 +// SFDITEM_FIELD__PF_BSR_BSR1 +// SFDITEM_FIELD__PF_BSR_BSR0 +// +// + + +// ------------------------------ Register Item Address: PF_BCR --------------------------------- +// SVD Line: 8471 + +unsigned int PF_BCR __AT (0x30000520); + + + +// -------------------------------- Field Item: PF_BCR_BCR15 ------------------------------------ +// SVD Line: 8480 + +// SFDITEM_FIELD__PF_BCR_BCR15 +// BCR15 +// +// [Bit 15] WO (@ 0x30000520) \nPort n Output Bit Clear 15\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR15 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BCR_BCR14 ------------------------------------ +// SVD Line: 8498 + +// SFDITEM_FIELD__PF_BCR_BCR14 +// BCR14 +// +// [Bit 14] WO (@ 0x30000520) \nPort n Output Bit Clear 14\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR14 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BCR_BCR13 ------------------------------------ +// SVD Line: 8516 + +// SFDITEM_FIELD__PF_BCR_BCR13 +// BCR13 +// +// [Bit 13] WO (@ 0x30000520) \nPort n Output Bit Clear 13\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR13 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BCR_BCR12 ------------------------------------ +// SVD Line: 8534 + +// SFDITEM_FIELD__PF_BCR_BCR12 +// BCR12 +// +// [Bit 12] WO (@ 0x30000520) \nPort n Output Bit Clear 12\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR12 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BCR_BCR11 ------------------------------------ +// SVD Line: 8552 + +// SFDITEM_FIELD__PF_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000520) \nPort n Output Bit Clear 11\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR11 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// -------------------------------- Field Item: PF_BCR_BCR10 ------------------------------------ +// SVD Line: 8570 + +// SFDITEM_FIELD__PF_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000520) \nPort n Output Bit Clear 10\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR10 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR9 ------------------------------------ +// SVD Line: 8588 + +// SFDITEM_FIELD__PF_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000520) \nPort n Output Bit Clear 9\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR9 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR8 ------------------------------------ +// SVD Line: 8606 + +// SFDITEM_FIELD__PF_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000520) \nPort n Output Bit Clear 8\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR8 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR7 ------------------------------------ +// SVD Line: 8624 + +// SFDITEM_FIELD__PF_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000520) \nPort n Output Bit Clear 7\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR7 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR6 ------------------------------------ +// SVD Line: 8642 + +// SFDITEM_FIELD__PF_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000520) \nPort n Output Bit Clear 6\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR6 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR5 ------------------------------------ +// SVD Line: 8660 + +// SFDITEM_FIELD__PF_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000520) \nPort n Output Bit Clear 5\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR5 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR4 ------------------------------------ +// SVD Line: 8678 + +// SFDITEM_FIELD__PF_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000520) \nPort n Output Bit Clear 4\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR4 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR3 ------------------------------------ +// SVD Line: 8696 + +// SFDITEM_FIELD__PF_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000520) \nPort n Output Bit Clear 3\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR3 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR2 ------------------------------------ +// SVD Line: 8714 + +// SFDITEM_FIELD__PF_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000520) \nPort n Output Bit Clear 2\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR2 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR1 ------------------------------------ +// SVD Line: 8732 + +// SFDITEM_FIELD__PF_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000520) \nPort n Output Bit Clear 1\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR1 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Field Item: PF_BCR_BCR0 ------------------------------------ +// SVD Line: 8750 + +// SFDITEM_FIELD__PF_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000520) \nPort n Output Bit Clear 0\n0 : NoEffect = No effect.\n1 : Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// ( (unsigned int) PF_BCR ) +// BCR0 +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) +// +// +// + + +// --------------------------------- Register RTree: PF_BCR ------------------------------------- +// SVD Line: 8471 + +// SFDITEM_REG__PF_BCR +// BCR +// +// [Bits 31..0] WO (@ 0x30000520) Port n Output Bit Clear Register +// ( (unsigned int)((PF_BCR >> 0) & 0xFFFFFFFF), ((PF_BCR = (PF_BCR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_BCR_BCR15 +// SFDITEM_FIELD__PF_BCR_BCR14 +// SFDITEM_FIELD__PF_BCR_BCR13 +// SFDITEM_FIELD__PF_BCR_BCR12 +// SFDITEM_FIELD__PF_BCR_BCR11 +// SFDITEM_FIELD__PF_BCR_BCR10 +// SFDITEM_FIELD__PF_BCR_BCR9 +// SFDITEM_FIELD__PF_BCR_BCR8 +// SFDITEM_FIELD__PF_BCR_BCR7 +// SFDITEM_FIELD__PF_BCR_BCR6 +// SFDITEM_FIELD__PF_BCR_BCR5 +// SFDITEM_FIELD__PF_BCR_BCR4 +// SFDITEM_FIELD__PF_BCR_BCR3 +// SFDITEM_FIELD__PF_BCR_BCR2 +// SFDITEM_FIELD__PF_BCR_BCR1 +// SFDITEM_FIELD__PF_BCR_BCR0 +// +// + + +// ---------------------------- Register Item Address: PF_OUTDMSK ------------------------------- +// SVD Line: 8770 + +unsigned int PF_OUTDMSK __AT (0x30000524); + + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK15 -------------------------------- +// SVD Line: 8779 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK15 +// OUTDMSK15 +// +// [Bit 15] RW (@ 0x30000524) \nPort n Output Data Mask 15\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK15 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK14 -------------------------------- +// SVD Line: 8797 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK14 +// OUTDMSK14 +// +// [Bit 14] RW (@ 0x30000524) \nPort n Output Data Mask 14\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK14 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK13 -------------------------------- +// SVD Line: 8815 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK13 +// OUTDMSK13 +// +// [Bit 13] RW (@ 0x30000524) \nPort n Output Data Mask 13\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK13 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK12 -------------------------------- +// SVD Line: 8833 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK12 +// OUTDMSK12 +// +// [Bit 12] RW (@ 0x30000524) \nPort n Output Data Mask 12\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK12 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK11 -------------------------------- +// SVD Line: 8851 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000524) \nPort n Output Data Mask 11\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK11 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ---------------------------- Field Item: PF_OUTDMSK_OUTDMSK10 -------------------------------- +// SVD Line: 8869 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000524) \nPort n Output Data Mask 10\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK10 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK9 -------------------------------- +// SVD Line: 8887 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000524) \nPort n Output Data Mask 9\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK9 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK8 -------------------------------- +// SVD Line: 8905 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000524) \nPort n Output Data Mask 8\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK8 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK7 -------------------------------- +// SVD Line: 8923 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000524) \nPort n Output Data Mask 7\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK7 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK6 -------------------------------- +// SVD Line: 8941 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000524) \nPort n Output Data Mask 6\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK6 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK5 -------------------------------- +// SVD Line: 8959 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000524) \nPort n Output Data Mask 5\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK5 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK4 -------------------------------- +// SVD Line: 8977 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000524) \nPort n Output Data Mask 4\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK4 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK3 -------------------------------- +// SVD Line: 8995 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000524) \nPort n Output Data Mask 3\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK3 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK2 -------------------------------- +// SVD Line: 9013 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000524) \nPort n Output Data Mask 2\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK2 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK1 -------------------------------- +// SVD Line: 9031 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000524) \nPort n Output Data Mask 1\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK1 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ----------------------------- Field Item: PF_OUTDMSK_OUTDMSK0 -------------------------------- +// SVD Line: 9049 + +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000524) \nPort n Output Data Mask 0\n0 : Unmask = Unmask. The corresponding OUTDRx bit can be changed.\n1 : Mask = Mask. The corresponding OUTDRx bit is protected. +// +// ( (unsigned int) PF_OUTDMSK ) +// OUTDMSK0 +// <0=> 0: Unmask = Unmask. The corresponding OUTDRx bit can be changed. +// <1=> 1: Mask = Mask. The corresponding OUTDRx bit is protected. +// +// +// + + +// ------------------------------- Register RTree: PF_OUTDMSK ----------------------------------- +// SVD Line: 8770 + +// SFDITEM_REG__PF_OUTDMSK +// OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000524) Port n Output Data Mask Register +// ( (unsigned int)((PF_OUTDMSK >> 0) & 0xFFFFFFFF), ((PF_OUTDMSK = (PF_OUTDMSK & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK15 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK14 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK13 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK12 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PF_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------- Register Item Address: PF_DBCR --------------------------------- +// SVD Line: 9069 + +unsigned int PF_DBCR __AT (0x30000528); + + + +// -------------------------------- Field Item: PF_DBCR_DBCLK ----------------------------------- +// SVD Line: 9078 + +// SFDITEM_FIELD__PF_DBCR_DBCLK +// DBCLK +// +// [Bits 18..16] RW (@ 0x30000528) \nPort n Debounce Filter Sampling Clock Selection\n0 : HCLK1 = HCLK/1\n1 : HCLK4 = HCLK/4\n2 : HCLK16 = HCLK/16\n3 : HCLK64 = HCLK/64\n4 : HCLK256 = HCLK/256\n5 : HCLK1024 = HCLK/1024\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) PF_DBCR ) +// DBCLK +// <0=> 0: HCLK1 = HCLK/1 +// <1=> 1: HCLK4 = HCLK/4 +// <2=> 2: HCLK16 = HCLK/16 +// <3=> 3: HCLK64 = HCLK/64 +// <4=> 4: HCLK256 = HCLK/256 +// <5=> 5: HCLK1024 = HCLK/1024 +// <6=> 6: +// <7=> 7: +// +// +// + + +// ------------------------------- Field Item: PF_DBCR_DBEN11 ----------------------------------- +// SVD Line: 9116 + +// SFDITEM_FIELD__PF_DBCR_DBEN11 +// DBEN11 +// +// [Bit 11] RW (@ 0x30000528) \nPort n Debounce Enable 11\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN11 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// ------------------------------- Field Item: PF_DBCR_DBEN10 ----------------------------------- +// SVD Line: 9134 + +// SFDITEM_FIELD__PF_DBCR_DBEN10 +// DBEN10 +// +// [Bit 10] RW (@ 0x30000528) \nPort n Debounce Enable 10\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN10 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN9 ----------------------------------- +// SVD Line: 9152 + +// SFDITEM_FIELD__PF_DBCR_DBEN9 +// DBEN9 +// +// [Bit 9] RW (@ 0x30000528) \nPort n Debounce Enable 9\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN9 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN8 ----------------------------------- +// SVD Line: 9170 + +// SFDITEM_FIELD__PF_DBCR_DBEN8 +// DBEN8 +// +// [Bit 8] RW (@ 0x30000528) \nPort n Debounce Enable 8\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN8 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN7 ----------------------------------- +// SVD Line: 9188 + +// SFDITEM_FIELD__PF_DBCR_DBEN7 +// DBEN7 +// +// [Bit 7] RW (@ 0x30000528) \nPort n Debounce Enable 7\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN7 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN6 ----------------------------------- +// SVD Line: 9206 + +// SFDITEM_FIELD__PF_DBCR_DBEN6 +// DBEN6 +// +// [Bit 6] RW (@ 0x30000528) \nPort n Debounce Enable 6\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN6 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN5 ----------------------------------- +// SVD Line: 9224 + +// SFDITEM_FIELD__PF_DBCR_DBEN5 +// DBEN5 +// +// [Bit 5] RW (@ 0x30000528) \nPort n Debounce Enable 5\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN5 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN4 ----------------------------------- +// SVD Line: 9242 + +// SFDITEM_FIELD__PF_DBCR_DBEN4 +// DBEN4 +// +// [Bit 4] RW (@ 0x30000528) \nPort n Debounce Enable 4\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN4 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN3 ----------------------------------- +// SVD Line: 9260 + +// SFDITEM_FIELD__PF_DBCR_DBEN3 +// DBEN3 +// +// [Bit 3] RW (@ 0x30000528) \nPort n Debounce Enable 3\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN3 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN2 ----------------------------------- +// SVD Line: 9278 + +// SFDITEM_FIELD__PF_DBCR_DBEN2 +// DBEN2 +// +// [Bit 2] RW (@ 0x30000528) \nPort n Debounce Enable 2\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN2 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN1 ----------------------------------- +// SVD Line: 9296 + +// SFDITEM_FIELD__PF_DBCR_DBEN1 +// DBEN1 +// +// [Bit 1] RW (@ 0x30000528) \nPort n Debounce Enable 1\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN1 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// -------------------------------- Field Item: PF_DBCR_DBEN0 ----------------------------------- +// SVD Line: 9314 + +// SFDITEM_FIELD__PF_DBCR_DBEN0 +// DBEN0 +// +// [Bit 0] RW (@ 0x30000528) \nPort n Debounce Enable 0\n0 : Disable = Disable debounce filter.\n1 : Enable = Enable debounce filter. +// +// ( (unsigned int) PF_DBCR ) +// DBEN0 +// <0=> 0: Disable = Disable debounce filter. +// <1=> 1: Enable = Enable debounce filter. +// +// +// + + +// --------------------------------- Register RTree: PF_DBCR ------------------------------------ +// SVD Line: 9069 + +// SFDITEM_REG__PF_DBCR +// DBCR +// +// [Bits 31..0] RW (@ 0x30000528) Port n Debounce Control Register +// ( (unsigned int)((PF_DBCR >> 0) & 0xFFFFFFFF), ((PF_DBCR = (PF_DBCR & ~(0x70FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x70FFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_DBCR_DBCLK +// SFDITEM_FIELD__PF_DBCR_DBEN11 +// SFDITEM_FIELD__PF_DBCR_DBEN10 +// SFDITEM_FIELD__PF_DBCR_DBEN9 +// SFDITEM_FIELD__PF_DBCR_DBEN8 +// SFDITEM_FIELD__PF_DBCR_DBEN7 +// SFDITEM_FIELD__PF_DBCR_DBEN6 +// SFDITEM_FIELD__PF_DBCR_DBEN5 +// SFDITEM_FIELD__PF_DBCR_DBEN4 +// SFDITEM_FIELD__PF_DBCR_DBEN3 +// SFDITEM_FIELD__PF_DBCR_DBEN2 +// SFDITEM_FIELD__PF_DBCR_DBEN1 +// SFDITEM_FIELD__PF_DBCR_DBEN0 +// +// + + +// ---------------------------- Register Item Address: PF_PF_MOD -------------------------------- +// SVD Line: 13712 + +unsigned int PF_PF_MOD __AT (0x30000500); + + + +// ------------------------------ Field Item: PF_PF_MOD_MODE11 ---------------------------------- +// SVD Line: 13722 + +// SFDITEM_FIELD__PF_PF_MOD_MODE11 +// MODE11 +// +// [Bits 23..22] RW (@ 0x30000500) Port n Mode Selection 11 +// +// ( (unsigned char)((PF_PF_MOD >> 22) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_MOD_MODE10 ---------------------------------- +// SVD Line: 13728 + +// SFDITEM_FIELD__PF_PF_MOD_MODE10 +// MODE10 +// +// [Bits 21..20] RW (@ 0x30000500) Port n Mode Selection 10 +// +// ( (unsigned char)((PF_PF_MOD >> 20) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE9 ---------------------------------- +// SVD Line: 13734 + +// SFDITEM_FIELD__PF_PF_MOD_MODE9 +// MODE9 +// +// [Bits 19..18] RW (@ 0x30000500) Port n Mode Selection 9 +// +// ( (unsigned char)((PF_PF_MOD >> 18) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE8 ---------------------------------- +// SVD Line: 13740 + +// SFDITEM_FIELD__PF_PF_MOD_MODE8 +// MODE8 +// +// [Bits 17..16] RW (@ 0x30000500) Port n Mode Selection 8 +// +// ( (unsigned char)((PF_PF_MOD >> 16) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE7 ---------------------------------- +// SVD Line: 13746 + +// SFDITEM_FIELD__PF_PF_MOD_MODE7 +// MODE7 +// +// [Bits 15..14] RW (@ 0x30000500) Port n Mode Selection 7 +// +// ( (unsigned char)((PF_PF_MOD >> 14) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE6 ---------------------------------- +// SVD Line: 13752 + +// SFDITEM_FIELD__PF_PF_MOD_MODE6 +// MODE6 +// +// [Bits 13..12] RW (@ 0x30000500) Port n Mode Selection 6 +// +// ( (unsigned char)((PF_PF_MOD >> 12) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE5 ---------------------------------- +// SVD Line: 13758 + +// SFDITEM_FIELD__PF_PF_MOD_MODE5 +// MODE5 +// +// [Bits 11..10] RW (@ 0x30000500) Port n Mode Selection 5 +// +// ( (unsigned char)((PF_PF_MOD >> 10) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE4 ---------------------------------- +// SVD Line: 13764 + +// SFDITEM_FIELD__PF_PF_MOD_MODE4 +// MODE4 +// +// [Bits 9..8] RW (@ 0x30000500) Port n Mode Selection 4 +// +// ( (unsigned char)((PF_PF_MOD >> 8) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE3 ---------------------------------- +// SVD Line: 13770 + +// SFDITEM_FIELD__PF_PF_MOD_MODE3 +// MODE3 +// +// [Bits 7..6] RW (@ 0x30000500) Port n Mode Selection 3 +// +// ( (unsigned char)((PF_PF_MOD >> 6) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE2 ---------------------------------- +// SVD Line: 13776 + +// SFDITEM_FIELD__PF_PF_MOD_MODE2 +// MODE2 +// +// [Bits 5..4] RW (@ 0x30000500) Port n Mode Selection 2 +// +// ( (unsigned char)((PF_PF_MOD >> 4) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE1 ---------------------------------- +// SVD Line: 13782 + +// SFDITEM_FIELD__PF_PF_MOD_MODE1 +// MODE1 +// +// [Bits 3..2] RW (@ 0x30000500) Port n Mode Selection 1 +// +// ( (unsigned char)((PF_PF_MOD >> 2) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------- Field Item: PF_PF_MOD_MODE0 ---------------------------------- +// SVD Line: 13788 + +// SFDITEM_FIELD__PF_PF_MOD_MODE0 +// MODE0 +// +// [Bits 1..0] RW (@ 0x30000500) Port n Mode Selection 0 +// +// ( (unsigned char)((PF_PF_MOD >> 0) & 0x3), ((PF_PF_MOD = (PF_PF_MOD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: PF_PF_MOD ----------------------------------- +// SVD Line: 13712 + +// SFDITEM_REG__PF_PF_MOD +// PF_MOD +// +// [Bits 31..0] RW (@ 0x30000500) Port n Mode Register +// ( (unsigned int)((PF_PF_MOD >> 0) & 0xFFFFFFFF), ((PF_PF_MOD = (PF_PF_MOD & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_MOD_MODE11 +// SFDITEM_FIELD__PF_PF_MOD_MODE10 +// SFDITEM_FIELD__PF_PF_MOD_MODE9 +// SFDITEM_FIELD__PF_PF_MOD_MODE8 +// SFDITEM_FIELD__PF_PF_MOD_MODE7 +// SFDITEM_FIELD__PF_PF_MOD_MODE6 +// SFDITEM_FIELD__PF_PF_MOD_MODE5 +// SFDITEM_FIELD__PF_PF_MOD_MODE4 +// SFDITEM_FIELD__PF_PF_MOD_MODE3 +// SFDITEM_FIELD__PF_PF_MOD_MODE2 +// SFDITEM_FIELD__PF_PF_MOD_MODE1 +// SFDITEM_FIELD__PF_PF_MOD_MODE0 +// +// + + +// ---------------------------- Register Item Address: PF_PF_TYP -------------------------------- +// SVD Line: 13796 + +unsigned int PF_PF_TYP __AT (0x30000504); + + + +// ------------------------------- Field Item: PF_PF_TYP_TYP11 ---------------------------------- +// SVD Line: 13806 + +// SFDITEM_FIELD__PF_PF_TYP_TYP11 +// TYP11 +// +// [Bit 11] RW (@ 0x30000504) Port n Output Type Selection 11 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP11 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP10 ---------------------------------- +// SVD Line: 13812 + +// SFDITEM_FIELD__PF_PF_TYP_TYP10 +// TYP10 +// +// [Bit 10] RW (@ 0x30000504) Port n Output Type Selection 10 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP10 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP9 ----------------------------------- +// SVD Line: 13818 + +// SFDITEM_FIELD__PF_PF_TYP_TYP9 +// TYP9 +// +// [Bit 9] RW (@ 0x30000504) Port n Output Type Selection 9 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP9 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP8 ----------------------------------- +// SVD Line: 13824 + +// SFDITEM_FIELD__PF_PF_TYP_TYP8 +// TYP8 +// +// [Bit 8] RW (@ 0x30000504) Port n Output Type Selection 8 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP8 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP7 ----------------------------------- +// SVD Line: 13830 + +// SFDITEM_FIELD__PF_PF_TYP_TYP7 +// TYP7 +// +// [Bit 7] RW (@ 0x30000504) Port n Output Type Selection 7 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP7 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP6 ----------------------------------- +// SVD Line: 13836 + +// SFDITEM_FIELD__PF_PF_TYP_TYP6 +// TYP6 +// +// [Bit 6] RW (@ 0x30000504) Port n Output Type Selection 6 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP6 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP5 ----------------------------------- +// SVD Line: 13842 + +// SFDITEM_FIELD__PF_PF_TYP_TYP5 +// TYP5 +// +// [Bit 5] RW (@ 0x30000504) Port n Output Type Selection 5 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP5 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP4 ----------------------------------- +// SVD Line: 13848 + +// SFDITEM_FIELD__PF_PF_TYP_TYP4 +// TYP4 +// +// [Bit 4] RW (@ 0x30000504) Port n Output Type Selection 4 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP4 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP3 ----------------------------------- +// SVD Line: 13854 + +// SFDITEM_FIELD__PF_PF_TYP_TYP3 +// TYP3 +// +// [Bit 3] RW (@ 0x30000504) Port n Output Type Selection 3 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP3 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP2 ----------------------------------- +// SVD Line: 13860 + +// SFDITEM_FIELD__PF_PF_TYP_TYP2 +// TYP2 +// +// [Bit 2] RW (@ 0x30000504) Port n Output Type Selection 2 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP2 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP1 ----------------------------------- +// SVD Line: 13866 + +// SFDITEM_FIELD__PF_PF_TYP_TYP1 +// TYP1 +// +// [Bit 1] RW (@ 0x30000504) Port n Output Type Selection 1 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP1 +// +// +// + + +// ------------------------------- Field Item: PF_PF_TYP_TYP0 ----------------------------------- +// SVD Line: 13872 + +// SFDITEM_FIELD__PF_PF_TYP_TYP0 +// TYP0 +// +// [Bit 0] RW (@ 0x30000504) Port n Output Type Selection 0 +// +// ( (unsigned int) PF_PF_TYP ) +// TYP0 +// +// +// + + +// -------------------------------- Register RTree: PF_PF_TYP ----------------------------------- +// SVD Line: 13796 + +// SFDITEM_REG__PF_PF_TYP +// PF_TYP +// +// [Bits 31..0] RW (@ 0x30000504) Port n Output Type Selection Register +// ( (unsigned int)((PF_PF_TYP >> 0) & 0xFFFFFFFF), ((PF_PF_TYP = (PF_PF_TYP & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_TYP_TYP11 +// SFDITEM_FIELD__PF_PF_TYP_TYP10 +// SFDITEM_FIELD__PF_PF_TYP_TYP9 +// SFDITEM_FIELD__PF_PF_TYP_TYP8 +// SFDITEM_FIELD__PF_PF_TYP_TYP7 +// SFDITEM_FIELD__PF_PF_TYP_TYP6 +// SFDITEM_FIELD__PF_PF_TYP_TYP5 +// SFDITEM_FIELD__PF_PF_TYP_TYP4 +// SFDITEM_FIELD__PF_PF_TYP_TYP3 +// SFDITEM_FIELD__PF_PF_TYP_TYP2 +// SFDITEM_FIELD__PF_PF_TYP_TYP1 +// SFDITEM_FIELD__PF_PF_TYP_TYP0 +// +// + + +// --------------------------- Register Item Address: PF_PF_AFSR1 ------------------------------- +// SVD Line: 13880 + +unsigned int PF_PF_AFSR1 __AT (0x30000508); + + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR7 --------------------------------- +// SVD Line: 13890 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR7 +// AFSR7 +// +// [Bits 31..28] RW (@ 0x30000508) Port n Alternative Function Selection 7 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 28) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 28 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 28 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR6 --------------------------------- +// SVD Line: 13896 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR6 +// AFSR6 +// +// [Bits 27..24] RW (@ 0x30000508) Port n Alternative Function Selection 6 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 24) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 24 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 24 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR5 --------------------------------- +// SVD Line: 13902 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR5 +// AFSR5 +// +// [Bits 23..20] RW (@ 0x30000508) Port n Alternative Function Selection 5 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 20) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR4 --------------------------------- +// SVD Line: 13908 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR4 +// AFSR4 +// +// [Bits 19..16] RW (@ 0x30000508) Port n Alternative Function Selection 4 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 16) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR3 --------------------------------- +// SVD Line: 13914 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR3 +// AFSR3 +// +// [Bits 15..12] RW (@ 0x30000508) Port n Alternative Function Selection 3 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 12) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR2 --------------------------------- +// SVD Line: 13920 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR2 +// AFSR2 +// +// [Bits 11..8] RW (@ 0x30000508) Port n Alternative Function Selection 2 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 8) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR1 --------------------------------- +// SVD Line: 13926 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR1 +// AFSR1 +// +// [Bits 7..4] RW (@ 0x30000508) Port n Alternative Function Selection 1 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 4) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR1_AFSR0 --------------------------------- +// SVD Line: 13932 + +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR0 +// AFSR0 +// +// [Bits 3..0] RW (@ 0x30000508) Port n Alternative Function Selection 0 +// +// ( (unsigned char)((PF_PF_AFSR1 >> 0) & 0xF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PF_PF_AFSR1 ---------------------------------- +// SVD Line: 13880 + +// SFDITEM_REG__PF_PF_AFSR1 +// PF_AFSR1 +// +// [Bits 31..0] RW (@ 0x30000508) Port n Alternative Function Selection Register 1 +// ( (unsigned int)((PF_PF_AFSR1 >> 0) & 0xFFFFFFFF), ((PF_PF_AFSR1 = (PF_PF_AFSR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR7 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR6 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR5 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR4 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR3 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR2 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR1 +// SFDITEM_FIELD__PF_PF_AFSR1_AFSR0 +// +// + + +// --------------------------- Register Item Address: PF_PF_AFSR2 ------------------------------- +// SVD Line: 13940 + +unsigned int PF_PF_AFSR2 __AT (0x3000050C); + + + +// ----------------------------- Field Item: PF_PF_AFSR2_AFSR11 --------------------------------- +// SVD Line: 13950 + +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR11 +// AFSR11 +// +// [Bits 15..12] RW (@ 0x3000050C) Port n Alternative Function Selection 11 +// +// ( (unsigned char)((PF_PF_AFSR2 >> 12) & 0xF), ((PF_PF_AFSR2 = (PF_PF_AFSR2 & ~(0xFUL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 12 ) ) )) +// +// +// + + +// ----------------------------- Field Item: PF_PF_AFSR2_AFSR10 --------------------------------- +// SVD Line: 13956 + +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR10 +// AFSR10 +// +// [Bits 11..8] RW (@ 0x3000050C) Port n Alternative Function Selection 10 +// +// ( (unsigned char)((PF_PF_AFSR2 >> 8) & 0xF), ((PF_PF_AFSR2 = (PF_PF_AFSR2 & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR2_AFSR9 --------------------------------- +// SVD Line: 13962 + +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR9 +// AFSR9 +// +// [Bits 7..4] RW (@ 0x3000050C) Port n Alternative Function Selection 9 +// +// ( (unsigned char)((PF_PF_AFSR2 >> 4) & 0xF), ((PF_PF_AFSR2 = (PF_PF_AFSR2 & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_AFSR2_AFSR8 --------------------------------- +// SVD Line: 13968 + +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR8 +// AFSR8 +// +// [Bits 3..0] RW (@ 0x3000050C) Port n Alternative Function Selection 8 +// +// ( (unsigned char)((PF_PF_AFSR2 >> 0) & 0xF), ((PF_PF_AFSR2 = (PF_PF_AFSR2 & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PF_PF_AFSR2 ---------------------------------- +// SVD Line: 13940 + +// SFDITEM_REG__PF_PF_AFSR2 +// PF_AFSR2 +// +// [Bits 31..0] RW (@ 0x3000050C) Port n Alternative Function Selection Register 2 +// ( (unsigned int)((PF_PF_AFSR2 >> 0) & 0xFFFFFFFF), ((PF_PF_AFSR2 = (PF_PF_AFSR2 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR11 +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR10 +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR9 +// SFDITEM_FIELD__PF_PF_AFSR2_AFSR8 +// +// + + +// ---------------------------- Register Item Address: PF_PF_PUPD ------------------------------- +// SVD Line: 13976 + +unsigned int PF_PF_PUPD __AT (0x30000510); + + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD11 --------------------------------- +// SVD Line: 13986 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD11 +// PUPD11 +// +// [Bits 23..22] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 11 +// +// ( (unsigned char)((PF_PF_PUPD >> 22) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 22 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 22 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD10 --------------------------------- +// SVD Line: 13992 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD10 +// PUPD10 +// +// [Bits 21..20] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 10 +// +// ( (unsigned char)((PF_PF_PUPD >> 20) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 20 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 20 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD9 ---------------------------------- +// SVD Line: 13998 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD9 +// PUPD9 +// +// [Bits 19..18] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 9 +// +// ( (unsigned char)((PF_PF_PUPD >> 18) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 18 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 18 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD8 ---------------------------------- +// SVD Line: 14004 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD8 +// PUPD8 +// +// [Bits 17..16] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 8 +// +// ( (unsigned char)((PF_PF_PUPD >> 16) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 16 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 16 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD7 ---------------------------------- +// SVD Line: 14010 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD7 +// PUPD7 +// +// [Bits 15..14] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 7 +// +// ( (unsigned char)((PF_PF_PUPD >> 14) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 14 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 14 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD6 ---------------------------------- +// SVD Line: 14016 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD6 +// PUPD6 +// +// [Bits 13..12] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 6 +// +// ( (unsigned char)((PF_PF_PUPD >> 12) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD5 ---------------------------------- +// SVD Line: 14022 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD5 +// PUPD5 +// +// [Bits 11..10] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 5 +// +// ( (unsigned char)((PF_PF_PUPD >> 10) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 10 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 10 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD4 ---------------------------------- +// SVD Line: 14028 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD4 +// PUPD4 +// +// [Bits 9..8] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 4 +// +// ( (unsigned char)((PF_PF_PUPD >> 8) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 8 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD3 ---------------------------------- +// SVD Line: 14034 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD3 +// PUPD3 +// +// [Bits 7..6] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 3 +// +// ( (unsigned char)((PF_PF_PUPD >> 6) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD2 ---------------------------------- +// SVD Line: 14040 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD2 +// PUPD2 +// +// [Bits 5..4] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 2 +// +// ( (unsigned char)((PF_PF_PUPD >> 4) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 4 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD1 ---------------------------------- +// SVD Line: 14046 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD1 +// PUPD1 +// +// [Bits 3..2] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 1 +// +// ( (unsigned char)((PF_PF_PUPD >> 2) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 2 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 2 ) ) )) +// +// +// + + +// ------------------------------ Field Item: PF_PF_PUPD_PUPD0 ---------------------------------- +// SVD Line: 14052 + +// SFDITEM_FIELD__PF_PF_PUPD_PUPD0 +// PUPD0 +// +// [Bits 1..0] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection 0 +// +// ( (unsigned char)((PF_PF_PUPD >> 0) & 0x3), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: PF_PF_PUPD ----------------------------------- +// SVD Line: 13976 + +// SFDITEM_REG__PF_PF_PUPD +// PF_PUPD +// +// [Bits 31..0] RW (@ 0x30000510) Port n Pull-Up/Down Resistor Selection Register +// ( (unsigned int)((PF_PF_PUPD >> 0) & 0xFFFFFFFF), ((PF_PF_PUPD = (PF_PF_PUPD & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_PUPD_PUPD11 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD10 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD9 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD8 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD7 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD6 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD5 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD4 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD3 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD2 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD1 +// SFDITEM_FIELD__PF_PF_PUPD_PUPD0 +// +// + + +// ---------------------------- Register Item Address: PF_PF_INDR ------------------------------- +// SVD Line: 14060 + +unsigned int PF_PF_INDR __AT (0x30000514); + + + +// ------------------------------ Field Item: PF_PF_INDR_INDR11 --------------------------------- +// SVD Line: 14070 + +// SFDITEM_FIELD__PF_PF_INDR_INDR11 +// INDR11 +// +// [Bit 11] RO (@ 0x30000514) Port n Input Data 11 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR11 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR10 --------------------------------- +// SVD Line: 14076 + +// SFDITEM_FIELD__PF_PF_INDR_INDR10 +// INDR10 +// +// [Bit 10] RO (@ 0x30000514) Port n Input Data 10 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR10 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR9 ---------------------------------- +// SVD Line: 14082 + +// SFDITEM_FIELD__PF_PF_INDR_INDR9 +// INDR9 +// +// [Bit 9] RO (@ 0x30000514) Port n Input Data 9 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR9 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR8 ---------------------------------- +// SVD Line: 14088 + +// SFDITEM_FIELD__PF_PF_INDR_INDR8 +// INDR8 +// +// [Bit 8] RO (@ 0x30000514) Port n Input Data 8 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR8 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR7 ---------------------------------- +// SVD Line: 14094 + +// SFDITEM_FIELD__PF_PF_INDR_INDR7 +// INDR7 +// +// [Bit 7] RO (@ 0x30000514) Port n Input Data 7 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR7 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR6 ---------------------------------- +// SVD Line: 14100 + +// SFDITEM_FIELD__PF_PF_INDR_INDR6 +// INDR6 +// +// [Bit 6] RO (@ 0x30000514) Port n Input Data 6 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR6 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR5 ---------------------------------- +// SVD Line: 14106 + +// SFDITEM_FIELD__PF_PF_INDR_INDR5 +// INDR5 +// +// [Bit 5] RO (@ 0x30000514) Port n Input Data 5 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR5 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR4 ---------------------------------- +// SVD Line: 14112 + +// SFDITEM_FIELD__PF_PF_INDR_INDR4 +// INDR4 +// +// [Bit 4] RO (@ 0x30000514) Port n Input Data 4 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR4 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR3 ---------------------------------- +// SVD Line: 14118 + +// SFDITEM_FIELD__PF_PF_INDR_INDR3 +// INDR3 +// +// [Bit 3] RO (@ 0x30000514) Port n Input Data 3 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR3 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR2 ---------------------------------- +// SVD Line: 14124 + +// SFDITEM_FIELD__PF_PF_INDR_INDR2 +// INDR2 +// +// [Bit 2] RO (@ 0x30000514) Port n Input Data 2 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR2 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR1 ---------------------------------- +// SVD Line: 14130 + +// SFDITEM_FIELD__PF_PF_INDR_INDR1 +// INDR1 +// +// [Bit 1] RO (@ 0x30000514) Port n Input Data 1 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR1 +// +// +// + + +// ------------------------------ Field Item: PF_PF_INDR_INDR0 ---------------------------------- +// SVD Line: 14136 + +// SFDITEM_FIELD__PF_PF_INDR_INDR0 +// INDR0 +// +// [Bit 0] RO (@ 0x30000514) Port n Input Data 0 +// +// ( (unsigned int) PF_PF_INDR ) +// INDR0 +// +// +// + + +// ------------------------------- Register RTree: PF_PF_INDR ----------------------------------- +// SVD Line: 14060 + +// SFDITEM_REG__PF_PF_INDR +// PF_INDR +// +// [Bits 31..0] RO (@ 0x30000514) Port n Input Data Register +// ( (unsigned int)((PF_PF_INDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__PF_PF_INDR_INDR11 +// SFDITEM_FIELD__PF_PF_INDR_INDR10 +// SFDITEM_FIELD__PF_PF_INDR_INDR9 +// SFDITEM_FIELD__PF_PF_INDR_INDR8 +// SFDITEM_FIELD__PF_PF_INDR_INDR7 +// SFDITEM_FIELD__PF_PF_INDR_INDR6 +// SFDITEM_FIELD__PF_PF_INDR_INDR5 +// SFDITEM_FIELD__PF_PF_INDR_INDR4 +// SFDITEM_FIELD__PF_PF_INDR_INDR3 +// SFDITEM_FIELD__PF_PF_INDR_INDR2 +// SFDITEM_FIELD__PF_PF_INDR_INDR1 +// SFDITEM_FIELD__PF_PF_INDR_INDR0 +// +// + + +// --------------------------- Register Item Address: PF_PF_OUTDR ------------------------------- +// SVD Line: 14144 + +unsigned int PF_PF_OUTDR __AT (0x30000518); + + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR11 -------------------------------- +// SVD Line: 14154 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR11 +// OUTDR11 +// +// [Bit 11] RW (@ 0x30000518) Port n Output Data 11 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR11 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR10 -------------------------------- +// SVD Line: 14160 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR10 +// OUTDR10 +// +// [Bit 10] RW (@ 0x30000518) Port n Output Data 10 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR10 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR9 --------------------------------- +// SVD Line: 14166 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR9 +// OUTDR9 +// +// [Bit 9] RW (@ 0x30000518) Port n Output Data 9 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR9 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR8 --------------------------------- +// SVD Line: 14172 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR8 +// OUTDR8 +// +// [Bit 8] RW (@ 0x30000518) Port n Output Data 8 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR8 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR7 --------------------------------- +// SVD Line: 14178 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR7 +// OUTDR7 +// +// [Bit 7] RW (@ 0x30000518) Port n Output Data 7 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR7 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR6 --------------------------------- +// SVD Line: 14184 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR6 +// OUTDR6 +// +// [Bit 6] RW (@ 0x30000518) Port n Output Data 6 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR6 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR5 --------------------------------- +// SVD Line: 14190 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR5 +// OUTDR5 +// +// [Bit 5] RW (@ 0x30000518) Port n Output Data 5 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR5 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR4 --------------------------------- +// SVD Line: 14196 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR4 +// OUTDR4 +// +// [Bit 4] RW (@ 0x30000518) Port n Output Data 4 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR4 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR3 --------------------------------- +// SVD Line: 14202 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR3 +// OUTDR3 +// +// [Bit 3] RW (@ 0x30000518) Port n Output Data 3 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR3 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR2 --------------------------------- +// SVD Line: 14208 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR2 +// OUTDR2 +// +// [Bit 2] RW (@ 0x30000518) Port n Output Data 2 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR2 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR1 --------------------------------- +// SVD Line: 14214 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR1 +// OUTDR1 +// +// [Bit 1] RW (@ 0x30000518) Port n Output Data 1 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR1 +// +// +// + + +// ----------------------------- Field Item: PF_PF_OUTDR_OUTDR0 --------------------------------- +// SVD Line: 14220 + +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR0 +// OUTDR0 +// +// [Bit 0] RW (@ 0x30000518) Port n Output Data 0 +// +// ( (unsigned int) PF_PF_OUTDR ) +// OUTDR0 +// +// +// + + +// ------------------------------- Register RTree: PF_PF_OUTDR ---------------------------------- +// SVD Line: 14144 + +// SFDITEM_REG__PF_PF_OUTDR +// PF_OUTDR +// +// [Bits 31..0] RW (@ 0x30000518) Port n Output Data Register +// ( (unsigned int)((PF_PF_OUTDR >> 0) & 0xFFFFFFFF), ((PF_PF_OUTDR = (PF_PF_OUTDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR11 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR10 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR9 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR8 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR7 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR6 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR5 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR4 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR3 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR2 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR1 +// SFDITEM_FIELD__PF_PF_OUTDR_OUTDR0 +// +// + + +// ---------------------------- Register Item Address: PF_PF_BSR -------------------------------- +// SVD Line: 14228 + +unsigned int PF_PF_BSR __AT (0x3000051C); + + + +// ------------------------------- Field Item: PF_PF_BSR_BSR11 ---------------------------------- +// SVD Line: 14238 + +// SFDITEM_FIELD__PF_PF_BSR_BSR11 +// BSR11 +// +// [Bit 11] WO (@ 0x3000051C) Port n Output Bit Set 11 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR11 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR10 ---------------------------------- +// SVD Line: 14244 + +// SFDITEM_FIELD__PF_PF_BSR_BSR10 +// BSR10 +// +// [Bit 10] WO (@ 0x3000051C) Port n Output Bit Set 10 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR10 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR9 ----------------------------------- +// SVD Line: 14250 + +// SFDITEM_FIELD__PF_PF_BSR_BSR9 +// BSR9 +// +// [Bit 9] WO (@ 0x3000051C) Port n Output Bit Set 9 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR9 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR8 ----------------------------------- +// SVD Line: 14256 + +// SFDITEM_FIELD__PF_PF_BSR_BSR8 +// BSR8 +// +// [Bit 8] WO (@ 0x3000051C) Port n Output Bit Set 8 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR8 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR7 ----------------------------------- +// SVD Line: 14262 + +// SFDITEM_FIELD__PF_PF_BSR_BSR7 +// BSR7 +// +// [Bit 7] WO (@ 0x3000051C) Port n Output Bit Set 7 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR7 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR6 ----------------------------------- +// SVD Line: 14268 + +// SFDITEM_FIELD__PF_PF_BSR_BSR6 +// BSR6 +// +// [Bit 6] WO (@ 0x3000051C) Port n Output Bit Set 6 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR6 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR5 ----------------------------------- +// SVD Line: 14274 + +// SFDITEM_FIELD__PF_PF_BSR_BSR5 +// BSR5 +// +// [Bit 5] WO (@ 0x3000051C) Port n Output Bit Set 5 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR5 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR4 ----------------------------------- +// SVD Line: 14280 + +// SFDITEM_FIELD__PF_PF_BSR_BSR4 +// BSR4 +// +// [Bit 4] WO (@ 0x3000051C) Port n Output Bit Set 4 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR4 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR3 ----------------------------------- +// SVD Line: 14286 + +// SFDITEM_FIELD__PF_PF_BSR_BSR3 +// BSR3 +// +// [Bit 3] WO (@ 0x3000051C) Port n Output Bit Set 3 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR3 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR2 ----------------------------------- +// SVD Line: 14292 + +// SFDITEM_FIELD__PF_PF_BSR_BSR2 +// BSR2 +// +// [Bit 2] WO (@ 0x3000051C) Port n Output Bit Set 2 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR2 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR1 ----------------------------------- +// SVD Line: 14298 + +// SFDITEM_FIELD__PF_PF_BSR_BSR1 +// BSR1 +// +// [Bit 1] WO (@ 0x3000051C) Port n Output Bit Set 1 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR1 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BSR_BSR0 ----------------------------------- +// SVD Line: 14304 + +// SFDITEM_FIELD__PF_PF_BSR_BSR0 +// BSR0 +// +// [Bit 0] WO (@ 0x3000051C) Port n Output Bit Set 0 +// +// ( (unsigned int) PF_PF_BSR ) +// BSR0 +// +// +// + + +// -------------------------------- Register RTree: PF_PF_BSR ----------------------------------- +// SVD Line: 14228 + +// SFDITEM_REG__PF_PF_BSR +// PF_BSR +// +// [Bits 31..0] WO (@ 0x3000051C) Port n Output Bit Set Register +// ( (unsigned int)((PF_PF_BSR >> 0) & 0xFFFFFFFF), ((PF_PF_BSR = (PF_PF_BSR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_BSR_BSR11 +// SFDITEM_FIELD__PF_PF_BSR_BSR10 +// SFDITEM_FIELD__PF_PF_BSR_BSR9 +// SFDITEM_FIELD__PF_PF_BSR_BSR8 +// SFDITEM_FIELD__PF_PF_BSR_BSR7 +// SFDITEM_FIELD__PF_PF_BSR_BSR6 +// SFDITEM_FIELD__PF_PF_BSR_BSR5 +// SFDITEM_FIELD__PF_PF_BSR_BSR4 +// SFDITEM_FIELD__PF_PF_BSR_BSR3 +// SFDITEM_FIELD__PF_PF_BSR_BSR2 +// SFDITEM_FIELD__PF_PF_BSR_BSR1 +// SFDITEM_FIELD__PF_PF_BSR_BSR0 +// +// + + +// ---------------------------- Register Item Address: PF_PF_BCR -------------------------------- +// SVD Line: 14312 + +unsigned int PF_PF_BCR __AT (0x30000520); + + + +// ------------------------------- Field Item: PF_PF_BCR_BCR11 ---------------------------------- +// SVD Line: 14322 + +// SFDITEM_FIELD__PF_PF_BCR_BCR11 +// BCR11 +// +// [Bit 11] WO (@ 0x30000520) Port n Output Bit Clear 11 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR11 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR10 ---------------------------------- +// SVD Line: 14328 + +// SFDITEM_FIELD__PF_PF_BCR_BCR10 +// BCR10 +// +// [Bit 10] WO (@ 0x30000520) Port n Output Bit Clear 10 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR10 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR9 ----------------------------------- +// SVD Line: 14334 + +// SFDITEM_FIELD__PF_PF_BCR_BCR9 +// BCR9 +// +// [Bit 9] WO (@ 0x30000520) Port n Output Bit Clear 9 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR9 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR8 ----------------------------------- +// SVD Line: 14340 + +// SFDITEM_FIELD__PF_PF_BCR_BCR8 +// BCR8 +// +// [Bit 8] WO (@ 0x30000520) Port n Output Bit Clear 8 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR8 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR7 ----------------------------------- +// SVD Line: 14346 + +// SFDITEM_FIELD__PF_PF_BCR_BCR7 +// BCR7 +// +// [Bit 7] WO (@ 0x30000520) Port n Output Bit Clear 7 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR7 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR6 ----------------------------------- +// SVD Line: 14352 + +// SFDITEM_FIELD__PF_PF_BCR_BCR6 +// BCR6 +// +// [Bit 6] WO (@ 0x30000520) Port n Output Bit Clear 6 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR6 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR5 ----------------------------------- +// SVD Line: 14358 + +// SFDITEM_FIELD__PF_PF_BCR_BCR5 +// BCR5 +// +// [Bit 5] WO (@ 0x30000520) Port n Output Bit Clear 5 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR5 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR4 ----------------------------------- +// SVD Line: 14364 + +// SFDITEM_FIELD__PF_PF_BCR_BCR4 +// BCR4 +// +// [Bit 4] WO (@ 0x30000520) Port n Output Bit Clear 4 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR4 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR3 ----------------------------------- +// SVD Line: 14370 + +// SFDITEM_FIELD__PF_PF_BCR_BCR3 +// BCR3 +// +// [Bit 3] WO (@ 0x30000520) Port n Output Bit Clear 3 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR3 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR2 ----------------------------------- +// SVD Line: 14376 + +// SFDITEM_FIELD__PF_PF_BCR_BCR2 +// BCR2 +// +// [Bit 2] WO (@ 0x30000520) Port n Output Bit Clear 2 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR2 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR1 ----------------------------------- +// SVD Line: 14382 + +// SFDITEM_FIELD__PF_PF_BCR_BCR1 +// BCR1 +// +// [Bit 1] WO (@ 0x30000520) Port n Output Bit Clear 1 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR1 +// +// +// + + +// ------------------------------- Field Item: PF_PF_BCR_BCR0 ----------------------------------- +// SVD Line: 14388 + +// SFDITEM_FIELD__PF_PF_BCR_BCR0 +// BCR0 +// +// [Bit 0] WO (@ 0x30000520) Port n Output Bit Clear 0 +// +// ( (unsigned int) PF_PF_BCR ) +// BCR0 +// +// +// + + +// -------------------------------- Register RTree: PF_PF_BCR ----------------------------------- +// SVD Line: 14312 + +// SFDITEM_REG__PF_PF_BCR +// PF_BCR +// +// [Bits 31..0] WO (@ 0x30000520) Port n Output Bit Clear Register +// ( (unsigned int)((PF_PF_BCR >> 0) & 0xFFFFFFFF), ((PF_PF_BCR = (PF_PF_BCR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_BCR_BCR11 +// SFDITEM_FIELD__PF_PF_BCR_BCR10 +// SFDITEM_FIELD__PF_PF_BCR_BCR9 +// SFDITEM_FIELD__PF_PF_BCR_BCR8 +// SFDITEM_FIELD__PF_PF_BCR_BCR7 +// SFDITEM_FIELD__PF_PF_BCR_BCR6 +// SFDITEM_FIELD__PF_PF_BCR_BCR5 +// SFDITEM_FIELD__PF_PF_BCR_BCR4 +// SFDITEM_FIELD__PF_PF_BCR_BCR3 +// SFDITEM_FIELD__PF_PF_BCR_BCR2 +// SFDITEM_FIELD__PF_PF_BCR_BCR1 +// SFDITEM_FIELD__PF_PF_BCR_BCR0 +// +// + + +// -------------------------- Register Item Address: PF_PF_OUTDMSK ------------------------------ +// SVD Line: 14396 + +unsigned int PF_PF_OUTDMSK __AT (0x30000524); + + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK11 ------------------------------ +// SVD Line: 14406 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK11 +// OUTDMSK11 +// +// [Bit 11] RW (@ 0x30000524) Port n Output Data Mask 11 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK11 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK10 ------------------------------ +// SVD Line: 14412 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK10 +// OUTDMSK10 +// +// [Bit 10] RW (@ 0x30000524) Port n Output Data Mask 10 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK10 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK9 ------------------------------- +// SVD Line: 14418 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK9 +// OUTDMSK9 +// +// [Bit 9] RW (@ 0x30000524) Port n Output Data Mask 9 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK9 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK8 ------------------------------- +// SVD Line: 14424 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK8 +// OUTDMSK8 +// +// [Bit 8] RW (@ 0x30000524) Port n Output Data Mask 8 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK8 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK7 ------------------------------- +// SVD Line: 14430 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK7 +// OUTDMSK7 +// +// [Bit 7] RW (@ 0x30000524) Port n Output Data Mask 7 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK7 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK6 ------------------------------- +// SVD Line: 14436 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK6 +// OUTDMSK6 +// +// [Bit 6] RW (@ 0x30000524) Port n Output Data Mask 6 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK6 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK5 ------------------------------- +// SVD Line: 14442 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK5 +// OUTDMSK5 +// +// [Bit 5] RW (@ 0x30000524) Port n Output Data Mask 5 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK5 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK4 ------------------------------- +// SVD Line: 14448 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK4 +// OUTDMSK4 +// +// [Bit 4] RW (@ 0x30000524) Port n Output Data Mask 4 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK4 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK3 ------------------------------- +// SVD Line: 14454 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK3 +// OUTDMSK3 +// +// [Bit 3] RW (@ 0x30000524) Port n Output Data Mask 3 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK3 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK2 ------------------------------- +// SVD Line: 14460 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK2 +// OUTDMSK2 +// +// [Bit 2] RW (@ 0x30000524) Port n Output Data Mask 2 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK2 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK1 ------------------------------- +// SVD Line: 14466 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK1 +// OUTDMSK1 +// +// [Bit 1] RW (@ 0x30000524) Port n Output Data Mask 1 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK1 +// +// +// + + +// --------------------------- Field Item: PF_PF_OUTDMSK_OUTDMSK0 ------------------------------- +// SVD Line: 14472 + +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK0 +// OUTDMSK0 +// +// [Bit 0] RW (@ 0x30000524) Port n Output Data Mask 0 +// +// ( (unsigned int) PF_PF_OUTDMSK ) +// OUTDMSK0 +// +// +// + + +// ------------------------------ Register RTree: PF_PF_OUTDMSK --------------------------------- +// SVD Line: 14396 + +// SFDITEM_REG__PF_PF_OUTDMSK +// PF_OUTDMSK +// +// [Bits 31..0] RW (@ 0x30000524) Port n Output Data Mask Register +// ( (unsigned int)((PF_PF_OUTDMSK >> 0) & 0xFFFFFFFF), ((PF_PF_OUTDMSK = (PF_PF_OUTDMSK & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK11 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK10 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK9 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK8 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK7 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK6 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK5 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK4 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK3 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK2 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK1 +// SFDITEM_FIELD__PF_PF_OUTDMSK_OUTDMSK0 +// +// + + +// ----------------------------------- Peripheral View: PF -------------------------------------- +// SVD Line: 13698 + +// PF +// PF +// SFDITEM_REG__PF_MOD +// SFDITEM_REG__PF_TYP +// SFDITEM_REG__PF_AFSR1 +// SFDITEM_REG__PF_AFSR2 +// SFDITEM_REG__PF_PUPD +// SFDITEM_REG__PF_INDR +// SFDITEM_REG__PF_OUTDR +// SFDITEM_REG__PF_BSR +// SFDITEM_REG__PF_BCR +// SFDITEM_REG__PF_OUTDMSK +// SFDITEM_REG__PF_DBCR +// SFDITEM_REG__PF_PF_MOD +// SFDITEM_REG__PF_PF_TYP +// SFDITEM_REG__PF_PF_AFSR1 +// SFDITEM_REG__PF_PF_AFSR2 +// SFDITEM_REG__PF_PF_PUPD +// SFDITEM_REG__PF_PF_INDR +// SFDITEM_REG__PF_PF_OUTDR +// SFDITEM_REG__PF_PF_BSR +// SFDITEM_REG__PF_PF_BCR +// SFDITEM_REG__PF_PF_OUTDMSK +// +// + + +// ----------------------------- Register Item Address: FMC_ADR --------------------------------- +// SVD Line: 14496 + +unsigned int FMC_ADR __AT (0x40001B00); + + + +// -------------------------------- Field Item: FMC_ADR_ADDR ------------------------------------ +// SVD Line: 14505 + +// SFDITEM_FIELD__FMC_ADR_ADDR +// ADDR +// +// [Bits 31..0] RW (@ 0x40001B00) Flash Memory Address Pointer +// +// ( (unsigned int)((FMC_ADR >> 0) & 0xFFFFFFFF), ((FMC_ADR = (FMC_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: FMC_ADR ------------------------------------ +// SVD Line: 14496 + +// SFDITEM_REG__FMC_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40001B00) Flash Memory Address Register +// ( (unsigned int)((FMC_ADR >> 0) & 0xFFFFFFFF), ((FMC_ADR = (FMC_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__FMC_ADR_ADDR +// +// + + +// ----------------------------- Register Item Address: FMC_IDR1 -------------------------------- +// SVD Line: 14513 + +unsigned int FMC_IDR1 __AT (0x40001B04); + + + +// -------------------------------- Field Item: FMC_IDR1_ID1 ------------------------------------ +// SVD Line: 14522 + +// SFDITEM_FIELD__FMC_IDR1_ID1 +// ID1 +// +// [Bits 31..0] RW (@ 0x40001B04) Flash Memory Identification 1 +// +// ( (unsigned int)((FMC_IDR1 >> 0) & 0xFFFFFFFF), ((FMC_IDR1 = (FMC_IDR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: FMC_IDR1 ------------------------------------ +// SVD Line: 14513 + +// SFDITEM_REG__FMC_IDR1 +// IDR1 +// +// [Bits 31..0] RW (@ 0x40001B04) Flash Memory Identification Register 1 +// ( (unsigned int)((FMC_IDR1 >> 0) & 0xFFFFFFFF), ((FMC_IDR1 = (FMC_IDR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__FMC_IDR1_ID1 +// +// + + +// ----------------------------- Register Item Address: FMC_IDR2 -------------------------------- +// SVD Line: 14530 + +unsigned int FMC_IDR2 __AT (0x40001B08); + + + +// -------------------------------- Field Item: FMC_IDR2_ID2 ------------------------------------ +// SVD Line: 14539 + +// SFDITEM_FIELD__FMC_IDR2_ID2 +// ID2 +// +// [Bits 31..0] RW (@ 0x40001B08) Flash Memory Identification 2 +// +// ( (unsigned int)((FMC_IDR2 >> 0) & 0xFFFFFFFF), ((FMC_IDR2 = (FMC_IDR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: FMC_IDR2 ------------------------------------ +// SVD Line: 14530 + +// SFDITEM_REG__FMC_IDR2 +// IDR2 +// +// [Bits 31..0] RW (@ 0x40001B08) Flash Memory Identification Register 2 +// ( (unsigned int)((FMC_IDR2 >> 0) & 0xFFFFFFFF), ((FMC_IDR2 = (FMC_IDR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__FMC_IDR2_ID2 +// +// + + +// ------------------------------ Register Item Address: FMC_CR --------------------------------- +// SVD Line: 14547 + +unsigned int FMC_CR __AT (0x40001B0C); + + + +// -------------------------------- Field Item: FMC_CR_WTIDKY ----------------------------------- +// SVD Line: 14556 + +// SFDITEM_FIELD__FMC_CR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001B0C) Write Identification Key (0x6c93) +// +// ( (unsigned short)((FMC_CR >> 16) & 0x0), ((FMC_CR = (FMC_CR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// -------------------------------- Field Item: FMC_CR_FMKEY ------------------------------------ +// SVD Line: 14569 + +// SFDITEM_FIELD__FMC_CR_FMKEY +// FMKEY +// +// [Bits 15..8] RW (@ 0x40001B0C) Flash Memory Operation Area Selection +// +// ( (unsigned char)((FMC_CR >> 8) & 0xFF), ((FMC_CR = (FMC_CR & ~(0xFFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 8 ) ) )) +// +// +// + + +// -------------------------------- Field Item: FMC_CR_FMBUSY ----------------------------------- +// SVD Line: 14575 + +// SFDITEM_FIELD__FMC_CR_FMBUSY +// FMBUSY +// +// [Bit 7] RO (@ 0x40001B0C) Flash Memory Operation Mode Busy +// +// ( (unsigned int) FMC_CR ) +// FMBUSY +// +// +// + + +// --------------------------------- Field Item: FMC_CR_FMOD ------------------------------------ +// SVD Line: 14581 + +// SFDITEM_FIELD__FMC_CR_FMOD +// FMOD +// +// [Bits 3..0] RW (@ 0x40001B0C) Flash Memory Operation Mode Selection +// +// ( (unsigned char)((FMC_CR >> 0) & 0xF), ((FMC_CR = (FMC_CR & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: FMC_CR ------------------------------------- +// SVD Line: 14547 + +// SFDITEM_REG__FMC_CR +// CR +// +// [Bits 31..0] RW (@ 0x40001B0C) Flash Memory Control Register +// ( (unsigned int)((FMC_CR >> 0) & 0xFFFFFFFF), ((FMC_CR = (FMC_CR & ~(0xFFFFFF0FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF0F) << 0 ) ) )) +// SFDITEM_FIELD__FMC_CR_WTIDKY +// SFDITEM_FIELD__FMC_CR_FMKEY +// SFDITEM_FIELD__FMC_CR_FMBUSY +// SFDITEM_FIELD__FMC_CR_FMOD +// +// + + +// ----------------------------- Register Item Address: FMC_BCR --------------------------------- +// SVD Line: 14589 + +unsigned int FMC_BCR __AT (0x40001B10); + + + +// ------------------------------- Field Item: FMC_BCR_WTIDKY ----------------------------------- +// SVD Line: 14598 + +// SFDITEM_FIELD__FMC_BCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001B10) Write Identification Key (0xc1be) +// +// ( (unsigned short)((FMC_BCR >> 16) & 0x0), ((FMC_BCR = (FMC_BCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ------------------------------- Field Item: FMC_BCR_CNF3BEN ---------------------------------- +// SVD Line: 14611 + +// SFDITEM_FIELD__FMC_BCR_CNF3BEN +// CNF3BEN +// +// [Bits 11..8] RW (@ 0x40001B10) Configure Option Page 3 Bulk Erase Enable +// +// ( (unsigned char)((FMC_BCR >> 8) & 0xF), ((FMC_BCR = (FMC_BCR & ~(0xFUL << 8 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 8 ) ) )) +// +// +// + + +// ------------------------------- Field Item: FMC_BCR_CNF2BEN ---------------------------------- +// SVD Line: 14617 + +// SFDITEM_FIELD__FMC_BCR_CNF2BEN +// CNF2BEN +// +// [Bits 7..4] RW (@ 0x40001B10) Configure Option Page 2 Bulk Erase Enable +// +// ( (unsigned char)((FMC_BCR >> 4) & 0xF), ((FMC_BCR = (FMC_BCR & ~(0xFUL << 4 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 4 ) ) )) +// +// +// + + +// ------------------------------- Field Item: FMC_BCR_CNF1BEN ---------------------------------- +// SVD Line: 14623 + +// SFDITEM_FIELD__FMC_BCR_CNF1BEN +// CNF1BEN +// +// [Bits 3..0] RW (@ 0x40001B10) Configure Option Page 1 Bulk Erase Enable +// +// ( (unsigned char)((FMC_BCR >> 0) & 0xF), ((FMC_BCR = (FMC_BCR & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: FMC_BCR ------------------------------------ +// SVD Line: 14589 + +// SFDITEM_REG__FMC_BCR +// BCR +// +// [Bits 31..0] RW (@ 0x40001B10) Flash Memory Configure Area Bulk Erase Control Register +// ( (unsigned int)((FMC_BCR >> 0) & 0xFFFFFFFF), ((FMC_BCR = (FMC_BCR & ~(0xFFFF0FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF0FFF) << 0 ) ) )) +// SFDITEM_FIELD__FMC_BCR_WTIDKY +// SFDITEM_FIELD__FMC_BCR_CNF3BEN +// SFDITEM_FIELD__FMC_BCR_CNF2BEN +// SFDITEM_FIELD__FMC_BCR_CNF1BEN +// +// + + +// ---------------------------- Register Item Address: FMC_ERFLAG ------------------------------- +// SVD Line: 14631 + +unsigned int FMC_ERFLAG __AT (0x40001B14); + + + +// ----------------------------- Field Item: FMC_ERFLAG_INSTFLAG -------------------------------- +// SVD Line: 14640 + +// SFDITEM_FIELD__FMC_ERFLAG_INSTFLAG +// INSTFLAG +// +// [Bit 1] RW (@ 0x40001B14) Don't care +// +// ( (unsigned int) FMC_ERFLAG ) +// INSTFLAG +// +// +// + + +// ----------------------------- Field Item: FMC_ERFLAG_FMOPFLAG -------------------------------- +// SVD Line: 14646 + +// SFDITEM_FIELD__FMC_ERFLAG_FMOPFLAG +// FMOPFLAG +// +// [Bit 0] RW (@ 0x40001B14) Error bit of Flash Memory Operation Procedure +// +// ( (unsigned int) FMC_ERFLAG ) +// FMOPFLAG +// +// +// + + +// ------------------------------- Register RTree: FMC_ERFLAG ----------------------------------- +// SVD Line: 14631 + +// SFDITEM_REG__FMC_ERFLAG +// ERFLAG +// +// [Bits 31..0] RW (@ 0x40001B14) Flash Memory Error Flag +// ( (unsigned int)((FMC_ERFLAG >> 0) & 0xFFFFFFFF), ((FMC_ERFLAG = (FMC_ERFLAG & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3) << 0 ) ) )) +// SFDITEM_FIELD__FMC_ERFLAG_INSTFLAG +// SFDITEM_FIELD__FMC_ERFLAG_FMOPFLAG +// +// + + +// --------------------------- Register Item Address: FMC_PAGEBUF ------------------------------- +// SVD Line: 14654 + +unsigned int FMC_PAGEBUF __AT (0x40001C00); + + + +// ------------------------------- Register Item: FMC_PAGEBUF ----------------------------------- +// SVD Line: 14654 + +// SFDITEM_REG__FMC_PAGEBUF +// PAGEBUF +// [Bits 31..0] WO (@ 0x40001C00) Flash Memory Page Buffer Area (128bytes/Accessed by 32bit Word Only) +// +// ( (unsigned int)((FMC_PAGEBUF >> 0) & 0xFFFFFFFF), ((FMC_PAGEBUF = (FMC_PAGEBUF & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Peripheral View: FMC -------------------------------------- +// SVD Line: 14482 + +// FMC +// FMC +// SFDITEM_REG__FMC_ADR +// SFDITEM_REG__FMC_IDR1 +// SFDITEM_REG__FMC_IDR2 +// SFDITEM_REG__FMC_CR +// SFDITEM_REG__FMC_BCR +// SFDITEM_REG__FMC_ERFLAG +// SFDITEM_REG__FMC_PAGEBUF +// +// + + +// ------------------------------ Register Item Address: WDT_CR --------------------------------- +// SVD Line: 14684 + +unsigned int WDT_CR __AT (0x40001A00); + + + +// -------------------------------- Field Item: WDT_CR_WTIDKY ----------------------------------- +// SVD Line: 14693 + +// SFDITEM_FIELD__WDT_CR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40001A00) Write Identification Key (0x5a69) +// +// ( (unsigned short)((WDT_CR >> 16) & 0x0), ((WDT_CR = (WDT_CR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// -------------------------------- Field Item: WDT_CR_RSTEN ------------------------------------ +// SVD Line: 14706 + +// SFDITEM_FIELD__WDT_CR_RSTEN +// RSTEN +// +// [Bits 15..10] RW (@ 0x40001A00) \nWatch-Dog Timer Reset Enable\n0 : Enable = Enable Watch-Dog Timer reset.\n1 : Reserved - do not use\n2 : Reserved - do not use\n3 : Reserved - do not use\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use\n16 : Reserved - do not use\n17 : Reserved - do not use\n18 : Reserved - do not use\n19 : Reserved - do not use\n20 : Reserved - do not use\n21 : Reserved - do not use\n22 : Reserved - do not use\n23 : Reserved - do not use\n24 : Reserved - do not use\n25 : Reserved - do not use\n26 : Reserved - do not use\n27 : Reserved - do not use\n28 : Reserved - do not use\n29 : Reserved - do not use\n30 : Reserved - do not use\n31 : Reserved - do not use\n32 : Reserved - do not use\n33 : Reserved - do not use\n34 : Reserved - do not use\n35 : Reserved - do not use\n36 : Reserved - do not use\n37 : Disable = Disable Watch-Dog Timer reset. (0x25)\n38 : Reserved - do not use\n39 : Reserved - do not use\n40 : Reserved - do not use\n41 : Reserved - do not use\n42 : Reserved - do not use\n43 : Reserved - do not use\n44 : Reserved - do not use\n45 : Reserved - do not use\n46 : Reserved - do not use\n47 : Reserved - do not use\n48 : Reserved - do not use\n49 : Reserved - do not use\n50 : Reserved - do not use\n51 : Reserved - do not use\n52 : Reserved - do not use\n53 : Reserved - do not use\n54 : Reserved - do not use\n55 : Reserved - do not use\n56 : Reserved - do not use\n57 : Reserved - do not use\n58 : Reserved - do not use\n59 : Reserved - do not use\n60 : Reserved - do not use\n61 : Reserved - do not use\n62 : Reserved - do not use\n63 : Reserved - do not use +// +// ( (unsigned int) WDT_CR ) +// RSTEN +// <0=> 0: Enable = Enable Watch-Dog Timer reset. +// <1=> 1: +// <2=> 2: +// <3=> 3: +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// <16=> 16: +// <17=> 17: +// <18=> 18: +// <19=> 19: +// <20=> 20: +// <21=> 21: +// <22=> 22: +// <23=> 23: +// <24=> 24: +// <25=> 25: +// <26=> 26: +// <27=> 27: +// <28=> 28: +// <29=> 29: +// <30=> 30: +// <31=> 31: +// <32=> 32: +// <33=> 33: +// <34=> 34: +// <35=> 35: +// <36=> 36: +// <37=> 37: Disable = Disable Watch-Dog Timer reset. (0x25) +// <38=> 38: +// <39=> 39: +// <40=> 40: +// <41=> 41: +// <42=> 42: +// <43=> 43: +// <44=> 44: +// <45=> 45: +// <46=> 46: +// <47=> 47: +// <48=> 48: +// <49=> 49: +// <50=> 50: +// <51=> 51: +// <52=> 52: +// <53=> 53: +// <54=> 54: +// <55=> 55: +// <56=> 56: +// <57=> 57: +// <58=> 58: +// <59=> 59: +// <60=> 60: +// <61=> 61: +// <62=> 62: +// <63=> 63: +// +// +// + + +// -------------------------------- Field Item: WDT_CR_CNTEN ------------------------------------ +// SVD Line: 14724 + +// SFDITEM_FIELD__WDT_CR_CNTEN +// CNTEN +// +// [Bits 9..4] RW (@ 0x40001A00) \nWatch-Dog Timer Counter Enable\n0 : Enable = Enable Watch-Dog Timer counter.\n1 : Reserved - do not use\n2 : Reserved - do not use\n3 : Reserved - do not use\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use\n8 : Reserved - do not use\n9 : Reserved - do not use\n10 : Reserved - do not use\n11 : Reserved - do not use\n12 : Reserved - do not use\n13 : Reserved - do not use\n14 : Reserved - do not use\n15 : Reserved - do not use\n16 : Reserved - do not use\n17 : Reserved - do not use\n18 : Reserved - do not use\n19 : Reserved - do not use\n20 : Reserved - do not use\n21 : Reserved - do not use\n22 : Reserved - do not use\n23 : Reserved - do not use\n24 : Reserved - do not use\n25 : Reserved - do not use\n26 : Disable = Disable Watch-Dog Timer counter. (0x1a)\n27 : Reserved - do not use\n28 : Reserved - do not use\n29 : Reserved - do not use\n30 : Reserved - do not use\n31 : Reserved - do not use\n32 : Reserved - do not use\n33 : Reserved - do not use\n34 : Reserved - do not use\n35 : Reserved - do not use\n36 : Reserved - do not use\n37 : Reserved - do not use\n38 : Reserved - do not use\n39 : Reserved - do not use\n40 : Reserved - do not use\n41 : Reserved - do not use\n42 : Reserved - do not use\n43 : Reserved - do not use\n44 : Reserved - do not use\n45 : Reserved - do not use\n46 : Reserved - do not use\n47 : Reserved - do not use\n48 : Reserved - do not use\n49 : Reserved - do not use\n50 : Reserved - do not use\n51 : Reserved - do not use\n52 : Reserved - do not use\n53 : Reserved - do not use\n54 : Reserved - do not use\n55 : Reserved - do not use\n56 : Reserved - do not use\n57 : Reserved - do not use\n58 : Reserved - do not use\n59 : Reserved - do not use\n60 : Reserved - do not use\n61 : Reserved - do not use\n62 : Reserved - do not use\n63 : Reserved - do not use +// +// ( (unsigned int) WDT_CR ) +// CNTEN +// <0=> 0: Enable = Enable Watch-Dog Timer counter. +// <1=> 1: +// <2=> 2: +// <3=> 3: +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: +// <8=> 8: +// <9=> 9: +// <10=> 10: +// <11=> 11: +// <12=> 12: +// <13=> 13: +// <14=> 14: +// <15=> 15: +// <16=> 16: +// <17=> 17: +// <18=> 18: +// <19=> 19: +// <20=> 20: +// <21=> 21: +// <22=> 22: +// <23=> 23: +// <24=> 24: +// <25=> 25: +// <26=> 26: Disable = Disable Watch-Dog Timer counter. (0x1a) +// <27=> 27: +// <28=> 28: +// <29=> 29: +// <30=> 30: +// <31=> 31: +// <32=> 32: +// <33=> 33: +// <34=> 34: +// <35=> 35: +// <36=> 36: +// <37=> 37: +// <38=> 38: +// <39=> 39: +// <40=> 40: +// <41=> 41: +// <42=> 42: +// <43=> 43: +// <44=> 44: +// <45=> 45: +// <46=> 46: +// <47=> 47: +// <48=> 48: +// <49=> 49: +// <50=> 50: +// <51=> 51: +// <52=> 52: +// <53=> 53: +// <54=> 54: +// <55=> 55: +// <56=> 56: +// <57=> 57: +// <58=> 58: +// <59=> 59: +// <60=> 60: +// <61=> 61: +// <62=> 62: +// <63=> 63: +// +// +// + + +// ------------------------------- Field Item: WDT_CR_WINMIEN ----------------------------------- +// SVD Line: 14742 + +// SFDITEM_FIELD__WDT_CR_WINMIEN +// WINMIEN +// +// [Bit 3] RW (@ 0x40001A00) \nWatch-Dog Timer Window Match Interrupt Enable\n0 : Disable = Disable window data match interrupt.\n1 : Enable = Enable window data match interrupt. +// +// ( (unsigned int) WDT_CR ) +// WINMIEN +// <0=> 0: Disable = Disable window data match interrupt. +// <1=> 1: Enable = Enable window data match interrupt. +// +// +// + + +// -------------------------------- Field Item: WDT_CR_UNFIEN ----------------------------------- +// SVD Line: 14760 + +// SFDITEM_FIELD__WDT_CR_UNFIEN +// UNFIEN +// +// [Bit 2] RW (@ 0x40001A00) \nWatch-Dog Timer Underflow Interrupt Enable\n0 : Disable = Disable Watch-Dog Timer underflow interrupt.\n1 : Enable = Enable Watch-Dog Timer underflow interrupt. +// +// ( (unsigned int) WDT_CR ) +// UNFIEN +// <0=> 0: Disable = Disable Watch-Dog Timer underflow interrupt. +// <1=> 1: Enable = Enable Watch-Dog Timer underflow interrupt. +// +// +// + + +// -------------------------------- Field Item: WDT_CR_CLKDIV ----------------------------------- +// SVD Line: 14778 + +// SFDITEM_FIELD__WDT_CR_CLKDIV +// CLKDIV +// +// [Bits 1..0] RW (@ 0x40001A00) \nWatch-Dog Timer Clock Divider\n0 : fWDT4 = fWDT/4\n1 : fWDT16 = fWDT/16\n2 : fWDT64 = fWDT/64\n3 : fWDT256 = fWDT/256 +// +// ( (unsigned int) WDT_CR ) +// CLKDIV +// <0=> 0: fWDT4 = fWDT/4 +// <1=> 1: fWDT16 = fWDT/16 +// <2=> 2: fWDT64 = fWDT/64 +// <3=> 3: fWDT256 = fWDT/256 +// +// +// + + +// --------------------------------- Register RTree: WDT_CR ------------------------------------- +// SVD Line: 14684 + +// SFDITEM_REG__WDT_CR +// CR +// +// [Bits 31..0] RW (@ 0x40001A00) Watch-Dog Timer Control Register +// ( (unsigned int)((WDT_CR >> 0) & 0xFFFFFFFF), ((WDT_CR = (WDT_CR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__WDT_CR_WTIDKY +// SFDITEM_FIELD__WDT_CR_RSTEN +// SFDITEM_FIELD__WDT_CR_CNTEN +// SFDITEM_FIELD__WDT_CR_WINMIEN +// SFDITEM_FIELD__WDT_CR_UNFIEN +// SFDITEM_FIELD__WDT_CR_CLKDIV +// +// + + +// ------------------------------ Register Item Address: WDT_SR --------------------------------- +// SVD Line: 14808 + +unsigned int WDT_SR __AT (0x40001A04); + + + +// ------------------------------- Field Item: WDT_SR_DBGCNTEN ---------------------------------- +// SVD Line: 14817 + +// SFDITEM_FIELD__WDT_SR_DBGCNTEN +// DBGCNTEN +// +// [Bit 7] RW (@ 0x40001A04) \nWatch-Dog Timer Counter Enable when the core is halted in debug mode\n0 : Run = The Watch-Dog Timer counter continues even if the core is halted\n1 : Stop = The Watch-Dog Timer counter is stopped when the core is halted +// +// ( (unsigned int) WDT_SR ) +// DBGCNTEN +// <0=> 0: Run = The Watch-Dog Timer counter continues even if the core is halted +// <1=> 1: Stop = The Watch-Dog Timer counter is stopped when the core is halted +// +// +// + + +// ------------------------------ Field Item: WDT_SR_WINMIFLAG ---------------------------------- +// SVD Line: 14835 + +// SFDITEM_FIELD__WDT_SR_WINMIFLAG +// WINMIFLAG +// +// [Bit 1] RW (@ 0x40001A04) \nWatch-Dog Timer Window Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) WDT_SR ) +// WINMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// ------------------------------- Field Item: WDT_SR_UNFIFLAG ---------------------------------- +// SVD Line: 14853 + +// SFDITEM_FIELD__WDT_SR_UNFIFLAG +// UNFIFLAG +// +// [Bit 0] RW (@ 0x40001A04) \nWatch-Dog Timer Underflow Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) WDT_SR ) +// UNFIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// --------------------------------- Register RTree: WDT_SR ------------------------------------- +// SVD Line: 14808 + +// SFDITEM_REG__WDT_SR +// SR +// +// [Bits 31..0] RW (@ 0x40001A04) Watch-Dog Timer Status Register +// ( (unsigned int)((WDT_SR >> 0) & 0xFFFFFFFF), ((WDT_SR = (WDT_SR & ~(0x83UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x83) << 0 ) ) )) +// SFDITEM_FIELD__WDT_SR_DBGCNTEN +// SFDITEM_FIELD__WDT_SR_WINMIFLAG +// SFDITEM_FIELD__WDT_SR_UNFIFLAG +// +// + + +// ------------------------------ Register Item Address: WDT_DR --------------------------------- +// SVD Line: 14873 + +unsigned int WDT_DR __AT (0x40001A08); + + + +// --------------------------------- Field Item: WDT_DR_DATA ------------------------------------ +// SVD Line: 14882 + +// SFDITEM_FIELD__WDT_DR_DATA +// DATA +// +// [Bits 23..0] RW (@ 0x40001A08) Watch-Dog Timer Data +// +// ( (unsigned int)((WDT_DR >> 0) & 0xFFFFFF), ((WDT_DR = (WDT_DR & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: WDT_DR ------------------------------------- +// SVD Line: 14873 + +// SFDITEM_REG__WDT_DR +// DR +// +// [Bits 31..0] RW (@ 0x40001A08) Watch-Dog Timer Data Register +// ( (unsigned int)((WDT_DR >> 0) & 0xFFFFFFFF), ((WDT_DR = (WDT_DR & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__WDT_DR_DATA +// +// + + +// ----------------------------- Register Item Address: WDT_CNT --------------------------------- +// SVD Line: 14890 + +unsigned int WDT_CNT __AT (0x40001A0C); + + + +// --------------------------------- Field Item: WDT_CNT_CNT ------------------------------------ +// SVD Line: 14899 + +// SFDITEM_FIELD__WDT_CNT_CNT +// CNT +// +// [Bits 23..0] RO (@ 0x40001A0C) Watch-Dog Timer Counter +// +// ( (unsigned int)((WDT_CNT >> 0) & 0xFFFFFF) ) +// +// +// + + +// --------------------------------- Register RTree: WDT_CNT ------------------------------------ +// SVD Line: 14890 + +// SFDITEM_REG__WDT_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40001A0C) Watch-Dog Timer Counter Register +// ( (unsigned int)((WDT_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__WDT_CNT_CNT +// +// + + +// ---------------------------- Register Item Address: WDT_WINDR -------------------------------- +// SVD Line: 14907 + +unsigned int WDT_WINDR __AT (0x40001A10); + + + +// ------------------------------- Field Item: WDT_WINDR_WDATA ---------------------------------- +// SVD Line: 14916 + +// SFDITEM_FIELD__WDT_WINDR_WDATA +// WDATA +// +// [Bits 23..0] RW (@ 0x40001A10) Watch-Dog Timer Window Data +// +// ( (unsigned int)((WDT_WINDR >> 0) & 0xFFFFFF), ((WDT_WINDR = (WDT_WINDR & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: WDT_WINDR ----------------------------------- +// SVD Line: 14907 + +// SFDITEM_REG__WDT_WINDR +// WINDR +// +// [Bits 31..0] RW (@ 0x40001A10) Watch-Dog Timer Window Data Register +// ( (unsigned int)((WDT_WINDR >> 0) & 0xFFFFFFFF), ((WDT_WINDR = (WDT_WINDR & ~(0xFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__WDT_WINDR_WDATA +// +// + + +// ----------------------------- Register Item Address: WDT_CNTR -------------------------------- +// SVD Line: 14924 + +unsigned int WDT_CNTR __AT (0x40001A14); + + + +// -------------------------------- Field Item: WDT_CNTR_CNTR ----------------------------------- +// SVD Line: 14933 + +// SFDITEM_FIELD__WDT_CNTR_CNTR +// CNTR +// +// [Bits 7..0] WO (@ 0x40001A14) Watch-Dog Timer Counter Reload +// +// ( (unsigned char)((WDT_CNTR >> 0) & 0x0), ((WDT_CNTR = (WDT_CNTR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: WDT_CNTR ------------------------------------ +// SVD Line: 14924 + +// SFDITEM_REG__WDT_CNTR +// CNTR +// +// [Bits 31..0] WO (@ 0x40001A14) Watch-Dog Timer Counter Reload Register +// ( (unsigned int)((WDT_CNTR >> 0) & 0xFFFFFFFF), ((WDT_CNTR = (WDT_CNTR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__WDT_CNTR_CNTR +// +// + + +// ---------------------------------- Peripheral View: WDT -------------------------------------- +// SVD Line: 14665 + +// WDT +// WDT +// SFDITEM_REG__WDT_CR +// SFDITEM_REG__WDT_SR +// SFDITEM_REG__WDT_DR +// SFDITEM_REG__WDT_CNT +// SFDITEM_REG__WDT_WINDR +// SFDITEM_REG__WDT_CNTR +// +// + + +// ------------------------------ Register Item Address: WT_CR ---------------------------------- +// SVD Line: 14974 + +unsigned int WT_CR __AT (0x40002000); + + + +// --------------------------------- Field Item: WT_CR_WTEN ------------------------------------- +// SVD Line: 14983 + +// SFDITEM_FIELD__WT_CR_WTEN +// WTEN +// +// [Bit 7] RW (@ 0x40002000) \nWatch Timer Operation Enable\n0 : Disable = Disable watch timer operation.\n1 : Enable = Enable watch timer operation. +// +// ( (unsigned int) WT_CR ) +// WTEN +// <0=> 0: Disable = Disable watch timer operation. +// <1=> 1: Enable = Enable watch timer operation. +// +// +// + + +// -------------------------------- Field Item: WT_CR_WTINTV ------------------------------------ +// SVD Line: 15001 + +// SFDITEM_FIELD__WT_CR_WTINTV +// WTINTV +// +// [Bits 5..4] RW (@ 0x40002000) \nWatch Timer Interval Selection\n0 : fWT2Pow7 = fWT/2^7\n1 : fWT2Pow13 = fWT/2^13\n2 : fWT2Pow14 = fWT/2^14\n3 : fWT2Pow14DR = fWT/(2^14x(WTDR value + 1)) +// +// ( (unsigned int) WT_CR ) +// WTINTV +// <0=> 0: fWT2Pow7 = fWT/2^7 +// <1=> 1: fWT2Pow13 = fWT/2^13 +// <2=> 2: fWT2Pow14 = fWT/2^14 +// <3=> 3: fWT2Pow14DR = fWT/(2^14x(WTDR value + 1)) +// +// +// + + +// --------------------------------- Field Item: WT_CR_WTIEN ------------------------------------ +// SVD Line: 15029 + +// SFDITEM_FIELD__WT_CR_WTIEN +// WTIEN +// +// [Bit 3] RW (@ 0x40002000) \nWatch Timer Interrupt Enable\n0 : Disable = Disable watch timer interrupt.\n1 : Enable = Enable watch timer interrupt. +// +// ( (unsigned int) WT_CR ) +// WTIEN +// <0=> 0: Disable = Disable watch timer interrupt. +// <1=> 1: Enable = Enable watch timer interrupt. +// +// +// + + +// -------------------------------- Field Item: WT_CR_WTIFLAG ----------------------------------- +// SVD Line: 15047 + +// SFDITEM_FIELD__WT_CR_WTIFLAG +// WTIFLAG +// +// [Bit 1] RW (@ 0x40002000) \nWatch Timer Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) WT_CR ) +// WTIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// --------------------------------- Field Item: WT_CR_WTCLR ------------------------------------ +// SVD Line: 15065 + +// SFDITEM_FIELD__WT_CR_WTCLR +// WTCLR +// +// [Bit 0] RW (@ 0x40002000) \nWatch Timer Counter and Divider Clear\n0 : NoEffect = No effect.\n1 : Clear = Clear the counter and divider. (Automatically cleared to '0b' after operation) +// +// ( (unsigned int) WT_CR ) +// WTCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear the counter and divider. (Automatically cleared to '0b' after operation) +// +// +// + + +// ---------------------------------- Register RTree: WT_CR ------------------------------------- +// SVD Line: 14974 + +// SFDITEM_REG__WT_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002000) Watch Timer Control Register +// ( (unsigned int)((WT_CR >> 0) & 0xFFFFFFFF), ((WT_CR = (WT_CR & ~(0xBBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xBB) << 0 ) ) )) +// SFDITEM_FIELD__WT_CR_WTEN +// SFDITEM_FIELD__WT_CR_WTINTV +// SFDITEM_FIELD__WT_CR_WTIEN +// SFDITEM_FIELD__WT_CR_WTIFLAG +// SFDITEM_FIELD__WT_CR_WTCLR +// +// + + +// ------------------------------ Register Item Address: WT_DR ---------------------------------- +// SVD Line: 15085 + +unsigned int WT_DR __AT (0x40002004); + + + +// -------------------------------- Field Item: WT_DR_WTDATA ------------------------------------ +// SVD Line: 15094 + +// SFDITEM_FIELD__WT_DR_WTDATA +// WTDATA +// +// [Bits 11..0] RW (@ 0x40002004) Watch Timer Data +// +// ( (unsigned short)((WT_DR >> 0) & 0xFFF), ((WT_DR = (WT_DR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Register RTree: WT_DR ------------------------------------- +// SVD Line: 15085 + +// SFDITEM_REG__WT_DR +// DR +// +// [Bits 31..0] RW (@ 0x40002004) Watch Timer Data Register +// ( (unsigned int)((WT_DR >> 0) & 0xFFFFFFFF), ((WT_DR = (WT_DR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__WT_DR_WTDATA +// +// + + +// ------------------------------ Register Item Address: WT_CNT --------------------------------- +// SVD Line: 15102 + +unsigned int WT_CNT __AT (0x40002008); + + + +// --------------------------------- Field Item: WT_CNT_CNT ------------------------------------- +// SVD Line: 15111 + +// SFDITEM_FIELD__WT_CNT_CNT +// CNT +// +// [Bits 11..0] RO (@ 0x40002008) Watch Timer Counter +// +// ( (unsigned short)((WT_CNT >> 0) & 0xFFF) ) +// +// +// + + +// --------------------------------- Register RTree: WT_CNT ------------------------------------- +// SVD Line: 15102 + +// SFDITEM_REG__WT_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002008) Watch Timer Counter Register +// ( (unsigned int)((WT_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__WT_CNT_CNT +// +// + + +// ----------------------------------- Peripheral View: WT -------------------------------------- +// SVD Line: 14955 + +// WT +// WT +// SFDITEM_REG__WT_CR +// SFDITEM_REG__WT_DR +// SFDITEM_REG__WT_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER1n_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER1n_CR __AT (0x51000000); + + + +// ------------------------------ Field Item: TIMER1n_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER1n_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x51000000) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER1n_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER1n_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER1n_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x51000000) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER1n_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER1n_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER1n_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x51000000) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER1n_CR >> 12) & 0x3), ((TIMER1n_CR = (TIMER1n_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER1n_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER1n_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x51000000) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER1n_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER1n_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER1n_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x51000000) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER1n_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER1n_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER1n_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x51000000) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER1n_CR >> 6) & 0x3), ((TIMER1n_CR = (TIMER1n_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER1n_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER1n_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x51000000) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER1n_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER1n_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER1n_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x51000000) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER1n_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER1n_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER1n_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x51000000) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER1n_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER1n_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER1n_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x51000000) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER1n_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER1n_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER1n_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x51000000) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER1n_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER1n_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER1n_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x51000000) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER1n_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER1n_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER1n_CR +// CR +// +// [Bits 31..0] RW (@ 0x51000000) TIMER1n Control Register +// ( (unsigned int)((TIMER1n_CR >> 0) & 0xFFFFFFFF), ((TIMER1n_CR = (TIMER1n_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER1n_CR_T1nEN +// SFDITEM_FIELD__TIMER1n_CR_T1nCLK +// SFDITEM_FIELD__TIMER1n_CR_T1nMS +// SFDITEM_FIELD__TIMER1n_CR_T1nECE +// SFDITEM_FIELD__TIMER1n_CR_T1nOPOL +// SFDITEM_FIELD__TIMER1n_CR_T1nCPOL +// SFDITEM_FIELD__TIMER1n_CR_T1nMIEN +// SFDITEM_FIELD__TIMER1n_CR_T1nCIEN +// SFDITEM_FIELD__TIMER1n_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER1n_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER1n_CR_T1nPAU +// SFDITEM_FIELD__TIMER1n_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER1n_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER1n_ADR __AT (0x51000004); + + + +// ------------------------------ Field Item: TIMER1n_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER1n_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x51000004) TIMER1n A Data +// +// ( (unsigned short)((TIMER1n_ADR >> 0) & 0xFFFF), ((TIMER1n_ADR = (TIMER1n_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER1n_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER1n_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x51000004) TIMER1n A Data Register +// ( (unsigned int)((TIMER1n_ADR >> 0) & 0xFFFFFFFF), ((TIMER1n_ADR = (TIMER1n_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER1n_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER1n_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER1n_BDR __AT (0x51000008); + + + +// ------------------------------ Field Item: TIMER1n_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER1n_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x51000008) TIMER1n B Data +// +// ( (unsigned short)((TIMER1n_BDR >> 0) & 0xFFFF), ((TIMER1n_BDR = (TIMER1n_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER1n_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER1n_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x51000008) TIMER1n B Data Register +// ( (unsigned int)((TIMER1n_BDR >> 0) & 0xFFFFFFFF), ((TIMER1n_BDR = (TIMER1n_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER1n_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER1n_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER1n_CAPDR __AT (0x5100000C); + + + +// ----------------------------- Field Item: TIMER1n_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER1n_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x5100000C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER1n_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER1n_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER1n_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x5100000C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER1n_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER1n_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER1n_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER1n_PREDR __AT (0x51000010); + + + +// ----------------------------- Field Item: TIMER1n_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER1n_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x51000010) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER1n_PREDR >> 0) & 0xFFF), ((TIMER1n_PREDR = (TIMER1n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER1n_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER1n_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x51000010) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER1n_PREDR >> 0) & 0xFFFFFFFF), ((TIMER1n_PREDR = (TIMER1n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER1n_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER1n_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER1n_CNT __AT (0x51000014); + + + +// ------------------------------- Field Item: TIMER1n_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER1n_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x51000014) TIMER1n Counter +// +// ( (unsigned short)((TIMER1n_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER1n_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER1n_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x51000014) TIMER1n Counter Register +// ( (unsigned int)((TIMER1n_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER1n_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER1n ------------------------------------ +// SVD Line: 15121 + +// TIMER1n +// TIMER1n +// SFDITEM_REG__TIMER1n_CR +// SFDITEM_REG__TIMER1n_ADR +// SFDITEM_REG__TIMER1n_BDR +// SFDITEM_REG__TIMER1n_CAPDR +// SFDITEM_REG__TIMER1n_PREDR +// SFDITEM_REG__TIMER1n_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER10_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER10_CR __AT (0x40002100); + + + +// ------------------------------ Field Item: TIMER10_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER10_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002100) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER10_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER10_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER10_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002100) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER10_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER10_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER10_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002100) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER10_CR >> 12) & 0x3), ((TIMER10_CR = (TIMER10_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER10_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER10_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002100) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER10_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER10_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER10_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002100) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER10_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER10_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER10_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002100) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER10_CR >> 6) & 0x3), ((TIMER10_CR = (TIMER10_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER10_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER10_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002100) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER10_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER10_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER10_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002100) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER10_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER10_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER10_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002100) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER10_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER10_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER10_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002100) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER10_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER10_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER10_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002100) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER10_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER10_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER10_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002100) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER10_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER10_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER10_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002100) TIMER1n Control Register +// ( (unsigned int)((TIMER10_CR >> 0) & 0xFFFFFFFF), ((TIMER10_CR = (TIMER10_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER10_CR_T1nEN +// SFDITEM_FIELD__TIMER10_CR_T1nCLK +// SFDITEM_FIELD__TIMER10_CR_T1nMS +// SFDITEM_FIELD__TIMER10_CR_T1nECE +// SFDITEM_FIELD__TIMER10_CR_T1nOPOL +// SFDITEM_FIELD__TIMER10_CR_T1nCPOL +// SFDITEM_FIELD__TIMER10_CR_T1nMIEN +// SFDITEM_FIELD__TIMER10_CR_T1nCIEN +// SFDITEM_FIELD__TIMER10_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER10_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER10_CR_T1nPAU +// SFDITEM_FIELD__TIMER10_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER10_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER10_ADR __AT (0x40002104); + + + +// ------------------------------ Field Item: TIMER10_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER10_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002104) TIMER1n A Data +// +// ( (unsigned short)((TIMER10_ADR >> 0) & 0xFFFF), ((TIMER10_ADR = (TIMER10_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER10_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER10_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002104) TIMER1n A Data Register +// ( (unsigned int)((TIMER10_ADR >> 0) & 0xFFFFFFFF), ((TIMER10_ADR = (TIMER10_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER10_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER10_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER10_BDR __AT (0x40002108); + + + +// ------------------------------ Field Item: TIMER10_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER10_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002108) TIMER1n B Data +// +// ( (unsigned short)((TIMER10_BDR >> 0) & 0xFFFF), ((TIMER10_BDR = (TIMER10_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER10_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER10_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002108) TIMER1n B Data Register +// ( (unsigned int)((TIMER10_BDR >> 0) & 0xFFFFFFFF), ((TIMER10_BDR = (TIMER10_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER10_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER10_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER10_CAPDR __AT (0x4000210C); + + + +// ----------------------------- Field Item: TIMER10_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER10_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000210C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER10_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER10_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER10_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000210C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER10_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER10_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER10_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER10_PREDR __AT (0x40002110); + + + +// ----------------------------- Field Item: TIMER10_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER10_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002110) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER10_PREDR >> 0) & 0xFFF), ((TIMER10_PREDR = (TIMER10_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER10_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER10_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002110) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER10_PREDR >> 0) & 0xFFFFFFFF), ((TIMER10_PREDR = (TIMER10_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER10_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER10_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER10_CNT __AT (0x40002114); + + + +// ------------------------------- Field Item: TIMER10_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER10_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002114) TIMER1n Counter +// +// ( (unsigned short)((TIMER10_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER10_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER10_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002114) TIMER1n Counter Register +// ( (unsigned int)((TIMER10_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER10_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER10 ------------------------------------ +// SVD Line: 15305 + +// TIMER10 +// TIMER10 +// SFDITEM_REG__TIMER10_CR +// SFDITEM_REG__TIMER10_ADR +// SFDITEM_REG__TIMER10_BDR +// SFDITEM_REG__TIMER10_CAPDR +// SFDITEM_REG__TIMER10_PREDR +// SFDITEM_REG__TIMER10_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER11_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER11_CR __AT (0x40002200); + + + +// ------------------------------ Field Item: TIMER11_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER11_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002200) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER11_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER11_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER11_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002200) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER11_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER11_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER11_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002200) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER11_CR >> 12) & 0x3), ((TIMER11_CR = (TIMER11_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER11_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER11_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002200) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER11_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER11_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER11_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002200) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER11_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER11_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER11_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002200) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER11_CR >> 6) & 0x3), ((TIMER11_CR = (TIMER11_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER11_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER11_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002200) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER11_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER11_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER11_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002200) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER11_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER11_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER11_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002200) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER11_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER11_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER11_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002200) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER11_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER11_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER11_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002200) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER11_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER11_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER11_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002200) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER11_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER11_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER11_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002200) TIMER1n Control Register +// ( (unsigned int)((TIMER11_CR >> 0) & 0xFFFFFFFF), ((TIMER11_CR = (TIMER11_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER11_CR_T1nEN +// SFDITEM_FIELD__TIMER11_CR_T1nCLK +// SFDITEM_FIELD__TIMER11_CR_T1nMS +// SFDITEM_FIELD__TIMER11_CR_T1nECE +// SFDITEM_FIELD__TIMER11_CR_T1nOPOL +// SFDITEM_FIELD__TIMER11_CR_T1nCPOL +// SFDITEM_FIELD__TIMER11_CR_T1nMIEN +// SFDITEM_FIELD__TIMER11_CR_T1nCIEN +// SFDITEM_FIELD__TIMER11_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER11_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER11_CR_T1nPAU +// SFDITEM_FIELD__TIMER11_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER11_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER11_ADR __AT (0x40002204); + + + +// ------------------------------ Field Item: TIMER11_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER11_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002204) TIMER1n A Data +// +// ( (unsigned short)((TIMER11_ADR >> 0) & 0xFFFF), ((TIMER11_ADR = (TIMER11_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER11_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER11_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002204) TIMER1n A Data Register +// ( (unsigned int)((TIMER11_ADR >> 0) & 0xFFFFFFFF), ((TIMER11_ADR = (TIMER11_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER11_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER11_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER11_BDR __AT (0x40002208); + + + +// ------------------------------ Field Item: TIMER11_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER11_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002208) TIMER1n B Data +// +// ( (unsigned short)((TIMER11_BDR >> 0) & 0xFFFF), ((TIMER11_BDR = (TIMER11_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER11_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER11_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002208) TIMER1n B Data Register +// ( (unsigned int)((TIMER11_BDR >> 0) & 0xFFFFFFFF), ((TIMER11_BDR = (TIMER11_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER11_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER11_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER11_CAPDR __AT (0x4000220C); + + + +// ----------------------------- Field Item: TIMER11_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER11_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000220C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER11_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER11_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER11_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000220C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER11_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER11_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER11_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER11_PREDR __AT (0x40002210); + + + +// ----------------------------- Field Item: TIMER11_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER11_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002210) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER11_PREDR >> 0) & 0xFFF), ((TIMER11_PREDR = (TIMER11_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER11_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER11_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002210) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER11_PREDR >> 0) & 0xFFFFFFFF), ((TIMER11_PREDR = (TIMER11_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER11_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER11_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER11_CNT __AT (0x40002214); + + + +// ------------------------------- Field Item: TIMER11_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER11_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002214) TIMER1n Counter +// +// ( (unsigned short)((TIMER11_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER11_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER11_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002214) TIMER1n Counter Register +// ( (unsigned int)((TIMER11_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER11_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER11 ------------------------------------ +// SVD Line: 15324 + +// TIMER11 +// TIMER11 +// SFDITEM_REG__TIMER11_CR +// SFDITEM_REG__TIMER11_ADR +// SFDITEM_REG__TIMER11_BDR +// SFDITEM_REG__TIMER11_CAPDR +// SFDITEM_REG__TIMER11_PREDR +// SFDITEM_REG__TIMER11_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER12_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER12_CR __AT (0x40002300); + + + +// ------------------------------ Field Item: TIMER12_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER12_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002300) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER12_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER12_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER12_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002300) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER12_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER12_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER12_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002300) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER12_CR >> 12) & 0x3), ((TIMER12_CR = (TIMER12_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER12_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER12_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002300) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER12_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER12_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER12_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002300) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER12_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER12_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER12_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002300) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER12_CR >> 6) & 0x3), ((TIMER12_CR = (TIMER12_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER12_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER12_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002300) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER12_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER12_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER12_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002300) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER12_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER12_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER12_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002300) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER12_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER12_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER12_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002300) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER12_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER12_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER12_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002300) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER12_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER12_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER12_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002300) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER12_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER12_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER12_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002300) TIMER1n Control Register +// ( (unsigned int)((TIMER12_CR >> 0) & 0xFFFFFFFF), ((TIMER12_CR = (TIMER12_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER12_CR_T1nEN +// SFDITEM_FIELD__TIMER12_CR_T1nCLK +// SFDITEM_FIELD__TIMER12_CR_T1nMS +// SFDITEM_FIELD__TIMER12_CR_T1nECE +// SFDITEM_FIELD__TIMER12_CR_T1nOPOL +// SFDITEM_FIELD__TIMER12_CR_T1nCPOL +// SFDITEM_FIELD__TIMER12_CR_T1nMIEN +// SFDITEM_FIELD__TIMER12_CR_T1nCIEN +// SFDITEM_FIELD__TIMER12_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER12_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER12_CR_T1nPAU +// SFDITEM_FIELD__TIMER12_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER12_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER12_ADR __AT (0x40002304); + + + +// ------------------------------ Field Item: TIMER12_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER12_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002304) TIMER1n A Data +// +// ( (unsigned short)((TIMER12_ADR >> 0) & 0xFFFF), ((TIMER12_ADR = (TIMER12_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER12_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER12_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002304) TIMER1n A Data Register +// ( (unsigned int)((TIMER12_ADR >> 0) & 0xFFFFFFFF), ((TIMER12_ADR = (TIMER12_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER12_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER12_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER12_BDR __AT (0x40002308); + + + +// ------------------------------ Field Item: TIMER12_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER12_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002308) TIMER1n B Data +// +// ( (unsigned short)((TIMER12_BDR >> 0) & 0xFFFF), ((TIMER12_BDR = (TIMER12_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER12_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER12_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002308) TIMER1n B Data Register +// ( (unsigned int)((TIMER12_BDR >> 0) & 0xFFFFFFFF), ((TIMER12_BDR = (TIMER12_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER12_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER12_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER12_CAPDR __AT (0x4000230C); + + + +// ----------------------------- Field Item: TIMER12_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER12_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000230C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER12_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER12_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER12_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000230C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER12_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER12_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER12_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER12_PREDR __AT (0x40002310); + + + +// ----------------------------- Field Item: TIMER12_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER12_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002310) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER12_PREDR >> 0) & 0xFFF), ((TIMER12_PREDR = (TIMER12_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER12_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER12_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002310) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER12_PREDR >> 0) & 0xFFFFFFFF), ((TIMER12_PREDR = (TIMER12_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER12_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER12_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER12_CNT __AT (0x40002314); + + + +// ------------------------------- Field Item: TIMER12_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER12_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002314) TIMER1n Counter +// +// ( (unsigned short)((TIMER12_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER12_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER12_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002314) TIMER1n Counter Register +// ( (unsigned int)((TIMER12_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER12_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER12 ------------------------------------ +// SVD Line: 15343 + +// TIMER12 +// TIMER12 +// SFDITEM_REG__TIMER12_CR +// SFDITEM_REG__TIMER12_ADR +// SFDITEM_REG__TIMER12_BDR +// SFDITEM_REG__TIMER12_CAPDR +// SFDITEM_REG__TIMER12_PREDR +// SFDITEM_REG__TIMER12_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER13_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER13_CR __AT (0x40002700); + + + +// ------------------------------ Field Item: TIMER13_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER13_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002700) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER13_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER13_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER13_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002700) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER13_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER13_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER13_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002700) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER13_CR >> 12) & 0x3), ((TIMER13_CR = (TIMER13_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER13_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER13_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002700) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER13_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER13_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER13_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002700) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER13_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER13_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER13_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002700) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER13_CR >> 6) & 0x3), ((TIMER13_CR = (TIMER13_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER13_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER13_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002700) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER13_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER13_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER13_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002700) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER13_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER13_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER13_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002700) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER13_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER13_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER13_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002700) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER13_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER13_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER13_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002700) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER13_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER13_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER13_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002700) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER13_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER13_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER13_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002700) TIMER1n Control Register +// ( (unsigned int)((TIMER13_CR >> 0) & 0xFFFFFFFF), ((TIMER13_CR = (TIMER13_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER13_CR_T1nEN +// SFDITEM_FIELD__TIMER13_CR_T1nCLK +// SFDITEM_FIELD__TIMER13_CR_T1nMS +// SFDITEM_FIELD__TIMER13_CR_T1nECE +// SFDITEM_FIELD__TIMER13_CR_T1nOPOL +// SFDITEM_FIELD__TIMER13_CR_T1nCPOL +// SFDITEM_FIELD__TIMER13_CR_T1nMIEN +// SFDITEM_FIELD__TIMER13_CR_T1nCIEN +// SFDITEM_FIELD__TIMER13_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER13_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER13_CR_T1nPAU +// SFDITEM_FIELD__TIMER13_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER13_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER13_ADR __AT (0x40002704); + + + +// ------------------------------ Field Item: TIMER13_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER13_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002704) TIMER1n A Data +// +// ( (unsigned short)((TIMER13_ADR >> 0) & 0xFFFF), ((TIMER13_ADR = (TIMER13_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER13_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER13_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002704) TIMER1n A Data Register +// ( (unsigned int)((TIMER13_ADR >> 0) & 0xFFFFFFFF), ((TIMER13_ADR = (TIMER13_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER13_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER13_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER13_BDR __AT (0x40002708); + + + +// ------------------------------ Field Item: TIMER13_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER13_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002708) TIMER1n B Data +// +// ( (unsigned short)((TIMER13_BDR >> 0) & 0xFFFF), ((TIMER13_BDR = (TIMER13_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER13_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER13_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002708) TIMER1n B Data Register +// ( (unsigned int)((TIMER13_BDR >> 0) & 0xFFFFFFFF), ((TIMER13_BDR = (TIMER13_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER13_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER13_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER13_CAPDR __AT (0x4000270C); + + + +// ----------------------------- Field Item: TIMER13_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER13_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000270C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER13_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER13_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER13_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000270C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER13_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER13_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER13_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER13_PREDR __AT (0x40002710); + + + +// ----------------------------- Field Item: TIMER13_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER13_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002710) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER13_PREDR >> 0) & 0xFFF), ((TIMER13_PREDR = (TIMER13_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER13_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER13_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002710) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER13_PREDR >> 0) & 0xFFFFFFFF), ((TIMER13_PREDR = (TIMER13_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER13_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER13_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER13_CNT __AT (0x40002714); + + + +// ------------------------------- Field Item: TIMER13_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER13_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002714) TIMER1n Counter +// +// ( (unsigned short)((TIMER13_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER13_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER13_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002714) TIMER1n Counter Register +// ( (unsigned int)((TIMER13_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER13_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER13 ------------------------------------ +// SVD Line: 15362 + +// TIMER13 +// TIMER13 +// SFDITEM_REG__TIMER13_CR +// SFDITEM_REG__TIMER13_ADR +// SFDITEM_REG__TIMER13_BDR +// SFDITEM_REG__TIMER13_CAPDR +// SFDITEM_REG__TIMER13_PREDR +// SFDITEM_REG__TIMER13_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER14_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER14_CR __AT (0x40002800); + + + +// ------------------------------ Field Item: TIMER14_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER14_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002800) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER14_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER14_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER14_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002800) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER14_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER14_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER14_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002800) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER14_CR >> 12) & 0x3), ((TIMER14_CR = (TIMER14_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER14_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER14_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002800) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER14_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER14_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER14_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002800) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER14_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER14_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER14_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002800) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER14_CR >> 6) & 0x3), ((TIMER14_CR = (TIMER14_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER14_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER14_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002800) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER14_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER14_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER14_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002800) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER14_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER14_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER14_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002800) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER14_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER14_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER14_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002800) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER14_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER14_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER14_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002800) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER14_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER14_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER14_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002800) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER14_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER14_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER14_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002800) TIMER1n Control Register +// ( (unsigned int)((TIMER14_CR >> 0) & 0xFFFFFFFF), ((TIMER14_CR = (TIMER14_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER14_CR_T1nEN +// SFDITEM_FIELD__TIMER14_CR_T1nCLK +// SFDITEM_FIELD__TIMER14_CR_T1nMS +// SFDITEM_FIELD__TIMER14_CR_T1nECE +// SFDITEM_FIELD__TIMER14_CR_T1nOPOL +// SFDITEM_FIELD__TIMER14_CR_T1nCPOL +// SFDITEM_FIELD__TIMER14_CR_T1nMIEN +// SFDITEM_FIELD__TIMER14_CR_T1nCIEN +// SFDITEM_FIELD__TIMER14_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER14_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER14_CR_T1nPAU +// SFDITEM_FIELD__TIMER14_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER14_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER14_ADR __AT (0x40002804); + + + +// ------------------------------ Field Item: TIMER14_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER14_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002804) TIMER1n A Data +// +// ( (unsigned short)((TIMER14_ADR >> 0) & 0xFFFF), ((TIMER14_ADR = (TIMER14_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER14_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER14_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002804) TIMER1n A Data Register +// ( (unsigned int)((TIMER14_ADR >> 0) & 0xFFFFFFFF), ((TIMER14_ADR = (TIMER14_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER14_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER14_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER14_BDR __AT (0x40002808); + + + +// ------------------------------ Field Item: TIMER14_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER14_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002808) TIMER1n B Data +// +// ( (unsigned short)((TIMER14_BDR >> 0) & 0xFFFF), ((TIMER14_BDR = (TIMER14_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER14_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER14_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002808) TIMER1n B Data Register +// ( (unsigned int)((TIMER14_BDR >> 0) & 0xFFFFFFFF), ((TIMER14_BDR = (TIMER14_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER14_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER14_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER14_CAPDR __AT (0x4000280C); + + + +// ----------------------------- Field Item: TIMER14_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER14_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000280C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER14_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER14_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER14_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000280C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER14_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER14_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER14_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER14_PREDR __AT (0x40002810); + + + +// ----------------------------- Field Item: TIMER14_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER14_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002810) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER14_PREDR >> 0) & 0xFFF), ((TIMER14_PREDR = (TIMER14_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER14_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER14_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002810) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER14_PREDR >> 0) & 0xFFFFFFFF), ((TIMER14_PREDR = (TIMER14_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER14_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER14_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER14_CNT __AT (0x40002814); + + + +// ------------------------------- Field Item: TIMER14_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER14_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002814) TIMER1n Counter +// +// ( (unsigned short)((TIMER14_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER14_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER14_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002814) TIMER1n Counter Register +// ( (unsigned int)((TIMER14_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER14_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER14 ------------------------------------ +// SVD Line: 15381 + +// TIMER14 +// TIMER14 +// SFDITEM_REG__TIMER14_CR +// SFDITEM_REG__TIMER14_ADR +// SFDITEM_REG__TIMER14_BDR +// SFDITEM_REG__TIMER14_CAPDR +// SFDITEM_REG__TIMER14_PREDR +// SFDITEM_REG__TIMER14_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER15_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER15_CR __AT (0x40002900); + + + +// ------------------------------ Field Item: TIMER15_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER15_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002900) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER15_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER15_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER15_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002900) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER15_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER15_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER15_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002900) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER15_CR >> 12) & 0x3), ((TIMER15_CR = (TIMER15_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER15_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER15_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002900) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER15_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER15_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER15_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002900) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER15_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER15_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER15_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002900) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER15_CR >> 6) & 0x3), ((TIMER15_CR = (TIMER15_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER15_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER15_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002900) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER15_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER15_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER15_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002900) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER15_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER15_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER15_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002900) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER15_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER15_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER15_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002900) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER15_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER15_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER15_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002900) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER15_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER15_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER15_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002900) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER15_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER15_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER15_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002900) TIMER1n Control Register +// ( (unsigned int)((TIMER15_CR >> 0) & 0xFFFFFFFF), ((TIMER15_CR = (TIMER15_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER15_CR_T1nEN +// SFDITEM_FIELD__TIMER15_CR_T1nCLK +// SFDITEM_FIELD__TIMER15_CR_T1nMS +// SFDITEM_FIELD__TIMER15_CR_T1nECE +// SFDITEM_FIELD__TIMER15_CR_T1nOPOL +// SFDITEM_FIELD__TIMER15_CR_T1nCPOL +// SFDITEM_FIELD__TIMER15_CR_T1nMIEN +// SFDITEM_FIELD__TIMER15_CR_T1nCIEN +// SFDITEM_FIELD__TIMER15_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER15_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER15_CR_T1nPAU +// SFDITEM_FIELD__TIMER15_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER15_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER15_ADR __AT (0x40002904); + + + +// ------------------------------ Field Item: TIMER15_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER15_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002904) TIMER1n A Data +// +// ( (unsigned short)((TIMER15_ADR >> 0) & 0xFFFF), ((TIMER15_ADR = (TIMER15_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER15_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER15_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002904) TIMER1n A Data Register +// ( (unsigned int)((TIMER15_ADR >> 0) & 0xFFFFFFFF), ((TIMER15_ADR = (TIMER15_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER15_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER15_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER15_BDR __AT (0x40002908); + + + +// ------------------------------ Field Item: TIMER15_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER15_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002908) TIMER1n B Data +// +// ( (unsigned short)((TIMER15_BDR >> 0) & 0xFFFF), ((TIMER15_BDR = (TIMER15_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER15_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER15_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002908) TIMER1n B Data Register +// ( (unsigned int)((TIMER15_BDR >> 0) & 0xFFFFFFFF), ((TIMER15_BDR = (TIMER15_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER15_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER15_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER15_CAPDR __AT (0x4000290C); + + + +// ----------------------------- Field Item: TIMER15_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER15_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x4000290C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER15_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER15_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER15_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000290C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER15_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER15_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER15_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER15_PREDR __AT (0x40002910); + + + +// ----------------------------- Field Item: TIMER15_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER15_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002910) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER15_PREDR >> 0) & 0xFFF), ((TIMER15_PREDR = (TIMER15_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER15_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER15_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002910) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER15_PREDR >> 0) & 0xFFFFFFFF), ((TIMER15_PREDR = (TIMER15_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER15_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER15_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER15_CNT __AT (0x40002914); + + + +// ------------------------------- Field Item: TIMER15_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER15_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002914) TIMER1n Counter +// +// ( (unsigned short)((TIMER15_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER15_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER15_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002914) TIMER1n Counter Register +// ( (unsigned int)((TIMER15_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER15_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER15 ------------------------------------ +// SVD Line: 15400 + +// TIMER15 +// TIMER15 +// SFDITEM_REG__TIMER15_CR +// SFDITEM_REG__TIMER15_ADR +// SFDITEM_REG__TIMER15_BDR +// SFDITEM_REG__TIMER15_CAPDR +// SFDITEM_REG__TIMER15_PREDR +// SFDITEM_REG__TIMER15_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER16_CR ------------------------------- +// SVD Line: 15135 + +unsigned int TIMER16_CR __AT (0x40002A00); + + + +// ------------------------------ Field Item: TIMER16_CR_T1nEN ---------------------------------- +// SVD Line: 15144 + +// SFDITEM_FIELD__TIMER16_CR_T1nEN +// T1nEN +// +// [Bit 15] RW (@ 0x40002A00) TIMER1n Operation Enable +// +// ( (unsigned int) TIMER16_CR ) +// T1nEN +// +// +// + + +// ------------------------------ Field Item: TIMER16_CR_T1nCLK --------------------------------- +// SVD Line: 15150 + +// SFDITEM_FIELD__TIMER16_CR_T1nCLK +// T1nCLK +// +// [Bit 14] RW (@ 0x40002A00) TIMER1n Clock Selection +// +// ( (unsigned int) TIMER16_CR ) +// T1nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER16_CR_T1nMS ---------------------------------- +// SVD Line: 15156 + +// SFDITEM_FIELD__TIMER16_CR_T1nMS +// T1nMS +// +// [Bits 13..12] RW (@ 0x40002A00) TIMER1n Operation Mode Selection +// +// ( (unsigned char)((TIMER16_CR >> 12) & 0x3), ((TIMER16_CR = (TIMER16_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER16_CR_T1nECE --------------------------------- +// SVD Line: 15162 + +// SFDITEM_FIELD__TIMER16_CR_T1nECE +// T1nECE +// +// [Bit 11] RW (@ 0x40002A00) TIMER1n External Clock Edge Selection +// +// ( (unsigned int) TIMER16_CR ) +// T1nECE +// +// +// + + +// ----------------------------- Field Item: TIMER16_CR_T1nOPOL --------------------------------- +// SVD Line: 15168 + +// SFDITEM_FIELD__TIMER16_CR_T1nOPOL +// T1nOPOL +// +// [Bit 8] RW (@ 0x40002A00) TIMER1n Output Polarity Selection +// +// ( (unsigned int) TIMER16_CR ) +// T1nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER16_CR_T1nCPOL --------------------------------- +// SVD Line: 15174 + +// SFDITEM_FIELD__TIMER16_CR_T1nCPOL +// T1nCPOL +// +// [Bits 7..6] RW (@ 0x40002A00) TIMER1n Capture Polarity Selection +// +// ( (unsigned char)((TIMER16_CR >> 6) & 0x3), ((TIMER16_CR = (TIMER16_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER16_CR_T1nMIEN --------------------------------- +// SVD Line: 15180 + +// SFDITEM_FIELD__TIMER16_CR_T1nMIEN +// T1nMIEN +// +// [Bit 5] RW (@ 0x40002A00) TIMER1n Match Interrupt Enable +// +// ( (unsigned int) TIMER16_CR ) +// T1nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER16_CR_T1nCIEN --------------------------------- +// SVD Line: 15186 + +// SFDITEM_FIELD__TIMER16_CR_T1nCIEN +// T1nCIEN +// +// [Bit 4] RW (@ 0x40002A00) TIMER1n Capture Interrupt Enable +// +// ( (unsigned int) TIMER16_CR ) +// T1nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER16_CR_T1nMIFLAG -------------------------------- +// SVD Line: 15192 + +// SFDITEM_FIELD__TIMER16_CR_T1nMIFLAG +// T1nMIFLAG +// +// [Bit 3] RW (@ 0x40002A00) TIMER1n Match Interrupt Flag +// +// ( (unsigned int) TIMER16_CR ) +// T1nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER16_CR_T1nCIFLAG -------------------------------- +// SVD Line: 15198 + +// SFDITEM_FIELD__TIMER16_CR_T1nCIFLAG +// T1nCIFLAG +// +// [Bit 2] RW (@ 0x40002A00) TIMER1n Capture Interrupt Flag +// +// ( (unsigned int) TIMER16_CR ) +// T1nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER16_CR_T1nPAU --------------------------------- +// SVD Line: 15204 + +// SFDITEM_FIELD__TIMER16_CR_T1nPAU +// T1nPAU +// +// [Bit 1] RW (@ 0x40002A00) TIMER1n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER16_CR ) +// T1nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER16_CR_T1nCLR --------------------------------- +// SVD Line: 15210 + +// SFDITEM_FIELD__TIMER16_CR_T1nCLR +// T1nCLR +// +// [Bit 0] RW (@ 0x40002A00) TIMER1n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER16_CR ) +// T1nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER16_CR ----------------------------------- +// SVD Line: 15135 + +// SFDITEM_REG__TIMER16_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002A00) TIMER1n Control Register +// ( (unsigned int)((TIMER16_CR >> 0) & 0xFFFFFFFF), ((TIMER16_CR = (TIMER16_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER16_CR_T1nEN +// SFDITEM_FIELD__TIMER16_CR_T1nCLK +// SFDITEM_FIELD__TIMER16_CR_T1nMS +// SFDITEM_FIELD__TIMER16_CR_T1nECE +// SFDITEM_FIELD__TIMER16_CR_T1nOPOL +// SFDITEM_FIELD__TIMER16_CR_T1nCPOL +// SFDITEM_FIELD__TIMER16_CR_T1nMIEN +// SFDITEM_FIELD__TIMER16_CR_T1nCIEN +// SFDITEM_FIELD__TIMER16_CR_T1nMIFLAG +// SFDITEM_FIELD__TIMER16_CR_T1nCIFLAG +// SFDITEM_FIELD__TIMER16_CR_T1nPAU +// SFDITEM_FIELD__TIMER16_CR_T1nCLR +// +// + + +// --------------------------- Register Item Address: TIMER16_ADR ------------------------------- +// SVD Line: 15218 + +unsigned int TIMER16_ADR __AT (0x40002A04); + + + +// ------------------------------ Field Item: TIMER16_ADR_ADATA --------------------------------- +// SVD Line: 15227 + +// SFDITEM_FIELD__TIMER16_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002A04) TIMER1n A Data +// +// ( (unsigned short)((TIMER16_ADR >> 0) & 0xFFFF), ((TIMER16_ADR = (TIMER16_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER16_ADR ---------------------------------- +// SVD Line: 15218 + +// SFDITEM_REG__TIMER16_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002A04) TIMER1n A Data Register +// ( (unsigned int)((TIMER16_ADR >> 0) & 0xFFFFFFFF), ((TIMER16_ADR = (TIMER16_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER16_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER16_BDR ------------------------------- +// SVD Line: 15235 + +unsigned int TIMER16_BDR __AT (0x40002A08); + + + +// ------------------------------ Field Item: TIMER16_BDR_BDATA --------------------------------- +// SVD Line: 15244 + +// SFDITEM_FIELD__TIMER16_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x40002A08) TIMER1n B Data +// +// ( (unsigned short)((TIMER16_BDR >> 0) & 0xFFFF), ((TIMER16_BDR = (TIMER16_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER16_BDR ---------------------------------- +// SVD Line: 15235 + +// SFDITEM_REG__TIMER16_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002A08) TIMER1n B Data Register +// ( (unsigned int)((TIMER16_BDR >> 0) & 0xFFFFFFFF), ((TIMER16_BDR = (TIMER16_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER16_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER16_CAPDR ------------------------------ +// SVD Line: 15252 + +unsigned int TIMER16_CAPDR __AT (0x40002A0C); + + + +// ----------------------------- Field Item: TIMER16_CAPDR_CAPD --------------------------------- +// SVD Line: 15261 + +// SFDITEM_FIELD__TIMER16_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x40002A0C) TIMER1n Capture Data +// +// ( (unsigned short)((TIMER16_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER16_CAPDR --------------------------------- +// SVD Line: 15252 + +// SFDITEM_REG__TIMER16_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x40002A0C) TIMER1n Capture Data Register +// ( (unsigned int)((TIMER16_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER16_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER16_PREDR ------------------------------ +// SVD Line: 15269 + +unsigned int TIMER16_PREDR __AT (0x40002A10); + + + +// ----------------------------- Field Item: TIMER16_PREDR_PRED --------------------------------- +// SVD Line: 15278 + +// SFDITEM_FIELD__TIMER16_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002A10) TIMER1n Prescaler Data +// +// ( (unsigned short)((TIMER16_PREDR >> 0) & 0xFFF), ((TIMER16_PREDR = (TIMER16_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER16_PREDR --------------------------------- +// SVD Line: 15269 + +// SFDITEM_REG__TIMER16_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002A10) TIMER1n Prescaler Data Register +// ( (unsigned int)((TIMER16_PREDR >> 0) & 0xFFFFFFFF), ((TIMER16_PREDR = (TIMER16_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER16_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER16_CNT ------------------------------- +// SVD Line: 15286 + +unsigned int TIMER16_CNT __AT (0x40002A14); + + + +// ------------------------------- Field Item: TIMER16_CNT_CNT ---------------------------------- +// SVD Line: 15295 + +// SFDITEM_FIELD__TIMER16_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x40002A14) TIMER1n Counter +// +// ( (unsigned short)((TIMER16_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER16_CNT ---------------------------------- +// SVD Line: 15286 + +// SFDITEM_REG__TIMER16_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002A14) TIMER1n Counter Register +// ( (unsigned int)((TIMER16_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER16_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER16 ------------------------------------ +// SVD Line: 15419 + +// TIMER16 +// TIMER16 +// SFDITEM_REG__TIMER16_CR +// SFDITEM_REG__TIMER16_ADR +// SFDITEM_REG__TIMER16_BDR +// SFDITEM_REG__TIMER16_CAPDR +// SFDITEM_REG__TIMER16_PREDR +// SFDITEM_REG__TIMER16_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER2n_CR ------------------------------- +// SVD Line: 15452 + +unsigned int TIMER2n_CR __AT (0x52000000); + + + +// ------------------------------ Field Item: TIMER2n_CR_T2nEN ---------------------------------- +// SVD Line: 15461 + +// SFDITEM_FIELD__TIMER2n_CR_T2nEN +// T2nEN +// +// [Bit 15] RW (@ 0x52000000) TIMER2n Operation Enable +// +// ( (unsigned int) TIMER2n_CR ) +// T2nEN +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_T2nCLK --------------------------------- +// SVD Line: 15467 + +// SFDITEM_FIELD__TIMER2n_CR_T2nCLK +// T2nCLK +// +// [Bit 14] RW (@ 0x52000000) TIMER2n Clock Selection +// +// ( (unsigned int) TIMER2n_CR ) +// T2nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_T2nMS ---------------------------------- +// SVD Line: 15473 + +// SFDITEM_FIELD__TIMER2n_CR_T2nMS +// T2nMS +// +// [Bits 13..12] RW (@ 0x52000000) TIMER2n Operation Mode Selection +// +// ( (unsigned char)((TIMER2n_CR >> 12) & 0x3), ((TIMER2n_CR = (TIMER2n_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_T2nECE --------------------------------- +// SVD Line: 15479 + +// SFDITEM_FIELD__TIMER2n_CR_T2nECE +// T2nECE +// +// [Bit 11] RW (@ 0x52000000) TIMER2n External Clock Edge Selection +// +// ( (unsigned int) TIMER2n_CR ) +// T2nECE +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_CAPSEL --------------------------------- +// SVD Line: 15485 + +// SFDITEM_FIELD__TIMER2n_CR_CAPSEL +// CAPSEL +// +// [Bits 10..9] RW (@ 0x52000000) TIMER2n Capture Signal Selection +// +// ( (unsigned char)((TIMER2n_CR >> 9) & 0x3), ((TIMER2n_CR = (TIMER2n_CR & ~(0x3UL << 9 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 9 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER2n_CR_T2nOPOL --------------------------------- +// SVD Line: 15491 + +// SFDITEM_FIELD__TIMER2n_CR_T2nOPOL +// T2nOPOL +// +// [Bit 8] RW (@ 0x52000000) TIMER2n Output Polarity Selection +// +// ( (unsigned int) TIMER2n_CR ) +// T2nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER2n_CR_T2nCPOL --------------------------------- +// SVD Line: 15497 + +// SFDITEM_FIELD__TIMER2n_CR_T2nCPOL +// T2nCPOL +// +// [Bits 7..6] RW (@ 0x52000000) TIMER2n Capture Polarity Selection +// +// ( (unsigned char)((TIMER2n_CR >> 6) & 0x3), ((TIMER2n_CR = (TIMER2n_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER2n_CR_T2nMIEN --------------------------------- +// SVD Line: 15503 + +// SFDITEM_FIELD__TIMER2n_CR_T2nMIEN +// T2nMIEN +// +// [Bit 5] RW (@ 0x52000000) TIMER2n Match Interrupt Enable +// +// ( (unsigned int) TIMER2n_CR ) +// T2nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER2n_CR_T2nCIEN --------------------------------- +// SVD Line: 15509 + +// SFDITEM_FIELD__TIMER2n_CR_T2nCIEN +// T2nCIEN +// +// [Bit 4] RW (@ 0x52000000) TIMER2n Capture Interrupt Enable +// +// ( (unsigned int) TIMER2n_CR ) +// T2nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER2n_CR_T2nMIFLAG -------------------------------- +// SVD Line: 15515 + +// SFDITEM_FIELD__TIMER2n_CR_T2nMIFLAG +// T2nMIFLAG +// +// [Bit 3] RW (@ 0x52000000) TIMER2n Match Interrupt Flag +// +// ( (unsigned int) TIMER2n_CR ) +// T2nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER2n_CR_T2nCIFLAG -------------------------------- +// SVD Line: 15521 + +// SFDITEM_FIELD__TIMER2n_CR_T2nCIFLAG +// T2nCIFLAG +// +// [Bit 2] RW (@ 0x52000000) TIMER2n Capture Interrupt Flag +// +// ( (unsigned int) TIMER2n_CR ) +// T2nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_T2nPAU --------------------------------- +// SVD Line: 15527 + +// SFDITEM_FIELD__TIMER2n_CR_T2nPAU +// T2nPAU +// +// [Bit 1] RW (@ 0x52000000) TIMER2n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER2n_CR ) +// T2nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER2n_CR_T2nCLR --------------------------------- +// SVD Line: 15533 + +// SFDITEM_FIELD__TIMER2n_CR_T2nCLR +// T2nCLR +// +// [Bit 0] RW (@ 0x52000000) TIMER2n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER2n_CR ) +// T2nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER2n_CR ----------------------------------- +// SVD Line: 15452 + +// SFDITEM_REG__TIMER2n_CR +// CR +// +// [Bits 31..0] RW (@ 0x52000000) TIMER2n Control Register +// ( (unsigned int)((TIMER2n_CR >> 0) & 0xFFFFFFFF), ((TIMER2n_CR = (TIMER2n_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER2n_CR_T2nEN +// SFDITEM_FIELD__TIMER2n_CR_T2nCLK +// SFDITEM_FIELD__TIMER2n_CR_T2nMS +// SFDITEM_FIELD__TIMER2n_CR_T2nECE +// SFDITEM_FIELD__TIMER2n_CR_CAPSEL +// SFDITEM_FIELD__TIMER2n_CR_T2nOPOL +// SFDITEM_FIELD__TIMER2n_CR_T2nCPOL +// SFDITEM_FIELD__TIMER2n_CR_T2nMIEN +// SFDITEM_FIELD__TIMER2n_CR_T2nCIEN +// SFDITEM_FIELD__TIMER2n_CR_T2nMIFLAG +// SFDITEM_FIELD__TIMER2n_CR_T2nCIFLAG +// SFDITEM_FIELD__TIMER2n_CR_T2nPAU +// SFDITEM_FIELD__TIMER2n_CR_T2nCLR +// +// + + +// --------------------------- Register Item Address: TIMER2n_ADR ------------------------------- +// SVD Line: 15541 + +unsigned int TIMER2n_ADR __AT (0x52000004); + + + +// ------------------------------ Field Item: TIMER2n_ADR_ADATA --------------------------------- +// SVD Line: 15550 + +// SFDITEM_FIELD__TIMER2n_ADR_ADATA +// ADATA +// +// [Bits 31..0] RW (@ 0x52000004) TIMER2n A Data +// +// ( (unsigned int)((TIMER2n_ADR >> 0) & 0xFFFFFFFF), ((TIMER2n_ADR = (TIMER2n_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER2n_ADR ---------------------------------- +// SVD Line: 15541 + +// SFDITEM_REG__TIMER2n_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x52000004) TIMER2n A Data Register +// ( (unsigned int)((TIMER2n_ADR >> 0) & 0xFFFFFFFF), ((TIMER2n_ADR = (TIMER2n_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER2n_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER2n_BDR ------------------------------- +// SVD Line: 15558 + +unsigned int TIMER2n_BDR __AT (0x52000008); + + + +// ------------------------------ Field Item: TIMER2n_BDR_BDATA --------------------------------- +// SVD Line: 15567 + +// SFDITEM_FIELD__TIMER2n_BDR_BDATA +// BDATA +// +// [Bits 31..0] RW (@ 0x52000008) TIMER2n B Data +// +// ( (unsigned int)((TIMER2n_BDR >> 0) & 0xFFFFFFFF), ((TIMER2n_BDR = (TIMER2n_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER2n_BDR ---------------------------------- +// SVD Line: 15558 + +// SFDITEM_REG__TIMER2n_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x52000008) TIMER2n B Data Register +// ( (unsigned int)((TIMER2n_BDR >> 0) & 0xFFFFFFFF), ((TIMER2n_BDR = (TIMER2n_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER2n_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER2n_CAPDR ------------------------------ +// SVD Line: 15575 + +unsigned int TIMER2n_CAPDR __AT (0x5200000C); + + + +// ----------------------------- Field Item: TIMER2n_CAPDR_CAPD --------------------------------- +// SVD Line: 15584 + +// SFDITEM_FIELD__TIMER2n_CAPDR_CAPD +// CAPD +// +// [Bits 31..0] RO (@ 0x5200000C) TIMER2n Capture Data +// +// ( (unsigned int)((TIMER2n_CAPDR >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER2n_CAPDR --------------------------------- +// SVD Line: 15575 + +// SFDITEM_REG__TIMER2n_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x5200000C) TIMER2n Capture Data Register +// ( (unsigned int)((TIMER2n_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER2n_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER2n_PREDR ------------------------------ +// SVD Line: 15592 + +unsigned int TIMER2n_PREDR __AT (0x52000010); + + + +// ----------------------------- Field Item: TIMER2n_PREDR_PRED --------------------------------- +// SVD Line: 15601 + +// SFDITEM_FIELD__TIMER2n_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x52000010) TIMER2n Prescaler Data +// +// ( (unsigned short)((TIMER2n_PREDR >> 0) & 0xFFF), ((TIMER2n_PREDR = (TIMER2n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER2n_PREDR --------------------------------- +// SVD Line: 15592 + +// SFDITEM_REG__TIMER2n_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x52000010) TIMER2n Prescaler Data Register +// ( (unsigned int)((TIMER2n_PREDR >> 0) & 0xFFFFFFFF), ((TIMER2n_PREDR = (TIMER2n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER2n_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER2n_CNT ------------------------------- +// SVD Line: 15609 + +unsigned int TIMER2n_CNT __AT (0x52000014); + + + +// ------------------------------- Field Item: TIMER2n_CNT_CNT ---------------------------------- +// SVD Line: 15618 + +// SFDITEM_FIELD__TIMER2n_CNT_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x52000014) TIMER2n Counter +// +// ( (unsigned int)((TIMER2n_CNT >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER2n_CNT ---------------------------------- +// SVD Line: 15609 + +// SFDITEM_REG__TIMER2n_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x52000014) TIMER2n Counter Register +// ( (unsigned int)((TIMER2n_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER2n_CNT_CNT +// +// + + +// -------------------------------- Peripheral View: TIMER2n ------------------------------------ +// SVD Line: 15438 + +// TIMER2n +// TIMER2n +// SFDITEM_REG__TIMER2n_CR +// SFDITEM_REG__TIMER2n_ADR +// SFDITEM_REG__TIMER2n_BDR +// SFDITEM_REG__TIMER2n_CAPDR +// SFDITEM_REG__TIMER2n_PREDR +// SFDITEM_REG__TIMER2n_CNT +// +// + + +// ---------------------------- Register Item Address: TIMER20_CR ------------------------------- +// SVD Line: 15452 + +unsigned int TIMER20_CR __AT (0x40002500); + + + +// ------------------------------ Field Item: TIMER20_CR_T2nEN ---------------------------------- +// SVD Line: 15461 + +// SFDITEM_FIELD__TIMER20_CR_T2nEN +// T2nEN +// +// [Bit 15] RW (@ 0x40002500) TIMER2n Operation Enable +// +// ( (unsigned int) TIMER20_CR ) +// T2nEN +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_T2nCLK --------------------------------- +// SVD Line: 15467 + +// SFDITEM_FIELD__TIMER20_CR_T2nCLK +// T2nCLK +// +// [Bit 14] RW (@ 0x40002500) TIMER2n Clock Selection +// +// ( (unsigned int) TIMER20_CR ) +// T2nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_T2nMS ---------------------------------- +// SVD Line: 15473 + +// SFDITEM_FIELD__TIMER20_CR_T2nMS +// T2nMS +// +// [Bits 13..12] RW (@ 0x40002500) TIMER2n Operation Mode Selection +// +// ( (unsigned char)((TIMER20_CR >> 12) & 0x3), ((TIMER20_CR = (TIMER20_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_T2nECE --------------------------------- +// SVD Line: 15479 + +// SFDITEM_FIELD__TIMER20_CR_T2nECE +// T2nECE +// +// [Bit 11] RW (@ 0x40002500) TIMER2n External Clock Edge Selection +// +// ( (unsigned int) TIMER20_CR ) +// T2nECE +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_CAPSEL --------------------------------- +// SVD Line: 15485 + +// SFDITEM_FIELD__TIMER20_CR_CAPSEL +// CAPSEL +// +// [Bits 10..9] RW (@ 0x40002500) TIMER2n Capture Signal Selection +// +// ( (unsigned char)((TIMER20_CR >> 9) & 0x3), ((TIMER20_CR = (TIMER20_CR & ~(0x3UL << 9 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 9 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER20_CR_T2nOPOL --------------------------------- +// SVD Line: 15491 + +// SFDITEM_FIELD__TIMER20_CR_T2nOPOL +// T2nOPOL +// +// [Bit 8] RW (@ 0x40002500) TIMER2n Output Polarity Selection +// +// ( (unsigned int) TIMER20_CR ) +// T2nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER20_CR_T2nCPOL --------------------------------- +// SVD Line: 15497 + +// SFDITEM_FIELD__TIMER20_CR_T2nCPOL +// T2nCPOL +// +// [Bits 7..6] RW (@ 0x40002500) TIMER2n Capture Polarity Selection +// +// ( (unsigned char)((TIMER20_CR >> 6) & 0x3), ((TIMER20_CR = (TIMER20_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER20_CR_T2nMIEN --------------------------------- +// SVD Line: 15503 + +// SFDITEM_FIELD__TIMER20_CR_T2nMIEN +// T2nMIEN +// +// [Bit 5] RW (@ 0x40002500) TIMER2n Match Interrupt Enable +// +// ( (unsigned int) TIMER20_CR ) +// T2nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER20_CR_T2nCIEN --------------------------------- +// SVD Line: 15509 + +// SFDITEM_FIELD__TIMER20_CR_T2nCIEN +// T2nCIEN +// +// [Bit 4] RW (@ 0x40002500) TIMER2n Capture Interrupt Enable +// +// ( (unsigned int) TIMER20_CR ) +// T2nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER20_CR_T2nMIFLAG -------------------------------- +// SVD Line: 15515 + +// SFDITEM_FIELD__TIMER20_CR_T2nMIFLAG +// T2nMIFLAG +// +// [Bit 3] RW (@ 0x40002500) TIMER2n Match Interrupt Flag +// +// ( (unsigned int) TIMER20_CR ) +// T2nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER20_CR_T2nCIFLAG -------------------------------- +// SVD Line: 15521 + +// SFDITEM_FIELD__TIMER20_CR_T2nCIFLAG +// T2nCIFLAG +// +// [Bit 2] RW (@ 0x40002500) TIMER2n Capture Interrupt Flag +// +// ( (unsigned int) TIMER20_CR ) +// T2nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_T2nPAU --------------------------------- +// SVD Line: 15527 + +// SFDITEM_FIELD__TIMER20_CR_T2nPAU +// T2nPAU +// +// [Bit 1] RW (@ 0x40002500) TIMER2n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER20_CR ) +// T2nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER20_CR_T2nCLR --------------------------------- +// SVD Line: 15533 + +// SFDITEM_FIELD__TIMER20_CR_T2nCLR +// T2nCLR +// +// [Bit 0] RW (@ 0x40002500) TIMER2n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER20_CR ) +// T2nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER20_CR ----------------------------------- +// SVD Line: 15452 + +// SFDITEM_REG__TIMER20_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002500) TIMER2n Control Register +// ( (unsigned int)((TIMER20_CR >> 0) & 0xFFFFFFFF), ((TIMER20_CR = (TIMER20_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER20_CR_T2nEN +// SFDITEM_FIELD__TIMER20_CR_T2nCLK +// SFDITEM_FIELD__TIMER20_CR_T2nMS +// SFDITEM_FIELD__TIMER20_CR_T2nECE +// SFDITEM_FIELD__TIMER20_CR_CAPSEL +// SFDITEM_FIELD__TIMER20_CR_T2nOPOL +// SFDITEM_FIELD__TIMER20_CR_T2nCPOL +// SFDITEM_FIELD__TIMER20_CR_T2nMIEN +// SFDITEM_FIELD__TIMER20_CR_T2nCIEN +// SFDITEM_FIELD__TIMER20_CR_T2nMIFLAG +// SFDITEM_FIELD__TIMER20_CR_T2nCIFLAG +// SFDITEM_FIELD__TIMER20_CR_T2nPAU +// SFDITEM_FIELD__TIMER20_CR_T2nCLR +// +// + + +// --------------------------- Register Item Address: TIMER20_ADR ------------------------------- +// SVD Line: 15541 + +unsigned int TIMER20_ADR __AT (0x40002504); + + + +// ------------------------------ Field Item: TIMER20_ADR_ADATA --------------------------------- +// SVD Line: 15550 + +// SFDITEM_FIELD__TIMER20_ADR_ADATA +// ADATA +// +// [Bits 31..0] RW (@ 0x40002504) TIMER2n A Data +// +// ( (unsigned int)((TIMER20_ADR >> 0) & 0xFFFFFFFF), ((TIMER20_ADR = (TIMER20_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER20_ADR ---------------------------------- +// SVD Line: 15541 + +// SFDITEM_REG__TIMER20_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002504) TIMER2n A Data Register +// ( (unsigned int)((TIMER20_ADR >> 0) & 0xFFFFFFFF), ((TIMER20_ADR = (TIMER20_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER20_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER20_BDR ------------------------------- +// SVD Line: 15558 + +unsigned int TIMER20_BDR __AT (0x40002508); + + + +// ------------------------------ Field Item: TIMER20_BDR_BDATA --------------------------------- +// SVD Line: 15567 + +// SFDITEM_FIELD__TIMER20_BDR_BDATA +// BDATA +// +// [Bits 31..0] RW (@ 0x40002508) TIMER2n B Data +// +// ( (unsigned int)((TIMER20_BDR >> 0) & 0xFFFFFFFF), ((TIMER20_BDR = (TIMER20_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER20_BDR ---------------------------------- +// SVD Line: 15558 + +// SFDITEM_REG__TIMER20_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002508) TIMER2n B Data Register +// ( (unsigned int)((TIMER20_BDR >> 0) & 0xFFFFFFFF), ((TIMER20_BDR = (TIMER20_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER20_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER20_CAPDR ------------------------------ +// SVD Line: 15575 + +unsigned int TIMER20_CAPDR __AT (0x4000250C); + + + +// ----------------------------- Field Item: TIMER20_CAPDR_CAPD --------------------------------- +// SVD Line: 15584 + +// SFDITEM_FIELD__TIMER20_CAPDR_CAPD +// CAPD +// +// [Bits 31..0] RO (@ 0x4000250C) TIMER2n Capture Data +// +// ( (unsigned int)((TIMER20_CAPDR >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER20_CAPDR --------------------------------- +// SVD Line: 15575 + +// SFDITEM_REG__TIMER20_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000250C) TIMER2n Capture Data Register +// ( (unsigned int)((TIMER20_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER20_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER20_PREDR ------------------------------ +// SVD Line: 15592 + +unsigned int TIMER20_PREDR __AT (0x40002510); + + + +// ----------------------------- Field Item: TIMER20_PREDR_PRED --------------------------------- +// SVD Line: 15601 + +// SFDITEM_FIELD__TIMER20_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002510) TIMER2n Prescaler Data +// +// ( (unsigned short)((TIMER20_PREDR >> 0) & 0xFFF), ((TIMER20_PREDR = (TIMER20_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER20_PREDR --------------------------------- +// SVD Line: 15592 + +// SFDITEM_REG__TIMER20_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002510) TIMER2n Prescaler Data Register +// ( (unsigned int)((TIMER20_PREDR >> 0) & 0xFFFFFFFF), ((TIMER20_PREDR = (TIMER20_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER20_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER20_CNT ------------------------------- +// SVD Line: 15609 + +unsigned int TIMER20_CNT __AT (0x40002514); + + + +// ------------------------------- Field Item: TIMER20_CNT_CNT ---------------------------------- +// SVD Line: 15618 + +// SFDITEM_FIELD__TIMER20_CNT_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002514) TIMER2n Counter +// +// ( (unsigned int)((TIMER20_CNT >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER20_CNT ---------------------------------- +// SVD Line: 15609 + +// SFDITEM_REG__TIMER20_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002514) TIMER2n Counter Register +// ( (unsigned int)((TIMER20_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER20_CNT_CNT +// +// + + +// ------------------------ Register Item Address: TIMER20_TIMER20_CR --------------------------- +// SVD Line: 15647 + +unsigned int TIMER20_TIMER20_CR __AT (0x40002500); + + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nEN ------------------------------ +// SVD Line: 15657 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nEN +// T2nEN +// +// [Bit 15] RW (@ 0x40002500) TIMER2n Operation Enable +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nEN +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nCLK ----------------------------- +// SVD Line: 15663 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCLK +// T2nCLK +// +// [Bit 14] RW (@ 0x40002500) TIMER2n Clock Selection +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nCLK +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nMS ------------------------------ +// SVD Line: 15669 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMS +// T2nMS +// +// [Bits 13..12] RW (@ 0x40002500) TIMER2n Operation Mode Selection +// +// ( (unsigned char)((TIMER20_TIMER20_CR >> 12) & 0x3), ((TIMER20_TIMER20_CR = (TIMER20_TIMER20_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nECE ----------------------------- +// SVD Line: 15675 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nECE +// T2nECE +// +// [Bit 11] RW (@ 0x40002500) TIMER2n External Clock Edge Selection +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nECE +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_CAPSEL ----------------------------- +// SVD Line: 15681 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_CAPSEL +// CAPSEL +// +// [Bits 10..9] RW (@ 0x40002500) TIMER2n Capture Signal Selection +// +// ( (unsigned char)((TIMER20_TIMER20_CR >> 9) & 0x3), ((TIMER20_TIMER20_CR = (TIMER20_TIMER20_CR & ~(0x3UL << 9 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 9 ) ) )) +// +// +// + + +// ------------------------- Field Item: TIMER20_TIMER20_CR_T2nOPOL ----------------------------- +// SVD Line: 15687 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nOPOL +// T2nOPOL +// +// [Bit 8] RW (@ 0x40002500) TIMER2n Output Polarity Selection +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nOPOL +// +// +// + + +// ------------------------- Field Item: TIMER20_TIMER20_CR_T2nCPOL ----------------------------- +// SVD Line: 15693 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCPOL +// T2nCPOL +// +// [Bits 7..6] RW (@ 0x40002500) TIMER2n Capture Polarity Selection +// +// ( (unsigned char)((TIMER20_TIMER20_CR >> 6) & 0x3), ((TIMER20_TIMER20_CR = (TIMER20_TIMER20_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------- Field Item: TIMER20_TIMER20_CR_T2nMIEN ----------------------------- +// SVD Line: 15699 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMIEN +// T2nMIEN +// +// [Bit 5] RW (@ 0x40002500) TIMER2n Match Interrupt Enable +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nMIEN +// +// +// + + +// ------------------------- Field Item: TIMER20_TIMER20_CR_T2nCIEN ----------------------------- +// SVD Line: 15705 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCIEN +// T2nCIEN +// +// [Bit 4] RW (@ 0x40002500) TIMER2n Capture Interrupt Enable +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nCIEN +// +// +// + + +// ------------------------ Field Item: TIMER20_TIMER20_CR_T2nMIFLAG ---------------------------- +// SVD Line: 15711 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMIFLAG +// T2nMIFLAG +// +// [Bit 3] RW (@ 0x40002500) TIMER2n Match Interrupt Flag +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nMIFLAG +// +// +// + + +// ------------------------ Field Item: TIMER20_TIMER20_CR_T2nCIFLAG ---------------------------- +// SVD Line: 15717 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCIFLAG +// T2nCIFLAG +// +// [Bit 2] RW (@ 0x40002500) TIMER2n Capture Interrupt Flag +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nCIFLAG +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nPAU ----------------------------- +// SVD Line: 15723 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nPAU +// T2nPAU +// +// [Bit 1] RW (@ 0x40002500) TIMER2n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nPAU +// +// +// + + +// -------------------------- Field Item: TIMER20_TIMER20_CR_T2nCLR ----------------------------- +// SVD Line: 15729 + +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCLR +// T2nCLR +// +// [Bit 0] RW (@ 0x40002500) TIMER2n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER20_TIMER20_CR ) +// T2nCLR +// +// +// + + +// --------------------------- Register RTree: TIMER20_TIMER20_CR ------------------------------- +// SVD Line: 15647 + +// SFDITEM_REG__TIMER20_TIMER20_CR +// TIMER20_CR +// +// [Bits 31..0] RW (@ 0x40002500) TIMER2n Control Register +// ( (unsigned int)((TIMER20_TIMER20_CR >> 0) & 0xFFFFFFFF), ((TIMER20_TIMER20_CR = (TIMER20_TIMER20_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nEN +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCLK +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMS +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nECE +// SFDITEM_FIELD__TIMER20_TIMER20_CR_CAPSEL +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nOPOL +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCPOL +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMIEN +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCIEN +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nMIFLAG +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCIFLAG +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nPAU +// SFDITEM_FIELD__TIMER20_TIMER20_CR_T2nCLR +// +// + + +// -------------------------------- Peripheral View: TIMER20 ------------------------------------ +// SVD Line: 15628 + +// TIMER20 +// TIMER20 +// SFDITEM_REG__TIMER20_CR +// SFDITEM_REG__TIMER20_ADR +// SFDITEM_REG__TIMER20_BDR +// SFDITEM_REG__TIMER20_CAPDR +// SFDITEM_REG__TIMER20_PREDR +// SFDITEM_REG__TIMER20_CNT +// SFDITEM_REG__TIMER20_TIMER20_CR +// +// + + +// ---------------------------- Register Item Address: TIMER21_CR ------------------------------- +// SVD Line: 15452 + +unsigned int TIMER21_CR __AT (0x40002600); + + + +// ------------------------------ Field Item: TIMER21_CR_T2nEN ---------------------------------- +// SVD Line: 15461 + +// SFDITEM_FIELD__TIMER21_CR_T2nEN +// T2nEN +// +// [Bit 15] RW (@ 0x40002600) TIMER2n Operation Enable +// +// ( (unsigned int) TIMER21_CR ) +// T2nEN +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_T2nCLK --------------------------------- +// SVD Line: 15467 + +// SFDITEM_FIELD__TIMER21_CR_T2nCLK +// T2nCLK +// +// [Bit 14] RW (@ 0x40002600) TIMER2n Clock Selection +// +// ( (unsigned int) TIMER21_CR ) +// T2nCLK +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_T2nMS ---------------------------------- +// SVD Line: 15473 + +// SFDITEM_FIELD__TIMER21_CR_T2nMS +// T2nMS +// +// [Bits 13..12] RW (@ 0x40002600) TIMER2n Operation Mode Selection +// +// ( (unsigned char)((TIMER21_CR >> 12) & 0x3), ((TIMER21_CR = (TIMER21_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_T2nECE --------------------------------- +// SVD Line: 15479 + +// SFDITEM_FIELD__TIMER21_CR_T2nECE +// T2nECE +// +// [Bit 11] RW (@ 0x40002600) TIMER2n External Clock Edge Selection +// +// ( (unsigned int) TIMER21_CR ) +// T2nECE +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_CAPSEL --------------------------------- +// SVD Line: 15485 + +// SFDITEM_FIELD__TIMER21_CR_CAPSEL +// CAPSEL +// +// [Bits 10..9] RW (@ 0x40002600) TIMER2n Capture Signal Selection +// +// ( (unsigned char)((TIMER21_CR >> 9) & 0x3), ((TIMER21_CR = (TIMER21_CR & ~(0x3UL << 9 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 9 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER21_CR_T2nOPOL --------------------------------- +// SVD Line: 15491 + +// SFDITEM_FIELD__TIMER21_CR_T2nOPOL +// T2nOPOL +// +// [Bit 8] RW (@ 0x40002600) TIMER2n Output Polarity Selection +// +// ( (unsigned int) TIMER21_CR ) +// T2nOPOL +// +// +// + + +// ----------------------------- Field Item: TIMER21_CR_T2nCPOL --------------------------------- +// SVD Line: 15497 + +// SFDITEM_FIELD__TIMER21_CR_T2nCPOL +// T2nCPOL +// +// [Bits 7..6] RW (@ 0x40002600) TIMER2n Capture Polarity Selection +// +// ( (unsigned char)((TIMER21_CR >> 6) & 0x3), ((TIMER21_CR = (TIMER21_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER21_CR_T2nMIEN --------------------------------- +// SVD Line: 15503 + +// SFDITEM_FIELD__TIMER21_CR_T2nMIEN +// T2nMIEN +// +// [Bit 5] RW (@ 0x40002600) TIMER2n Match Interrupt Enable +// +// ( (unsigned int) TIMER21_CR ) +// T2nMIEN +// +// +// + + +// ----------------------------- Field Item: TIMER21_CR_T2nCIEN --------------------------------- +// SVD Line: 15509 + +// SFDITEM_FIELD__TIMER21_CR_T2nCIEN +// T2nCIEN +// +// [Bit 4] RW (@ 0x40002600) TIMER2n Capture Interrupt Enable +// +// ( (unsigned int) TIMER21_CR ) +// T2nCIEN +// +// +// + + +// ---------------------------- Field Item: TIMER21_CR_T2nMIFLAG -------------------------------- +// SVD Line: 15515 + +// SFDITEM_FIELD__TIMER21_CR_T2nMIFLAG +// T2nMIFLAG +// +// [Bit 3] RW (@ 0x40002600) TIMER2n Match Interrupt Flag +// +// ( (unsigned int) TIMER21_CR ) +// T2nMIFLAG +// +// +// + + +// ---------------------------- Field Item: TIMER21_CR_T2nCIFLAG -------------------------------- +// SVD Line: 15521 + +// SFDITEM_FIELD__TIMER21_CR_T2nCIFLAG +// T2nCIFLAG +// +// [Bit 2] RW (@ 0x40002600) TIMER2n Capture Interrupt Flag +// +// ( (unsigned int) TIMER21_CR ) +// T2nCIFLAG +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_T2nPAU --------------------------------- +// SVD Line: 15527 + +// SFDITEM_FIELD__TIMER21_CR_T2nPAU +// T2nPAU +// +// [Bit 1] RW (@ 0x40002600) TIMER2n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER21_CR ) +// T2nPAU +// +// +// + + +// ------------------------------ Field Item: TIMER21_CR_T2nCLR --------------------------------- +// SVD Line: 15533 + +// SFDITEM_FIELD__TIMER21_CR_T2nCLR +// T2nCLR +// +// [Bit 0] RW (@ 0x40002600) TIMER2n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER21_CR ) +// T2nCLR +// +// +// + + +// ------------------------------- Register RTree: TIMER21_CR ----------------------------------- +// SVD Line: 15452 + +// SFDITEM_REG__TIMER21_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002600) TIMER2n Control Register +// ( (unsigned int)((TIMER21_CR >> 0) & 0xFFFFFFFF), ((TIMER21_CR = (TIMER21_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER21_CR_T2nEN +// SFDITEM_FIELD__TIMER21_CR_T2nCLK +// SFDITEM_FIELD__TIMER21_CR_T2nMS +// SFDITEM_FIELD__TIMER21_CR_T2nECE +// SFDITEM_FIELD__TIMER21_CR_CAPSEL +// SFDITEM_FIELD__TIMER21_CR_T2nOPOL +// SFDITEM_FIELD__TIMER21_CR_T2nCPOL +// SFDITEM_FIELD__TIMER21_CR_T2nMIEN +// SFDITEM_FIELD__TIMER21_CR_T2nCIEN +// SFDITEM_FIELD__TIMER21_CR_T2nMIFLAG +// SFDITEM_FIELD__TIMER21_CR_T2nCIFLAG +// SFDITEM_FIELD__TIMER21_CR_T2nPAU +// SFDITEM_FIELD__TIMER21_CR_T2nCLR +// +// + + +// --------------------------- Register Item Address: TIMER21_ADR ------------------------------- +// SVD Line: 15541 + +unsigned int TIMER21_ADR __AT (0x40002604); + + + +// ------------------------------ Field Item: TIMER21_ADR_ADATA --------------------------------- +// SVD Line: 15550 + +// SFDITEM_FIELD__TIMER21_ADR_ADATA +// ADATA +// +// [Bits 31..0] RW (@ 0x40002604) TIMER2n A Data +// +// ( (unsigned int)((TIMER21_ADR >> 0) & 0xFFFFFFFF), ((TIMER21_ADR = (TIMER21_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER21_ADR ---------------------------------- +// SVD Line: 15541 + +// SFDITEM_REG__TIMER21_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002604) TIMER2n A Data Register +// ( (unsigned int)((TIMER21_ADR >> 0) & 0xFFFFFFFF), ((TIMER21_ADR = (TIMER21_ADR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER21_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER21_BDR ------------------------------- +// SVD Line: 15558 + +unsigned int TIMER21_BDR __AT (0x40002608); + + + +// ------------------------------ Field Item: TIMER21_BDR_BDATA --------------------------------- +// SVD Line: 15567 + +// SFDITEM_FIELD__TIMER21_BDR_BDATA +// BDATA +// +// [Bits 31..0] RW (@ 0x40002608) TIMER2n B Data +// +// ( (unsigned int)((TIMER21_BDR >> 0) & 0xFFFFFFFF), ((TIMER21_BDR = (TIMER21_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER21_BDR ---------------------------------- +// SVD Line: 15558 + +// SFDITEM_REG__TIMER21_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40002608) TIMER2n B Data Register +// ( (unsigned int)((TIMER21_BDR >> 0) & 0xFFFFFFFF), ((TIMER21_BDR = (TIMER21_BDR & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER21_BDR_BDATA +// +// + + +// -------------------------- Register Item Address: TIMER21_CAPDR ------------------------------ +// SVD Line: 15575 + +unsigned int TIMER21_CAPDR __AT (0x4000260C); + + + +// ----------------------------- Field Item: TIMER21_CAPDR_CAPD --------------------------------- +// SVD Line: 15584 + +// SFDITEM_FIELD__TIMER21_CAPDR_CAPD +// CAPD +// +// [Bits 31..0] RO (@ 0x4000260C) TIMER2n Capture Data +// +// ( (unsigned int)((TIMER21_CAPDR >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER21_CAPDR --------------------------------- +// SVD Line: 15575 + +// SFDITEM_REG__TIMER21_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x4000260C) TIMER2n Capture Data Register +// ( (unsigned int)((TIMER21_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER21_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER21_PREDR ------------------------------ +// SVD Line: 15592 + +unsigned int TIMER21_PREDR __AT (0x40002610); + + + +// ----------------------------- Field Item: TIMER21_PREDR_PRED --------------------------------- +// SVD Line: 15601 + +// SFDITEM_FIELD__TIMER21_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002610) TIMER2n Prescaler Data +// +// ( (unsigned short)((TIMER21_PREDR >> 0) & 0xFFF), ((TIMER21_PREDR = (TIMER21_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER21_PREDR --------------------------------- +// SVD Line: 15592 + +// SFDITEM_REG__TIMER21_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002610) TIMER2n Prescaler Data Register +// ( (unsigned int)((TIMER21_PREDR >> 0) & 0xFFFFFFFF), ((TIMER21_PREDR = (TIMER21_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER21_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER21_CNT ------------------------------- +// SVD Line: 15609 + +unsigned int TIMER21_CNT __AT (0x40002614); + + + +// ------------------------------- Field Item: TIMER21_CNT_CNT ---------------------------------- +// SVD Line: 15618 + +// SFDITEM_FIELD__TIMER21_CNT_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002614) TIMER2n Counter +// +// ( (unsigned int)((TIMER21_CNT >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER21_CNT ---------------------------------- +// SVD Line: 15609 + +// SFDITEM_REG__TIMER21_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x40002614) TIMER2n Counter Register +// ( (unsigned int)((TIMER21_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER21_CNT_CNT +// +// + + +// ------------------------ Register Item Address: TIMER21_TIMER21_CR --------------------------- +// SVD Line: 15758 + +unsigned int TIMER21_TIMER21_CR __AT (0x40002600); + + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nEN ------------------------------ +// SVD Line: 15768 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nEN +// T2nEN +// +// [Bit 15] RW (@ 0x40002600) TIMER2n Operation Enable +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nEN +// +// +// + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nCLK ----------------------------- +// SVD Line: 15774 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCLK +// T2nCLK +// +// [Bit 14] RW (@ 0x40002600) TIMER2n Clock Selection +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nCLK +// +// +// + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nMS ------------------------------ +// SVD Line: 15780 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMS +// T2nMS +// +// [Bits 13..12] RW (@ 0x40002600) TIMER2n Operation Mode Selection +// +// ( (unsigned char)((TIMER21_TIMER21_CR >> 12) & 0x3), ((TIMER21_TIMER21_CR = (TIMER21_TIMER21_CR & ~(0x3UL << 12 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 12 ) ) )) +// +// +// + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nECE ----------------------------- +// SVD Line: 15786 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nECE +// T2nECE +// +// [Bit 11] RW (@ 0x40002600) TIMER2n External Clock Edge Selection +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nECE +// +// +// + + +// ------------------------- Field Item: TIMER21_TIMER21_CR_T2nOPOL ----------------------------- +// SVD Line: 15792 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nOPOL +// T2nOPOL +// +// [Bit 8] RW (@ 0x40002600) TIMER2n Output Polarity Selection +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nOPOL +// +// +// + + +// ------------------------- Field Item: TIMER21_TIMER21_CR_T2nCPOL ----------------------------- +// SVD Line: 15798 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCPOL +// T2nCPOL +// +// [Bits 7..6] RW (@ 0x40002600) TIMER2n Capture Polarity Selection +// +// ( (unsigned char)((TIMER21_TIMER21_CR >> 6) & 0x3), ((TIMER21_TIMER21_CR = (TIMER21_TIMER21_CR & ~(0x3UL << 6 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 6 ) ) )) +// +// +// + + +// ------------------------- Field Item: TIMER21_TIMER21_CR_T2nMIEN ----------------------------- +// SVD Line: 15804 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMIEN +// T2nMIEN +// +// [Bit 5] RW (@ 0x40002600) TIMER2n Match Interrupt Enable +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nMIEN +// +// +// + + +// ------------------------- Field Item: TIMER21_TIMER21_CR_T2nCIEN ----------------------------- +// SVD Line: 15810 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCIEN +// T2nCIEN +// +// [Bit 4] RW (@ 0x40002600) TIMER2n Capture Interrupt Enable +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nCIEN +// +// +// + + +// ------------------------ Field Item: TIMER21_TIMER21_CR_T2nMIFLAG ---------------------------- +// SVD Line: 15816 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMIFLAG +// T2nMIFLAG +// +// [Bit 3] RW (@ 0x40002600) TIMER2n Match Interrupt Flag +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nMIFLAG +// +// +// + + +// ------------------------ Field Item: TIMER21_TIMER21_CR_T2nCIFLAG ---------------------------- +// SVD Line: 15822 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCIFLAG +// T2nCIFLAG +// +// [Bit 2] RW (@ 0x40002600) TIMER2n Capture Interrupt Flag +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nCIFLAG +// +// +// + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nPAU ----------------------------- +// SVD Line: 15828 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nPAU +// T2nPAU +// +// [Bit 1] RW (@ 0x40002600) TIMER2n Counter Temporary Pause Control +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nPAU +// +// +// + + +// -------------------------- Field Item: TIMER21_TIMER21_CR_T2nCLR ----------------------------- +// SVD Line: 15834 + +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCLR +// T2nCLR +// +// [Bit 0] RW (@ 0x40002600) TIMER2n Counter and Prescaler Clear +// +// ( (unsigned int) TIMER21_TIMER21_CR ) +// T2nCLR +// +// +// + + +// --------------------------- Register RTree: TIMER21_TIMER21_CR ------------------------------- +// SVD Line: 15758 + +// SFDITEM_REG__TIMER21_TIMER21_CR +// TIMER21_CR +// +// [Bits 31..0] RW (@ 0x40002600) TIMER2n Control Register +// ( (unsigned int)((TIMER21_TIMER21_CR >> 0) & 0xFFFFFFFF), ((TIMER21_TIMER21_CR = (TIMER21_TIMER21_CR & ~(0xF9FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF9FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nEN +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCLK +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMS +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nECE +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nOPOL +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCPOL +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMIEN +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCIEN +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nMIFLAG +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCIFLAG +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nPAU +// SFDITEM_FIELD__TIMER21_TIMER21_CR_T2nCLR +// +// + + +// -------------------------------- Peripheral View: TIMER21 ------------------------------------ +// SVD Line: 15739 + +// TIMER21 +// TIMER21 +// SFDITEM_REG__TIMER21_CR +// SFDITEM_REG__TIMER21_ADR +// SFDITEM_REG__TIMER21_BDR +// SFDITEM_REG__TIMER21_CAPDR +// SFDITEM_REG__TIMER21_PREDR +// SFDITEM_REG__TIMER21_CNT +// SFDITEM_REG__TIMER21_TIMER21_CR +// +// + + +// ---------------------------- Register Item Address: TIMER3n_CR ------------------------------- +// SVD Line: 15858 + +unsigned int TIMER3n_CR __AT (0x53000000); + + + +// ------------------------------ Field Item: TIMER3n_CR_T3nEN ---------------------------------- +// SVD Line: 15867 + +// SFDITEM_FIELD__TIMER3n_CR_T3nEN +// T3nEN +// +// [Bit 15] RW (@ 0x53000000) \nTIMER3n Operation Enable\n0 : Disable = Disable TIMER3n Operation.\n1 : Enable = Enable TIMER3n Operation. (Counter Clear and Start) +// +// ( (unsigned int) TIMER3n_CR ) +// T3nEN +// <0=> 0: Disable = Disable TIMER3n Operation. +// <1=> 1: Enable = Enable TIMER3n Operation. (Counter Clear and Start) +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_T3nCLK --------------------------------- +// SVD Line: 15885 + +// SFDITEM_FIELD__TIMER3n_CR_T3nCLK +// T3nCLK +// +// [Bit 14] RW (@ 0x53000000) \nTIMER3n Clock Selection\n0 : IntPrescaledClock = Select an Internal Prescaler Clock.\n1 : ExtClock = Select an External Clock. +// +// ( (unsigned int) TIMER3n_CR ) +// T3nCLK +// <0=> 0: IntPrescaledClock = Select an Internal Prescaler Clock. +// <1=> 1: ExtClock = Select an External Clock. +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_T3nMS ---------------------------------- +// SVD Line: 15903 + +// SFDITEM_FIELD__TIMER3n_CR_T3nMS +// T3nMS +// +// [Bits 13..12] RW (@ 0x53000000) \nTIMER3n Operation Mode Selection\n0 : IntervalMode = Interval mode. (All match interrupts can occur)\n1 : CaptureMode = Capture mode. (The Period-match interrupt can occur)\n2 : BackToBackMode = Back-to-back mode. (All interrupts can occur)\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER3n_CR ) +// T3nMS +// <0=> 0: IntervalMode = Interval mode. (All match interrupts can occur) +// <1=> 1: CaptureMode = Capture mode. (The Period-match interrupt can occur) +// <2=> 2: BackToBackMode = Back-to-back mode. (All interrupts can occur) +// <3=> 3: +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_T3nECE --------------------------------- +// SVD Line: 15926 + +// SFDITEM_FIELD__TIMER3n_CR_T3nECE +// T3nECE +// +// [Bit 11] RW (@ 0x53000000) \nTIMER3n External Clock Edge Selection\n0 : FallingEdge = Select falling edge of external clock.\n1 : RisingEdge = Select rising edge of external clock. +// +// ( (unsigned int) TIMER3n_CR ) +// T3nECE +// <0=> 0: FallingEdge = Select falling edge of external clock. +// <1=> 1: RisingEdge = Select rising edge of external clock. +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_FORCA ---------------------------------- +// SVD Line: 15944 + +// SFDITEM_FIELD__TIMER3n_CR_FORCA +// FORCA +// +// [Bit 10] RW (@ 0x53000000) \nTIMER3n Output Mode Selection\n0 : AllChannelMode = 6-Channel mode. (The PWM3nxA/PWM3nxB pins are outputs according to the TIMER30_xDR registers, respectively.)\n1 : AChannelMode = Force A-Channel mode. (All PWM3nxA/PWM3nxB pins are outputs according only to the TIMER30_ADR register.) +// +// ( (unsigned int) TIMER3n_CR ) +// FORCA +// <0=> 0: AllChannelMode = 6-Channel mode. (The PWM3nxA/PWM3nxB pins are outputs according to the TIMER30_xDR registers, respectively.) +// <1=> 1: AChannelMode = Force A-Channel mode. (All PWM3nxA/PWM3nxB pins are outputs according only to the TIMER30_ADR register.) +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_DLYEN ---------------------------------- +// SVD Line: 15962 + +// SFDITEM_FIELD__TIMER3n_CR_DLYEN +// DLYEN +// +// [Bit 9] RW (@ 0x53000000) \nDelay Time Insertion Enable\n0 : Disable = Disable delay time insertion to the PWM3nxA/PWM3nxB.\n1 : Enable = Enable delay time insertion to the PWM3nxA/PWM3nxB. +// +// ( (unsigned int) TIMER3n_CR ) +// DLYEN +// <0=> 0: Disable = Disable delay time insertion to the PWM3nxA/PWM3nxB. +// <1=> 1: Enable = Enable delay time insertion to the PWM3nxA/PWM3nxB. +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_DLYPOS --------------------------------- +// SVD Line: 15980 + +// SFDITEM_FIELD__TIMER3n_CR_DLYPOS +// DLYPOS +// +// [Bit 8] RW (@ 0x53000000) \nDelay Time Insertion Position\n0 : FrontABehindB = Insert in front of PWM3nxA and behind PWM3nxB pins.\n1 : BehindAFrontB = Insert behind PWM3nxA and in front of PWM3nxB pins. +// +// ( (unsigned int) TIMER3n_CR ) +// DLYPOS +// <0=> 0: FrontABehindB = Insert in front of PWM3nxA and behind PWM3nxB pins. +// <1=> 1: BehindAFrontB = Insert behind PWM3nxA and in front of PWM3nxB pins. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_CR_T3nCPOL --------------------------------- +// SVD Line: 15998 + +// SFDITEM_FIELD__TIMER3n_CR_T3nCPOL +// T3nCPOL +// +// [Bits 7..6] RW (@ 0x53000000) \nTIMER3n Capture Polarity Selection\n0 : FallingEdge = Capture on falling edge.\n1 : RisingEdge = Capture on rising edge.\n2 : BothEdge = Capture on both falling and rising edge.\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER3n_CR ) +// T3nCPOL +// <0=> 0: FallingEdge = Capture on falling edge. +// <1=> 1: RisingEdge = Capture on rising edge. +// <2=> 2: BothEdge = Capture on both falling and rising edge. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: TIMER3n_CR_UPDT ---------------------------------- +// SVD Line: 16021 + +// SFDITEM_FIELD__TIMER3n_CR_UPDT +// UPDT +// +// [Bits 5..4] RW (@ 0x53000000) \nData Reload Time Selection\n0 : AtWriting = Update data to buffer at the time of writing.\n1 : AtPeriodMatch = Update data to buffer at period match.\n2 : AtBottom = Update data to buffer at bottom.\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER3n_CR ) +// UPDT +// <0=> 0: AtWriting = Update data to buffer at the time of writing. +// <1=> 1: AtPeriodMatch = Update data to buffer at period match. +// <2=> 2: AtBottom = Update data to buffer at bottom. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: TIMER3n_CR_PMOC ---------------------------------- +// SVD Line: 16044 + +// SFDITEM_FIELD__TIMER3n_CR_PMOC +// PMOC +// +// [Bits 3..1] RW (@ 0x53000000) \nPeriod Match Interrupt Occurrence Selection\n0 : Every1PeriodMatch = Once every 1 period match.\n1 : Every2PeriodMatch = Once every 2 period match.\n2 : Every3PeriodMatch = Once every 3 period match.\n3 : Every4PeriodMatch = Once every 4 period match.\n4 : Every5PeriodMatch = Once every 5 period match.\n5 : Every6PeriodMatch = Once every 6 period match.\n6 : Every7PeriodMatch = Once every 7 period match.\n7 : Every8PeriodMatch = Once every 8 period match. +// +// ( (unsigned int) TIMER3n_CR ) +// PMOC +// <0=> 0: Every1PeriodMatch = Once every 1 period match. +// <1=> 1: Every2PeriodMatch = Once every 2 period match. +// <2=> 2: Every3PeriodMatch = Once every 3 period match. +// <3=> 3: Every4PeriodMatch = Once every 4 period match. +// <4=> 4: Every5PeriodMatch = Once every 5 period match. +// <5=> 5: Every6PeriodMatch = Once every 6 period match. +// <6=> 6: Every7PeriodMatch = Once every 7 period match. +// <7=> 7: Every8PeriodMatch = Once every 8 period match. +// +// +// + + +// ------------------------------ Field Item: TIMER3n_CR_T3nCLR --------------------------------- +// SVD Line: 16092 + +// SFDITEM_FIELD__TIMER3n_CR_T3nCLR +// T3nCLR +// +// [Bit 0] RW (@ 0x53000000) \nTIMER3n Counter and Prescaler Clear\n0 : NoEffect = No effect.\n1 : Clear = Clear TIMER3n counter and prescaler. (Automatically cleared to '0b' after operation) +// +// ( (unsigned int) TIMER3n_CR ) +// T3nCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear TIMER3n counter and prescaler. (Automatically cleared to '0b' after operation) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_CR ----------------------------------- +// SVD Line: 15858 + +// SFDITEM_REG__TIMER3n_CR +// CR +// +// [Bits 31..0] RW (@ 0x53000000) TIMER3n Control Register +// ( (unsigned int)((TIMER3n_CR >> 0) & 0xFFFFFFFF), ((TIMER3n_CR = (TIMER3n_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_CR_T3nEN +// SFDITEM_FIELD__TIMER3n_CR_T3nCLK +// SFDITEM_FIELD__TIMER3n_CR_T3nMS +// SFDITEM_FIELD__TIMER3n_CR_T3nECE +// SFDITEM_FIELD__TIMER3n_CR_FORCA +// SFDITEM_FIELD__TIMER3n_CR_DLYEN +// SFDITEM_FIELD__TIMER3n_CR_DLYPOS +// SFDITEM_FIELD__TIMER3n_CR_T3nCPOL +// SFDITEM_FIELD__TIMER3n_CR_UPDT +// SFDITEM_FIELD__TIMER3n_CR_PMOC +// SFDITEM_FIELD__TIMER3n_CR_T3nCLR +// +// + + +// --------------------------- Register Item Address: TIMER3n_PDR ------------------------------- +// SVD Line: 16112 + +unsigned int TIMER3n_PDR __AT (0x53000004); + + + +// ------------------------------ Field Item: TIMER3n_PDR_PDATA --------------------------------- +// SVD Line: 16121 + +// SFDITEM_FIELD__TIMER3n_PDR_PDATA +// PDATA +// +// [Bits 15..0] RW (@ 0x53000004) TIMER3n Period Data +// +// ( (unsigned short)((TIMER3n_PDR >> 0) & 0xFFFF), ((TIMER3n_PDR = (TIMER3n_PDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_PDR ---------------------------------- +// SVD Line: 16112 + +// SFDITEM_REG__TIMER3n_PDR +// PDR +// +// [Bits 31..0] RW (@ 0x53000004) TIMER3n Period Data Register +// ( (unsigned int)((TIMER3n_PDR >> 0) & 0xFFFFFFFF), ((TIMER3n_PDR = (TIMER3n_PDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_PDR_PDATA +// +// + + +// --------------------------- Register Item Address: TIMER3n_ADR ------------------------------- +// SVD Line: 16129 + +unsigned int TIMER3n_ADR __AT (0x53000008); + + + +// ------------------------------ Field Item: TIMER3n_ADR_ADATA --------------------------------- +// SVD Line: 16138 + +// SFDITEM_FIELD__TIMER3n_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x53000008) TIMER3n A Data +// +// ( (unsigned short)((TIMER3n_ADR >> 0) & 0xFFFF), ((TIMER3n_ADR = (TIMER3n_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_ADR ---------------------------------- +// SVD Line: 16129 + +// SFDITEM_REG__TIMER3n_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x53000008) TIMER3n A Data Register +// ( (unsigned int)((TIMER3n_ADR >> 0) & 0xFFFFFFFF), ((TIMER3n_ADR = (TIMER3n_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER3n_BDR ------------------------------- +// SVD Line: 16146 + +unsigned int TIMER3n_BDR __AT (0x5300000C); + + + +// ------------------------------ Field Item: TIMER3n_BDR_BDATA --------------------------------- +// SVD Line: 16155 + +// SFDITEM_FIELD__TIMER3n_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x5300000C) TIMER3n B Data +// +// ( (unsigned short)((TIMER3n_BDR >> 0) & 0xFFFF), ((TIMER3n_BDR = (TIMER3n_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_BDR ---------------------------------- +// SVD Line: 16146 + +// SFDITEM_REG__TIMER3n_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x5300000C) TIMER3n B Data Register +// ( (unsigned int)((TIMER3n_BDR >> 0) & 0xFFFFFFFF), ((TIMER3n_BDR = (TIMER3n_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_BDR_BDATA +// +// + + +// --------------------------- Register Item Address: TIMER3n_CDR ------------------------------- +// SVD Line: 16163 + +unsigned int TIMER3n_CDR __AT (0x53000010); + + + +// ------------------------------ Field Item: TIMER3n_CDR_CDATA --------------------------------- +// SVD Line: 16172 + +// SFDITEM_FIELD__TIMER3n_CDR_CDATA +// CDATA +// +// [Bits 15..0] RW (@ 0x53000010) TIMER3n C Data +// +// ( (unsigned short)((TIMER3n_CDR >> 0) & 0xFFFF), ((TIMER3n_CDR = (TIMER3n_CDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_CDR ---------------------------------- +// SVD Line: 16163 + +// SFDITEM_REG__TIMER3n_CDR +// CDR +// +// [Bits 31..0] RW (@ 0x53000010) TIMER3n C Data Register +// ( (unsigned int)((TIMER3n_CDR >> 0) & 0xFFFFFFFF), ((TIMER3n_CDR = (TIMER3n_CDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_CDR_CDATA +// +// + + +// -------------------------- Register Item Address: TIMER3n_CAPDR ------------------------------ +// SVD Line: 16180 + +unsigned int TIMER3n_CAPDR __AT (0x53000014); + + + +// ----------------------------- Field Item: TIMER3n_CAPDR_CAPD --------------------------------- +// SVD Line: 16189 + +// SFDITEM_FIELD__TIMER3n_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x53000014) TIMER3n Capture Data +// +// ( (unsigned short)((TIMER3n_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_CAPDR --------------------------------- +// SVD Line: 16180 + +// SFDITEM_REG__TIMER3n_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x53000014) TIMER3n Capture Data Register +// ( (unsigned int)((TIMER3n_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER3n_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER3n_PREDR ------------------------------ +// SVD Line: 16197 + +unsigned int TIMER3n_PREDR __AT (0x53000018); + + + +// ----------------------------- Field Item: TIMER3n_PREDR_PRED --------------------------------- +// SVD Line: 16206 + +// SFDITEM_FIELD__TIMER3n_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x53000018) TIMER3n Prescaler Data +// +// ( (unsigned short)((TIMER3n_PREDR >> 0) & 0xFFF), ((TIMER3n_PREDR = (TIMER3n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_PREDR --------------------------------- +// SVD Line: 16197 + +// SFDITEM_REG__TIMER3n_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x53000018) TIMER3n Prescaler Data Register +// ( (unsigned int)((TIMER3n_PREDR >> 0) & 0xFFFFFFFF), ((TIMER3n_PREDR = (TIMER3n_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER3n_CNT ------------------------------- +// SVD Line: 16214 + +unsigned int TIMER3n_CNT __AT (0x5300001C); + + + +// ------------------------------- Field Item: TIMER3n_CNT_CNT ---------------------------------- +// SVD Line: 16223 + +// SFDITEM_FIELD__TIMER3n_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x5300001C) TIMER3n Counter +// +// ( (unsigned short)((TIMER3n_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_CNT ---------------------------------- +// SVD Line: 16214 + +// SFDITEM_REG__TIMER3n_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x5300001C) TIMER3n Counter Register +// ( (unsigned int)((TIMER3n_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER3n_CNT_CNT +// +// + + +// -------------------------- Register Item Address: TIMER3n_OUTCR ------------------------------ +// SVD Line: 16231 + +unsigned int TIMER3n_OUTCR __AT (0x53000020); + + + +// ---------------------------- Field Item: TIMER3n_OUTCR_WTIDKY -------------------------------- +// SVD Line: 16240 + +// SFDITEM_FIELD__TIMER3n_OUTCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x53000020) Write Identification Key +// +// ( (unsigned short)((TIMER3n_OUTCR >> 16) & 0x0), ((TIMER3n_OUTCR = (TIMER3n_OUTCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_POLB --------------------------------- +// SVD Line: 16246 + +// SFDITEM_FIELD__TIMER3n_OUTCR_POLB +// POLB +// +// [Bit 15] RW (@ 0x53000020) \nPWM3nxB Output Polarity Selection\n0 : StartLow = Low level start. (The PWM3nxB pins are started with low level after counting.)\n1 : StartHigh = High level start. (The PWM3nxB pins are started with high level after counting) +// +// ( (unsigned int) TIMER3n_OUTCR ) +// POLB +// <0=> 0: StartLow = Low level start. (The PWM3nxB pins are started with low level after counting.) +// <1=> 1: StartHigh = High level start. (The PWM3nxB pins are started with high level after counting) +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_POLA --------------------------------- +// SVD Line: 16264 + +// SFDITEM_FIELD__TIMER3n_OUTCR_POLA +// POLA +// +// [Bit 14] RW (@ 0x53000020) \nPWM3nxA Output Polarity Selection\n0 : StartLow = Low level start. (The PWM3nxA pins are started with low level after counting.)\n1 : StartHigh = High level start. (The PWM3nxA pins are started with high level after counting) +// +// ( (unsigned int) TIMER3n_OUTCR ) +// POLA +// <0=> 0: StartLow = Low level start. (The PWM3nxA pins are started with low level after counting.) +// <1=> 1: StartHigh = High level start. (The PWM3nxA pins are started with high level after counting) +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PABOE -------------------------------- +// SVD Line: 16282 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PABOE +// PABOE +// +// [Bit 13] RW (@ 0x53000020) \nPWM3nAB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PABOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PBBOE -------------------------------- +// SVD Line: 16300 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PBBOE +// PBBOE +// +// [Bit 12] RW (@ 0x53000020) \nPWM3nBB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PBBOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PCBOE -------------------------------- +// SVD Line: 16318 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PCBOE +// PCBOE +// +// [Bit 11] RW (@ 0x53000020) \nPWM3nCB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PCBOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PAAOE -------------------------------- +// SVD Line: 16336 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PAAOE +// PAAOE +// +// [Bit 10] RW (@ 0x53000020) \nPWM3nAA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PAAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PBAOE -------------------------------- +// SVD Line: 16354 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PBAOE +// PBAOE +// +// [Bit 9] RW (@ 0x53000020) \nPWM3nBA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PBAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_PCAOE -------------------------------- +// SVD Line: 16372 + +// SFDITEM_FIELD__TIMER3n_OUTCR_PCAOE +// PCAOE +// +// [Bit 8] RW (@ 0x53000020) \nPWM3nCA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// PCAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLAB -------------------------------- +// SVD Line: 16390 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLAB +// LVLAB +// +// [Bit 6] RW (@ 0x53000020) \nConfigure PWM3nAB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLAB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLBB -------------------------------- +// SVD Line: 16408 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLBB +// LVLBB +// +// [Bit 5] RW (@ 0x53000020) \nConfigure PWM3nBB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLBB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLCB -------------------------------- +// SVD Line: 16426 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLCB +// LVLCB +// +// [Bit 4] RW (@ 0x53000020) \nConfigure PWM3nCB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLCB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLAA -------------------------------- +// SVD Line: 16444 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLAA +// LVLAA +// +// [Bit 2] RW (@ 0x53000020) \nConfigure PWM3nAA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLAA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLBA -------------------------------- +// SVD Line: 16462 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLBA +// LVLBA +// +// [Bit 1] RW (@ 0x53000020) \nConfigure PWM3nBA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLBA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_OUTCR_LVLCA -------------------------------- +// SVD Line: 16480 + +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLCA +// LVLCA +// +// [Bit 0] RW (@ 0x53000020) \nConfigure PWM3nCA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER3n_OUTCR ) +// LVLCA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_OUTCR --------------------------------- +// SVD Line: 16231 + +// SFDITEM_REG__TIMER3n_OUTCR +// OUTCR +// +// [Bits 31..0] RW (@ 0x53000020) TIMER3n Output Control Register +// ( (unsigned int)((TIMER3n_OUTCR >> 0) & 0xFFFFFFFF), ((TIMER3n_OUTCR = (TIMER3n_OUTCR & ~(0xFFFFFF77UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF77) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_OUTCR_WTIDKY +// SFDITEM_FIELD__TIMER3n_OUTCR_POLB +// SFDITEM_FIELD__TIMER3n_OUTCR_POLA +// SFDITEM_FIELD__TIMER3n_OUTCR_PABOE +// SFDITEM_FIELD__TIMER3n_OUTCR_PBBOE +// SFDITEM_FIELD__TIMER3n_OUTCR_PCBOE +// SFDITEM_FIELD__TIMER3n_OUTCR_PAAOE +// SFDITEM_FIELD__TIMER3n_OUTCR_PBAOE +// SFDITEM_FIELD__TIMER3n_OUTCR_PCAOE +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLAB +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLBB +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLCB +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLAA +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLBA +// SFDITEM_FIELD__TIMER3n_OUTCR_LVLCA +// +// + + +// --------------------------- Register Item Address: TIMER3n_DLY ------------------------------- +// SVD Line: 16500 + +unsigned int TIMER3n_DLY __AT (0x53000024); + + + +// ------------------------------- Field Item: TIMER3n_DLY_DLY ---------------------------------- +// SVD Line: 16509 + +// SFDITEM_FIELD__TIMER3n_DLY_DLY +// DLY +// +// [Bits 9..0] RW (@ 0x53000024) TIMER3n PWM Delay Data +// +// ( (unsigned short)((TIMER3n_DLY >> 0) & 0x3FF), ((TIMER3n_DLY = (TIMER3n_DLY & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0x3FF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER3n_DLY ---------------------------------- +// SVD Line: 16500 + +// SFDITEM_REG__TIMER3n_DLY +// DLY +// +// [Bits 31..0] RW (@ 0x53000024) TIMER3n PWM Output Delay Data Register +// ( (unsigned int)((TIMER3n_DLY >> 0) & 0xFFFFFFFF), ((TIMER3n_DLY = (TIMER3n_DLY & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_DLY_DLY +// +// + + +// -------------------------- Register Item Address: TIMER3n_INTCR ------------------------------ +// SVD Line: 16517 + +unsigned int TIMER3n_INTCR __AT (0x53000028); + + + +// ---------------------------- Field Item: TIMER3n_INTCR_HIZIEN -------------------------------- +// SVD Line: 16526 + +// SFDITEM_FIELD__TIMER3n_INTCR_HIZIEN +// HIZIEN +// +// [Bit 6] RW (@ 0x53000028) \nTIMER3n Output High-Impedance Interrupt Enable\n0 : Disable = Disable TIMER3n output high-impedance interrupt.\n1 : Enable = Enable TIMER3n output high-impedance interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// HIZIEN +// <0=> 0: Disable = Disable TIMER3n output high-impedance interrupt. +// <1=> 1: Enable = Enable TIMER3n output high-impedance interrupt. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_INTCR_T3nCIEN ------------------------------- +// SVD Line: 16544 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nCIEN +// T3nCIEN +// +// [Bit 5] RW (@ 0x53000028) \nTIMER3n Capture Interrupt Enable\n0 : Disable = Disable TIMER3n capture interrupt.\n1 : Enable = Enable TIMER3n capture interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nCIEN +// <0=> 0: Disable = Disable TIMER3n capture interrupt. +// <1=> 1: Enable = Enable TIMER3n capture interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER3n_INTCR_T3nBTIEN ------------------------------- +// SVD Line: 16562 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nBTIEN +// T3nBTIEN +// +// [Bit 4] RW (@ 0x53000028) \nTIMER3n Bottom Interrupt Enable\n0 : Disable = Disable TIMER3n bottom interrupt.\n1 : Enable = Enable TIMER3n bottom interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nBTIEN +// <0=> 0: Disable = Disable TIMER3n bottom interrupt. +// <1=> 1: Enable = Enable TIMER3n bottom interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER3n_INTCR_T3nPMIEN ------------------------------- +// SVD Line: 16580 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nPMIEN +// T3nPMIEN +// +// [Bit 3] RW (@ 0x53000028) \nTIMER3n Period Match Interrupt Enable\n0 : Disable = Disable TIMER3n period interrupt.\n1 : Enable = Enable TIMER3n period interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nPMIEN +// <0=> 0: Disable = Disable TIMER3n period interrupt. +// <1=> 1: Enable = Enable TIMER3n period interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER3n_INTCR_T3nAMIEN ------------------------------- +// SVD Line: 16598 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nAMIEN +// T3nAMIEN +// +// [Bit 2] RW (@ 0x53000028) \nTIMER3n A-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n A-ch match interrupt.\n1 : Enable = Enable TIMER3n A-ch match interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nAMIEN +// <0=> 0: Disable = Disable TIMER3n A-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n A-ch match interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER3n_INTCR_T3nBMIEN ------------------------------- +// SVD Line: 16616 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nBMIEN +// T3nBMIEN +// +// [Bit 1] RW (@ 0x53000028) \nTIMER3n B-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n B-ch match interrupt.\n1 : Enable = Enable TIMER3n B-ch match interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nBMIEN +// <0=> 0: Disable = Disable TIMER3n B-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n B-ch match interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER3n_INTCR_T3nCMIEN ------------------------------- +// SVD Line: 16634 + +// SFDITEM_FIELD__TIMER3n_INTCR_T3nCMIEN +// T3nCMIEN +// +// [Bit 0] RW (@ 0x53000028) \nTIMER3n C-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n C-ch match interrupt.\n1 : Enable = Enable TIMER3n C-ch match interrupt. +// +// ( (unsigned int) TIMER3n_INTCR ) +// T3nCMIEN +// <0=> 0: Disable = Disable TIMER3n C-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n C-ch match interrupt. +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_INTCR --------------------------------- +// SVD Line: 16517 + +// SFDITEM_REG__TIMER3n_INTCR +// INTCR +// +// [Bits 31..0] RW (@ 0x53000028) TIMER3n Interrupt Control Register +// ( (unsigned int)((TIMER3n_INTCR >> 0) & 0xFFFFFFFF), ((TIMER3n_INTCR = (TIMER3n_INTCR & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_INTCR_HIZIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nCIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nBTIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nPMIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nAMIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nBMIEN +// SFDITEM_FIELD__TIMER3n_INTCR_T3nCMIEN +// +// + + +// ------------------------- Register Item Address: TIMER3n_INTFLAG ----------------------------- +// SVD Line: 16654 + +unsigned int TIMER3n_INTFLAG __AT (0x5300002C); + + + +// -------------------------- Field Item: TIMER3n_INTFLAG_HIZIFLAG ------------------------------ +// SVD Line: 16663 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_HIZIFLAG +// HIZIFLAG +// +// [Bit 6] RW (@ 0x5300002C) \nTIMER3n Output High-Impedance Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// HIZIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// -------------------------- Field Item: TIMER3n_INTFLAG_T3nCIFLAG ----------------------------- +// SVD Line: 16681 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nCIFLAG +// T3nCIFLAG +// +// [Bit 5] RW (@ 0x5300002C) \nTIMER3n Capture Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nCIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER3n_INTFLAG_T3nBTIFLAG ----------------------------- +// SVD Line: 16699 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nBTIFLAG +// T3nBTIFLAG +// +// [Bit 4] RW (@ 0x5300002C) \nTIMER3n Bottom Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nBTIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER3n_INTFLAG_T3nPMIFLAG ----------------------------- +// SVD Line: 16717 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nPMIFLAG +// T3nPMIFLAG +// +// [Bit 3] RW (@ 0x5300002C) \nTIMER3n Period Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nPMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER3n_INTFLAG_T3nAMIFLAG ----------------------------- +// SVD Line: 16735 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nAMIFLAG +// T3nAMIFLAG +// +// [Bit 2] RW (@ 0x5300002C) \nTIMER3n A-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nAMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER3n_INTFLAG_T3nBMIFLAG ----------------------------- +// SVD Line: 16753 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nBMIFLAG +// T3nBMIFLAG +// +// [Bit 1] RW (@ 0x5300002C) \nTIMER3n B-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nBMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER3n_INTFLAG_T3nCMIFLAG ----------------------------- +// SVD Line: 16771 + +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nCMIFLAG +// T3nCMIFLAG +// +// [Bit 0] RW (@ 0x5300002C) \nTIMER3n C-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER3n_INTFLAG ) +// T3nCMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ----------------------------- Register RTree: TIMER3n_INTFLAG -------------------------------- +// SVD Line: 16654 + +// SFDITEM_REG__TIMER3n_INTFLAG +// INTFLAG +// +// [Bits 31..0] RW (@ 0x5300002C) TIMER3n Interrupt Flag Register +// ( (unsigned int)((TIMER3n_INTFLAG >> 0) & 0xFFFFFFFF), ((TIMER3n_INTFLAG = (TIMER3n_INTFLAG & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_INTFLAG_HIZIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nCIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nBTIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nPMIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nAMIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nBMIFLAG +// SFDITEM_FIELD__TIMER3n_INTFLAG_T3nCMIFLAG +// +// + + +// -------------------------- Register Item Address: TIMER3n_HIZCR ------------------------------ +// SVD Line: 16791 + +unsigned int TIMER3n_HIZCR __AT (0x53000030); + + + +// ----------------------------- Field Item: TIMER3n_HIZCR_HIZEN -------------------------------- +// SVD Line: 16800 + +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZEN +// HIZEN +// +// [Bit 7] RW (@ 0x53000030) \nPWM3nxA/PWM3nxB Output High-Impedance Enable\n0 : Disable = Disable to control the output high-impedance.\n1 : Enable = Enable to control the output high-impedance. +// +// ( (unsigned int) TIMER3n_HIZCR ) +// HIZEN +// <0=> 0: Disable = Disable to control the output high-impedance. +// <1=> 1: Enable = Enable to control the output high-impedance. +// +// +// + + +// ----------------------------- Field Item: TIMER3n_HIZCR_HIZSW -------------------------------- +// SVD Line: 16818 + +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZSW +// HIZSW +// +// [Bit 4] RW (@ 0x53000030) \nHigh-Impedance Output Software Setting\n0 : NoEffect = No effect.\n1 : HiZ = PWM3nxA/PWM3nxB pins go into high impedance. (Automatically cleared to '0' after operation) +// +// ( (unsigned int) TIMER3n_HIZCR ) +// HIZSW +// <0=> 0: NoEffect = No effect. +// <1=> 1: HiZ = PWM3nxA/PWM3nxB pins go into high impedance. (Automatically cleared to '0' after operation) +// +// +// + + +// ----------------------------- Field Item: TIMER3n_HIZCR_HEDGE -------------------------------- +// SVD Line: 16836 + +// SFDITEM_FIELD__TIMER3n_HIZCR_HEDGE +// HEDGE +// +// [Bit 2] RW (@ 0x53000030) \nHigh-Impedance Edge Selection\n0 : FallingEdge = Falling edge of the BLNK pin.\n1 : RisingEdge = Rising edge of the BLNK pin. +// +// ( (unsigned int) TIMER3n_HIZCR ) +// HEDGE +// <0=> 0: FallingEdge = Falling edge of the BLNK pin. +// <1=> 1: RisingEdge = Rising edge of the BLNK pin. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_HIZCR_HIZSTA -------------------------------- +// SVD Line: 16854 + +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZSTA +// HIZSTA +// +// [Bit 1] RO (@ 0x53000030) \nHigh-Impedance Status\n0 : NoHiZ = Indicates that the pins are not under a Hi-Z state.\n1 : HiZ = Indicates that the pins are under a Hi-Z state. +// +// ( (unsigned int) TIMER3n_HIZCR ) +// HIZSTA +// <0=> 0: NoHiZ = Indicates that the pins are not under a Hi-Z state. +// <1=> 1: HiZ = Indicates that the pins are under a Hi-Z state. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_HIZCR_HIZCLR -------------------------------- +// SVD Line: 16872 + +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZCLR +// HIZCLR +// +// [Bit 0] RW (@ 0x53000030) \nHigh-Impedance Output Clear\n0 : NoEffect = No effect.\n1 : Clear = Clear high-impedance output. (The PWM3nxA/PWM3nxB pins returns as output and this bit is automatically cleared to '0' after operation.) +// +// ( (unsigned int) TIMER3n_HIZCR ) +// HIZCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear high-impedance output. (The PWM3nxA/PWM3nxB pins returns as output and this bit is automatically cleared to '0' after operation.) +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_HIZCR --------------------------------- +// SVD Line: 16791 + +// SFDITEM_REG__TIMER3n_HIZCR +// HIZCR +// +// [Bits 31..0] RW (@ 0x53000030) TIMER3n High-Impedance Control Register +// ( (unsigned int)((TIMER3n_HIZCR >> 0) & 0xFFFFFFFF), ((TIMER3n_HIZCR = (TIMER3n_HIZCR & ~(0x95UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x95) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZEN +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZSW +// SFDITEM_FIELD__TIMER3n_HIZCR_HEDGE +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZSTA +// SFDITEM_FIELD__TIMER3n_HIZCR_HIZCLR +// +// + + +// -------------------------- Register Item Address: TIMER3n_ADTCR ------------------------------ +// SVD Line: 16892 + +unsigned int TIMER3n_ADTCR __AT (0x53000034); + + + +// ---------------------------- Field Item: TIMER3n_ADTCR_T3nBTTG ------------------------------- +// SVD Line: 16901 + +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nBTTG +// T3nBTTG +// +// [Bit 4] RW (@ 0x53000034) \nSelect TIMER3n Bottom for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by bottom.\n1 : Enable = Enable ADC trigger signal generator by bottom. +// +// ( (unsigned int) TIMER3n_ADTCR ) +// T3nBTTG +// <0=> 0: Disable = Disable ADC trigger signal generator by bottom. +// <1=> 1: Enable = Enable ADC trigger signal generator by bottom. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_ADTCR_T3nPMTG ------------------------------- +// SVD Line: 16919 + +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nPMTG +// T3nPMTG +// +// [Bit 3] RW (@ 0x53000034) \nSelect TIMER3n Period Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by period match.\n1 : Enable = Enable ADC trigger signal generator by period match. +// +// ( (unsigned int) TIMER3n_ADTCR ) +// T3nPMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by period match. +// <1=> 1: Enable = Enable ADC trigger signal generator by period match. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_ADTCR_T3nAMTG ------------------------------- +// SVD Line: 16937 + +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nAMTG +// T3nAMTG +// +// [Bit 2] RW (@ 0x53000034) \nSelect TIMER3n A-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by A-ch match.\n1 : Enable = Enable ADC trigger signal generator by A-ch match. +// +// ( (unsigned int) TIMER3n_ADTCR ) +// T3nAMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by A-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by A-ch match. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_ADTCR_T3nBMTG ------------------------------- +// SVD Line: 16955 + +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nBMTG +// T3nBMTG +// +// [Bit 1] RW (@ 0x53000034) \nSelect TIMER3n B-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by B-ch match.\n1 : Enable = Enable ADC trigger signal generator by B-ch match. +// +// ( (unsigned int) TIMER3n_ADTCR ) +// T3nBMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by B-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by B-ch match. +// +// +// + + +// ---------------------------- Field Item: TIMER3n_ADTCR_T3nCMTG ------------------------------- +// SVD Line: 16973 + +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nCMTG +// T3nCMTG +// +// [Bit 0] RW (@ 0x53000034) \nSelect TIMER3n C-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by C-ch match.\n1 : Enable = Enable ADC trigger signal generator by C-ch match. +// +// ( (unsigned int) TIMER3n_ADTCR ) +// T3nCMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by C-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by C-ch match. +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_ADTCR --------------------------------- +// SVD Line: 16892 + +// SFDITEM_REG__TIMER3n_ADTCR +// ADTCR +// +// [Bits 31..0] RW (@ 0x53000034) TIMER3n ADC Trigger Control Register +// ( (unsigned int)((TIMER3n_ADTCR >> 0) & 0xFFFFFFFF), ((TIMER3n_ADTCR = (TIMER3n_ADTCR & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nBTTG +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nPMTG +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nAMTG +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nBMTG +// SFDITEM_FIELD__TIMER3n_ADTCR_T3nCMTG +// +// + + +// -------------------------- Register Item Address: TIMER3n_ADTDR ------------------------------ +// SVD Line: 16993 + +unsigned int TIMER3n_ADTDR __AT (0x53000038); + + + +// ---------------------------- Field Item: TIMER3n_ADTDR_ADTDATA ------------------------------- +// SVD Line: 17002 + +// SFDITEM_FIELD__TIMER3n_ADTDR_ADTDATA +// ADTDATA +// +// [Bits 13..0] RW (@ 0x53000038) TIMER3n ADC Trigger Generation Data +// +// ( (unsigned short)((TIMER3n_ADTDR >> 0) & 0x3FFF), ((TIMER3n_ADTDR = (TIMER3n_ADTDR & ~(0x3FFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0x3FFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER3n_ADTDR --------------------------------- +// SVD Line: 16993 + +// SFDITEM_REG__TIMER3n_ADTDR +// ADTDR +// +// [Bits 31..0] RW (@ 0x53000038) TIMER3n ADC Trigger Generator Data Register +// ( (unsigned int)((TIMER3n_ADTDR >> 0) & 0xFFFFFFFF), ((TIMER3n_ADTDR = (TIMER3n_ADTDR & ~(0x3FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER3n_ADTDR_ADTDATA +// +// + + +// -------------------------------- Peripheral View: TIMER3n ------------------------------------ +// SVD Line: 15844 + +// TIMER3n +// TIMER3n +// SFDITEM_REG__TIMER3n_CR +// SFDITEM_REG__TIMER3n_PDR +// SFDITEM_REG__TIMER3n_ADR +// SFDITEM_REG__TIMER3n_BDR +// SFDITEM_REG__TIMER3n_CDR +// SFDITEM_REG__TIMER3n_CAPDR +// SFDITEM_REG__TIMER3n_PREDR +// SFDITEM_REG__TIMER3n_CNT +// SFDITEM_REG__TIMER3n_OUTCR +// SFDITEM_REG__TIMER3n_DLY +// SFDITEM_REG__TIMER3n_INTCR +// SFDITEM_REG__TIMER3n_INTFLAG +// SFDITEM_REG__TIMER3n_HIZCR +// SFDITEM_REG__TIMER3n_ADTCR +// SFDITEM_REG__TIMER3n_ADTDR +// +// + + +// ---------------------------- Register Item Address: TIMER30_CR ------------------------------- +// SVD Line: 15858 + +unsigned int TIMER30_CR __AT (0x40002400); + + + +// ------------------------------ Field Item: TIMER30_CR_T3nEN ---------------------------------- +// SVD Line: 15867 + +// SFDITEM_FIELD__TIMER30_CR_T3nEN +// T3nEN +// +// [Bit 15] RW (@ 0x40002400) \nTIMER3n Operation Enable\n0 : Disable = Disable TIMER3n Operation.\n1 : Enable = Enable TIMER3n Operation. (Counter Clear and Start) +// +// ( (unsigned int) TIMER30_CR ) +// T3nEN +// <0=> 0: Disable = Disable TIMER3n Operation. +// <1=> 1: Enable = Enable TIMER3n Operation. (Counter Clear and Start) +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_T3nCLK --------------------------------- +// SVD Line: 15885 + +// SFDITEM_FIELD__TIMER30_CR_T3nCLK +// T3nCLK +// +// [Bit 14] RW (@ 0x40002400) \nTIMER3n Clock Selection\n0 : IntPrescaledClock = Select an Internal Prescaler Clock.\n1 : ExtClock = Select an External Clock. +// +// ( (unsigned int) TIMER30_CR ) +// T3nCLK +// <0=> 0: IntPrescaledClock = Select an Internal Prescaler Clock. +// <1=> 1: ExtClock = Select an External Clock. +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_T3nMS ---------------------------------- +// SVD Line: 15903 + +// SFDITEM_FIELD__TIMER30_CR_T3nMS +// T3nMS +// +// [Bits 13..12] RW (@ 0x40002400) \nTIMER3n Operation Mode Selection\n0 : IntervalMode = Interval mode. (All match interrupts can occur)\n1 : CaptureMode = Capture mode. (The Period-match interrupt can occur)\n2 : BackToBackMode = Back-to-back mode. (All interrupts can occur)\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER30_CR ) +// T3nMS +// <0=> 0: IntervalMode = Interval mode. (All match interrupts can occur) +// <1=> 1: CaptureMode = Capture mode. (The Period-match interrupt can occur) +// <2=> 2: BackToBackMode = Back-to-back mode. (All interrupts can occur) +// <3=> 3: +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_T3nECE --------------------------------- +// SVD Line: 15926 + +// SFDITEM_FIELD__TIMER30_CR_T3nECE +// T3nECE +// +// [Bit 11] RW (@ 0x40002400) \nTIMER3n External Clock Edge Selection\n0 : FallingEdge = Select falling edge of external clock.\n1 : RisingEdge = Select rising edge of external clock. +// +// ( (unsigned int) TIMER30_CR ) +// T3nECE +// <0=> 0: FallingEdge = Select falling edge of external clock. +// <1=> 1: RisingEdge = Select rising edge of external clock. +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_FORCA ---------------------------------- +// SVD Line: 15944 + +// SFDITEM_FIELD__TIMER30_CR_FORCA +// FORCA +// +// [Bit 10] RW (@ 0x40002400) \nTIMER3n Output Mode Selection\n0 : AllChannelMode = 6-Channel mode. (The PWM3nxA/PWM3nxB pins are outputs according to the TIMER30_xDR registers, respectively.)\n1 : AChannelMode = Force A-Channel mode. (All PWM3nxA/PWM3nxB pins are outputs according only to the TIMER30_ADR register.) +// +// ( (unsigned int) TIMER30_CR ) +// FORCA +// <0=> 0: AllChannelMode = 6-Channel mode. (The PWM3nxA/PWM3nxB pins are outputs according to the TIMER30_xDR registers, respectively.) +// <1=> 1: AChannelMode = Force A-Channel mode. (All PWM3nxA/PWM3nxB pins are outputs according only to the TIMER30_ADR register.) +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_DLYEN ---------------------------------- +// SVD Line: 15962 + +// SFDITEM_FIELD__TIMER30_CR_DLYEN +// DLYEN +// +// [Bit 9] RW (@ 0x40002400) \nDelay Time Insertion Enable\n0 : Disable = Disable delay time insertion to the PWM3nxA/PWM3nxB.\n1 : Enable = Enable delay time insertion to the PWM3nxA/PWM3nxB. +// +// ( (unsigned int) TIMER30_CR ) +// DLYEN +// <0=> 0: Disable = Disable delay time insertion to the PWM3nxA/PWM3nxB. +// <1=> 1: Enable = Enable delay time insertion to the PWM3nxA/PWM3nxB. +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_DLYPOS --------------------------------- +// SVD Line: 15980 + +// SFDITEM_FIELD__TIMER30_CR_DLYPOS +// DLYPOS +// +// [Bit 8] RW (@ 0x40002400) \nDelay Time Insertion Position\n0 : FrontABehindB = Insert in front of PWM3nxA and behind PWM3nxB pins.\n1 : BehindAFrontB = Insert behind PWM3nxA and in front of PWM3nxB pins. +// +// ( (unsigned int) TIMER30_CR ) +// DLYPOS +// <0=> 0: FrontABehindB = Insert in front of PWM3nxA and behind PWM3nxB pins. +// <1=> 1: BehindAFrontB = Insert behind PWM3nxA and in front of PWM3nxB pins. +// +// +// + + +// ----------------------------- Field Item: TIMER30_CR_T3nCPOL --------------------------------- +// SVD Line: 15998 + +// SFDITEM_FIELD__TIMER30_CR_T3nCPOL +// T3nCPOL +// +// [Bits 7..6] RW (@ 0x40002400) \nTIMER3n Capture Polarity Selection\n0 : FallingEdge = Capture on falling edge.\n1 : RisingEdge = Capture on rising edge.\n2 : BothEdge = Capture on both falling and rising edge.\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER30_CR ) +// T3nCPOL +// <0=> 0: FallingEdge = Capture on falling edge. +// <1=> 1: RisingEdge = Capture on rising edge. +// <2=> 2: BothEdge = Capture on both falling and rising edge. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: TIMER30_CR_UPDT ---------------------------------- +// SVD Line: 16021 + +// SFDITEM_FIELD__TIMER30_CR_UPDT +// UPDT +// +// [Bits 5..4] RW (@ 0x40002400) \nData Reload Time Selection\n0 : AtWriting = Update data to buffer at the time of writing.\n1 : AtPeriodMatch = Update data to buffer at period match.\n2 : AtBottom = Update data to buffer at bottom.\n3 : Reserved - do not use +// +// ( (unsigned int) TIMER30_CR ) +// UPDT +// <0=> 0: AtWriting = Update data to buffer at the time of writing. +// <1=> 1: AtPeriodMatch = Update data to buffer at period match. +// <2=> 2: AtBottom = Update data to buffer at bottom. +// <3=> 3: +// +// +// + + +// ------------------------------- Field Item: TIMER30_CR_PMOC ---------------------------------- +// SVD Line: 16044 + +// SFDITEM_FIELD__TIMER30_CR_PMOC +// PMOC +// +// [Bits 3..1] RW (@ 0x40002400) \nPeriod Match Interrupt Occurrence Selection\n0 : Every1PeriodMatch = Once every 1 period match.\n1 : Every2PeriodMatch = Once every 2 period match.\n2 : Every3PeriodMatch = Once every 3 period match.\n3 : Every4PeriodMatch = Once every 4 period match.\n4 : Every5PeriodMatch = Once every 5 period match.\n5 : Every6PeriodMatch = Once every 6 period match.\n6 : Every7PeriodMatch = Once every 7 period match.\n7 : Every8PeriodMatch = Once every 8 period match. +// +// ( (unsigned int) TIMER30_CR ) +// PMOC +// <0=> 0: Every1PeriodMatch = Once every 1 period match. +// <1=> 1: Every2PeriodMatch = Once every 2 period match. +// <2=> 2: Every3PeriodMatch = Once every 3 period match. +// <3=> 3: Every4PeriodMatch = Once every 4 period match. +// <4=> 4: Every5PeriodMatch = Once every 5 period match. +// <5=> 5: Every6PeriodMatch = Once every 6 period match. +// <6=> 6: Every7PeriodMatch = Once every 7 period match. +// <7=> 7: Every8PeriodMatch = Once every 8 period match. +// +// +// + + +// ------------------------------ Field Item: TIMER30_CR_T3nCLR --------------------------------- +// SVD Line: 16092 + +// SFDITEM_FIELD__TIMER30_CR_T3nCLR +// T3nCLR +// +// [Bit 0] RW (@ 0x40002400) \nTIMER3n Counter and Prescaler Clear\n0 : NoEffect = No effect.\n1 : Clear = Clear TIMER3n counter and prescaler. (Automatically cleared to '0b' after operation) +// +// ( (unsigned int) TIMER30_CR ) +// T3nCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear TIMER3n counter and prescaler. (Automatically cleared to '0b' after operation) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_CR ----------------------------------- +// SVD Line: 15858 + +// SFDITEM_REG__TIMER30_CR +// CR +// +// [Bits 31..0] RW (@ 0x40002400) TIMER3n Control Register +// ( (unsigned int)((TIMER30_CR >> 0) & 0xFFFFFFFF), ((TIMER30_CR = (TIMER30_CR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_CR_T3nEN +// SFDITEM_FIELD__TIMER30_CR_T3nCLK +// SFDITEM_FIELD__TIMER30_CR_T3nMS +// SFDITEM_FIELD__TIMER30_CR_T3nECE +// SFDITEM_FIELD__TIMER30_CR_FORCA +// SFDITEM_FIELD__TIMER30_CR_DLYEN +// SFDITEM_FIELD__TIMER30_CR_DLYPOS +// SFDITEM_FIELD__TIMER30_CR_T3nCPOL +// SFDITEM_FIELD__TIMER30_CR_UPDT +// SFDITEM_FIELD__TIMER30_CR_PMOC +// SFDITEM_FIELD__TIMER30_CR_T3nCLR +// +// + + +// --------------------------- Register Item Address: TIMER30_PDR ------------------------------- +// SVD Line: 16112 + +unsigned int TIMER30_PDR __AT (0x40002404); + + + +// ------------------------------ Field Item: TIMER30_PDR_PDATA --------------------------------- +// SVD Line: 16121 + +// SFDITEM_FIELD__TIMER30_PDR_PDATA +// PDATA +// +// [Bits 15..0] RW (@ 0x40002404) TIMER3n Period Data +// +// ( (unsigned short)((TIMER30_PDR >> 0) & 0xFFFF), ((TIMER30_PDR = (TIMER30_PDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_PDR ---------------------------------- +// SVD Line: 16112 + +// SFDITEM_REG__TIMER30_PDR +// PDR +// +// [Bits 31..0] RW (@ 0x40002404) TIMER3n Period Data Register +// ( (unsigned int)((TIMER30_PDR >> 0) & 0xFFFFFFFF), ((TIMER30_PDR = (TIMER30_PDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_PDR_PDATA +// +// + + +// --------------------------- Register Item Address: TIMER30_ADR ------------------------------- +// SVD Line: 16129 + +unsigned int TIMER30_ADR __AT (0x40002408); + + + +// ------------------------------ Field Item: TIMER30_ADR_ADATA --------------------------------- +// SVD Line: 16138 + +// SFDITEM_FIELD__TIMER30_ADR_ADATA +// ADATA +// +// [Bits 15..0] RW (@ 0x40002408) TIMER3n A Data +// +// ( (unsigned short)((TIMER30_ADR >> 0) & 0xFFFF), ((TIMER30_ADR = (TIMER30_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_ADR ---------------------------------- +// SVD Line: 16129 + +// SFDITEM_REG__TIMER30_ADR +// ADR +// +// [Bits 31..0] RW (@ 0x40002408) TIMER3n A Data Register +// ( (unsigned int)((TIMER30_ADR >> 0) & 0xFFFFFFFF), ((TIMER30_ADR = (TIMER30_ADR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_ADR_ADATA +// +// + + +// --------------------------- Register Item Address: TIMER30_BDR ------------------------------- +// SVD Line: 16146 + +unsigned int TIMER30_BDR __AT (0x4000240C); + + + +// ------------------------------ Field Item: TIMER30_BDR_BDATA --------------------------------- +// SVD Line: 16155 + +// SFDITEM_FIELD__TIMER30_BDR_BDATA +// BDATA +// +// [Bits 15..0] RW (@ 0x4000240C) TIMER3n B Data +// +// ( (unsigned short)((TIMER30_BDR >> 0) & 0xFFFF), ((TIMER30_BDR = (TIMER30_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_BDR ---------------------------------- +// SVD Line: 16146 + +// SFDITEM_REG__TIMER30_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x4000240C) TIMER3n B Data Register +// ( (unsigned int)((TIMER30_BDR >> 0) & 0xFFFFFFFF), ((TIMER30_BDR = (TIMER30_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_BDR_BDATA +// +// + + +// --------------------------- Register Item Address: TIMER30_CDR ------------------------------- +// SVD Line: 16163 + +unsigned int TIMER30_CDR __AT (0x40002410); + + + +// ------------------------------ Field Item: TIMER30_CDR_CDATA --------------------------------- +// SVD Line: 16172 + +// SFDITEM_FIELD__TIMER30_CDR_CDATA +// CDATA +// +// [Bits 15..0] RW (@ 0x40002410) TIMER3n C Data +// +// ( (unsigned short)((TIMER30_CDR >> 0) & 0xFFFF), ((TIMER30_CDR = (TIMER30_CDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_CDR ---------------------------------- +// SVD Line: 16163 + +// SFDITEM_REG__TIMER30_CDR +// CDR +// +// [Bits 31..0] RW (@ 0x40002410) TIMER3n C Data Register +// ( (unsigned int)((TIMER30_CDR >> 0) & 0xFFFFFFFF), ((TIMER30_CDR = (TIMER30_CDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_CDR_CDATA +// +// + + +// -------------------------- Register Item Address: TIMER30_CAPDR ------------------------------ +// SVD Line: 16180 + +unsigned int TIMER30_CAPDR __AT (0x40002414); + + + +// ----------------------------- Field Item: TIMER30_CAPDR_CAPD --------------------------------- +// SVD Line: 16189 + +// SFDITEM_FIELD__TIMER30_CAPDR_CAPD +// CAPD +// +// [Bits 15..0] RO (@ 0x40002414) TIMER3n Capture Data +// +// ( (unsigned short)((TIMER30_CAPDR >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------ Register RTree: TIMER30_CAPDR --------------------------------- +// SVD Line: 16180 + +// SFDITEM_REG__TIMER30_CAPDR +// CAPDR +// +// [Bits 31..0] RO (@ 0x40002414) TIMER3n Capture Data Register +// ( (unsigned int)((TIMER30_CAPDR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER30_CAPDR_CAPD +// +// + + +// -------------------------- Register Item Address: TIMER30_PREDR ------------------------------ +// SVD Line: 16197 + +unsigned int TIMER30_PREDR __AT (0x40002418); + + + +// ----------------------------- Field Item: TIMER30_PREDR_PRED --------------------------------- +// SVD Line: 16206 + +// SFDITEM_FIELD__TIMER30_PREDR_PRED +// PRED +// +// [Bits 11..0] RW (@ 0x40002418) TIMER3n Prescaler Data +// +// ( (unsigned short)((TIMER30_PREDR >> 0) & 0xFFF), ((TIMER30_PREDR = (TIMER30_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER30_PREDR --------------------------------- +// SVD Line: 16197 + +// SFDITEM_REG__TIMER30_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40002418) TIMER3n Prescaler Data Register +// ( (unsigned int)((TIMER30_PREDR >> 0) & 0xFFFFFFFF), ((TIMER30_PREDR = (TIMER30_PREDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_PREDR_PRED +// +// + + +// --------------------------- Register Item Address: TIMER30_CNT ------------------------------- +// SVD Line: 16214 + +unsigned int TIMER30_CNT __AT (0x4000241C); + + + +// ------------------------------- Field Item: TIMER30_CNT_CNT ---------------------------------- +// SVD Line: 16223 + +// SFDITEM_FIELD__TIMER30_CNT_CNT +// CNT +// +// [Bits 15..0] RO (@ 0x4000241C) TIMER3n Counter +// +// ( (unsigned short)((TIMER30_CNT >> 0) & 0xFFFF) ) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_CNT ---------------------------------- +// SVD Line: 16214 + +// SFDITEM_REG__TIMER30_CNT +// CNT +// +// [Bits 31..0] RO (@ 0x4000241C) TIMER3n Counter Register +// ( (unsigned int)((TIMER30_CNT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__TIMER30_CNT_CNT +// +// + + +// -------------------------- Register Item Address: TIMER30_OUTCR ------------------------------ +// SVD Line: 16231 + +unsigned int TIMER30_OUTCR __AT (0x40002420); + + + +// ---------------------------- Field Item: TIMER30_OUTCR_WTIDKY -------------------------------- +// SVD Line: 16240 + +// SFDITEM_FIELD__TIMER30_OUTCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40002420) Write Identification Key +// +// ( (unsigned short)((TIMER30_OUTCR >> 16) & 0x0), ((TIMER30_OUTCR = (TIMER30_OUTCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_POLB --------------------------------- +// SVD Line: 16246 + +// SFDITEM_FIELD__TIMER30_OUTCR_POLB +// POLB +// +// [Bit 15] RW (@ 0x40002420) \nPWM3nxB Output Polarity Selection\n0 : StartLow = Low level start. (The PWM3nxB pins are started with low level after counting.)\n1 : StartHigh = High level start. (The PWM3nxB pins are started with high level after counting) +// +// ( (unsigned int) TIMER30_OUTCR ) +// POLB +// <0=> 0: StartLow = Low level start. (The PWM3nxB pins are started with low level after counting.) +// <1=> 1: StartHigh = High level start. (The PWM3nxB pins are started with high level after counting) +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_POLA --------------------------------- +// SVD Line: 16264 + +// SFDITEM_FIELD__TIMER30_OUTCR_POLA +// POLA +// +// [Bit 14] RW (@ 0x40002420) \nPWM3nxA Output Polarity Selection\n0 : StartLow = Low level start. (The PWM3nxA pins are started with low level after counting.)\n1 : StartHigh = High level start. (The PWM3nxA pins are started with high level after counting) +// +// ( (unsigned int) TIMER30_OUTCR ) +// POLA +// <0=> 0: StartLow = Low level start. (The PWM3nxA pins are started with low level after counting.) +// <1=> 1: StartHigh = High level start. (The PWM3nxA pins are started with high level after counting) +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PABOE -------------------------------- +// SVD Line: 16282 + +// SFDITEM_FIELD__TIMER30_OUTCR_PABOE +// PABOE +// +// [Bit 13] RW (@ 0x40002420) \nPWM3nAB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PABOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PBBOE -------------------------------- +// SVD Line: 16300 + +// SFDITEM_FIELD__TIMER30_OUTCR_PBBOE +// PBBOE +// +// [Bit 12] RW (@ 0x40002420) \nPWM3nBB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PBBOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PCBOE -------------------------------- +// SVD Line: 16318 + +// SFDITEM_FIELD__TIMER30_OUTCR_PCBOE +// PCBOE +// +// [Bit 11] RW (@ 0x40002420) \nPWM3nCB Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PCBOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PAAOE -------------------------------- +// SVD Line: 16336 + +// SFDITEM_FIELD__TIMER30_OUTCR_PAAOE +// PAAOE +// +// [Bit 10] RW (@ 0x40002420) \nPWM3nAA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PAAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PBAOE -------------------------------- +// SVD Line: 16354 + +// SFDITEM_FIELD__TIMER30_OUTCR_PBAOE +// PBAOE +// +// [Bit 9] RW (@ 0x40002420) \nPWM3nBA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PBAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_PCAOE -------------------------------- +// SVD Line: 16372 + +// SFDITEM_FIELD__TIMER30_OUTCR_PCAOE +// PCAOE +// +// [Bit 8] RW (@ 0x40002420) \nPWM3nCA Output Enable\n0 : Disable = Disable output.\n1 : Enable = Enable output. +// +// ( (unsigned int) TIMER30_OUTCR ) +// PCAOE +// <0=> 0: Disable = Disable output. +// <1=> 1: Enable = Enable output. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLAB -------------------------------- +// SVD Line: 16390 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLAB +// LVLAB +// +// [Bit 6] RW (@ 0x40002420) \nConfigure PWM3nAB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLAB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLBB -------------------------------- +// SVD Line: 16408 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLBB +// LVLBB +// +// [Bit 5] RW (@ 0x40002420) \nConfigure PWM3nBB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLBB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLCB -------------------------------- +// SVD Line: 16426 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLCB +// LVLCB +// +// [Bit 4] RW (@ 0x40002420) \nConfigure PWM3nCB Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLCB +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLAA -------------------------------- +// SVD Line: 16444 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLAA +// LVLAA +// +// [Bit 2] RW (@ 0x40002420) \nConfigure PWM3nAA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLAA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLBA -------------------------------- +// SVD Line: 16462 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLBA +// LVLBA +// +// [Bit 1] RW (@ 0x40002420) \nConfigure PWM3nBA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLBA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ----------------------------- Field Item: TIMER30_OUTCR_LVLCA -------------------------------- +// SVD Line: 16480 + +// SFDITEM_FIELD__TIMER30_OUTCR_LVLCA +// LVLCA +// +// [Bit 0] RW (@ 0x40002420) \nConfigure PWM3nCA Output when Disable\n0 : Low = Low level.\n1 : High = High level. +// +// ( (unsigned int) TIMER30_OUTCR ) +// LVLCA +// <0=> 0: Low = Low level. +// <1=> 1: High = High level. +// +// +// + + +// ------------------------------ Register RTree: TIMER30_OUTCR --------------------------------- +// SVD Line: 16231 + +// SFDITEM_REG__TIMER30_OUTCR +// OUTCR +// +// [Bits 31..0] RW (@ 0x40002420) TIMER3n Output Control Register +// ( (unsigned int)((TIMER30_OUTCR >> 0) & 0xFFFFFFFF), ((TIMER30_OUTCR = (TIMER30_OUTCR & ~(0xFFFFFF77UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF77) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_OUTCR_WTIDKY +// SFDITEM_FIELD__TIMER30_OUTCR_POLB +// SFDITEM_FIELD__TIMER30_OUTCR_POLA +// SFDITEM_FIELD__TIMER30_OUTCR_PABOE +// SFDITEM_FIELD__TIMER30_OUTCR_PBBOE +// SFDITEM_FIELD__TIMER30_OUTCR_PCBOE +// SFDITEM_FIELD__TIMER30_OUTCR_PAAOE +// SFDITEM_FIELD__TIMER30_OUTCR_PBAOE +// SFDITEM_FIELD__TIMER30_OUTCR_PCAOE +// SFDITEM_FIELD__TIMER30_OUTCR_LVLAB +// SFDITEM_FIELD__TIMER30_OUTCR_LVLBB +// SFDITEM_FIELD__TIMER30_OUTCR_LVLCB +// SFDITEM_FIELD__TIMER30_OUTCR_LVLAA +// SFDITEM_FIELD__TIMER30_OUTCR_LVLBA +// SFDITEM_FIELD__TIMER30_OUTCR_LVLCA +// +// + + +// --------------------------- Register Item Address: TIMER30_DLY ------------------------------- +// SVD Line: 16500 + +unsigned int TIMER30_DLY __AT (0x40002424); + + + +// ------------------------------- Field Item: TIMER30_DLY_DLY ---------------------------------- +// SVD Line: 16509 + +// SFDITEM_FIELD__TIMER30_DLY_DLY +// DLY +// +// [Bits 9..0] RW (@ 0x40002424) TIMER3n PWM Delay Data +// +// ( (unsigned short)((TIMER30_DLY >> 0) & 0x3FF), ((TIMER30_DLY = (TIMER30_DLY & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0x3FF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: TIMER30_DLY ---------------------------------- +// SVD Line: 16500 + +// SFDITEM_REG__TIMER30_DLY +// DLY +// +// [Bits 31..0] RW (@ 0x40002424) TIMER3n PWM Output Delay Data Register +// ( (unsigned int)((TIMER30_DLY >> 0) & 0xFFFFFFFF), ((TIMER30_DLY = (TIMER30_DLY & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_DLY_DLY +// +// + + +// -------------------------- Register Item Address: TIMER30_INTCR ------------------------------ +// SVD Line: 16517 + +unsigned int TIMER30_INTCR __AT (0x40002428); + + + +// ---------------------------- Field Item: TIMER30_INTCR_HIZIEN -------------------------------- +// SVD Line: 16526 + +// SFDITEM_FIELD__TIMER30_INTCR_HIZIEN +// HIZIEN +// +// [Bit 6] RW (@ 0x40002428) \nTIMER3n Output High-Impedance Interrupt Enable\n0 : Disable = Disable TIMER3n output high-impedance interrupt.\n1 : Enable = Enable TIMER3n output high-impedance interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// HIZIEN +// <0=> 0: Disable = Disable TIMER3n output high-impedance interrupt. +// <1=> 1: Enable = Enable TIMER3n output high-impedance interrupt. +// +// +// + + +// ---------------------------- Field Item: TIMER30_INTCR_T3nCIEN ------------------------------- +// SVD Line: 16544 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nCIEN +// T3nCIEN +// +// [Bit 5] RW (@ 0x40002428) \nTIMER3n Capture Interrupt Enable\n0 : Disable = Disable TIMER3n capture interrupt.\n1 : Enable = Enable TIMER3n capture interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nCIEN +// <0=> 0: Disable = Disable TIMER3n capture interrupt. +// <1=> 1: Enable = Enable TIMER3n capture interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER30_INTCR_T3nBTIEN ------------------------------- +// SVD Line: 16562 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nBTIEN +// T3nBTIEN +// +// [Bit 4] RW (@ 0x40002428) \nTIMER3n Bottom Interrupt Enable\n0 : Disable = Disable TIMER3n bottom interrupt.\n1 : Enable = Enable TIMER3n bottom interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nBTIEN +// <0=> 0: Disable = Disable TIMER3n bottom interrupt. +// <1=> 1: Enable = Enable TIMER3n bottom interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER30_INTCR_T3nPMIEN ------------------------------- +// SVD Line: 16580 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nPMIEN +// T3nPMIEN +// +// [Bit 3] RW (@ 0x40002428) \nTIMER3n Period Match Interrupt Enable\n0 : Disable = Disable TIMER3n period interrupt.\n1 : Enable = Enable TIMER3n period interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nPMIEN +// <0=> 0: Disable = Disable TIMER3n period interrupt. +// <1=> 1: Enable = Enable TIMER3n period interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER30_INTCR_T3nAMIEN ------------------------------- +// SVD Line: 16598 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nAMIEN +// T3nAMIEN +// +// [Bit 2] RW (@ 0x40002428) \nTIMER3n A-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n A-ch match interrupt.\n1 : Enable = Enable TIMER3n A-ch match interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nAMIEN +// <0=> 0: Disable = Disable TIMER3n A-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n A-ch match interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER30_INTCR_T3nBMIEN ------------------------------- +// SVD Line: 16616 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nBMIEN +// T3nBMIEN +// +// [Bit 1] RW (@ 0x40002428) \nTIMER3n B-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n B-ch match interrupt.\n1 : Enable = Enable TIMER3n B-ch match interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nBMIEN +// <0=> 0: Disable = Disable TIMER3n B-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n B-ch match interrupt. +// +// +// + + +// --------------------------- Field Item: TIMER30_INTCR_T3nCMIEN ------------------------------- +// SVD Line: 16634 + +// SFDITEM_FIELD__TIMER30_INTCR_T3nCMIEN +// T3nCMIEN +// +// [Bit 0] RW (@ 0x40002428) \nTIMER3n C-ch Match Interrupt Enable\n0 : Disable = Disable TIMER3n C-ch match interrupt.\n1 : Enable = Enable TIMER3n C-ch match interrupt. +// +// ( (unsigned int) TIMER30_INTCR ) +// T3nCMIEN +// <0=> 0: Disable = Disable TIMER3n C-ch match interrupt. +// <1=> 1: Enable = Enable TIMER3n C-ch match interrupt. +// +// +// + + +// ------------------------------ Register RTree: TIMER30_INTCR --------------------------------- +// SVD Line: 16517 + +// SFDITEM_REG__TIMER30_INTCR +// INTCR +// +// [Bits 31..0] RW (@ 0x40002428) TIMER3n Interrupt Control Register +// ( (unsigned int)((TIMER30_INTCR >> 0) & 0xFFFFFFFF), ((TIMER30_INTCR = (TIMER30_INTCR & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_INTCR_HIZIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nCIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nBTIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nPMIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nAMIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nBMIEN +// SFDITEM_FIELD__TIMER30_INTCR_T3nCMIEN +// +// + + +// ------------------------- Register Item Address: TIMER30_INTFLAG ----------------------------- +// SVD Line: 16654 + +unsigned int TIMER30_INTFLAG __AT (0x4000242C); + + + +// -------------------------- Field Item: TIMER30_INTFLAG_HIZIFLAG ------------------------------ +// SVD Line: 16663 + +// SFDITEM_FIELD__TIMER30_INTFLAG_HIZIFLAG +// HIZIFLAG +// +// [Bit 6] RW (@ 0x4000242C) \nTIMER3n Output High-Impedance Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// HIZIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// -------------------------- Field Item: TIMER30_INTFLAG_T3nCIFLAG ----------------------------- +// SVD Line: 16681 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nCIFLAG +// T3nCIFLAG +// +// [Bit 5] RW (@ 0x4000242C) \nTIMER3n Capture Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nCIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER30_INTFLAG_T3nBTIFLAG ----------------------------- +// SVD Line: 16699 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nBTIFLAG +// T3nBTIFLAG +// +// [Bit 4] RW (@ 0x4000242C) \nTIMER3n Bottom Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nBTIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER30_INTFLAG_T3nPMIFLAG ----------------------------- +// SVD Line: 16717 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nPMIFLAG +// T3nPMIFLAG +// +// [Bit 3] RW (@ 0x4000242C) \nTIMER3n Period Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nPMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER30_INTFLAG_T3nAMIFLAG ----------------------------- +// SVD Line: 16735 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nAMIFLAG +// T3nAMIFLAG +// +// [Bit 2] RW (@ 0x4000242C) \nTIMER3n A-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nAMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER30_INTFLAG_T3nBMIFLAG ----------------------------- +// SVD Line: 16753 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nBMIFLAG +// T3nBMIFLAG +// +// [Bit 1] RW (@ 0x4000242C) \nTIMER3n B-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nBMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ------------------------- Field Item: TIMER30_INTFLAG_T3nCMIFLAG ----------------------------- +// SVD Line: 16771 + +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nCMIFLAG +// T3nCMIFLAG +// +// [Bit 0] RW (@ 0x4000242C) \nTIMER3n C-ch Match Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// ( (unsigned int) TIMER30_INTFLAG ) +// T3nCMIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. The bit will be cleared to '0' when '1' is written to this bit. +// +// +// + + +// ----------------------------- Register RTree: TIMER30_INTFLAG -------------------------------- +// SVD Line: 16654 + +// SFDITEM_REG__TIMER30_INTFLAG +// INTFLAG +// +// [Bits 31..0] RW (@ 0x4000242C) TIMER3n Interrupt Flag Register +// ( (unsigned int)((TIMER30_INTFLAG >> 0) & 0xFFFFFFFF), ((TIMER30_INTFLAG = (TIMER30_INTFLAG & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_INTFLAG_HIZIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nCIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nBTIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nPMIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nAMIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nBMIFLAG +// SFDITEM_FIELD__TIMER30_INTFLAG_T3nCMIFLAG +// +// + + +// -------------------------- Register Item Address: TIMER30_HIZCR ------------------------------ +// SVD Line: 16791 + +unsigned int TIMER30_HIZCR __AT (0x40002430); + + + +// ----------------------------- Field Item: TIMER30_HIZCR_HIZEN -------------------------------- +// SVD Line: 16800 + +// SFDITEM_FIELD__TIMER30_HIZCR_HIZEN +// HIZEN +// +// [Bit 7] RW (@ 0x40002430) \nPWM3nxA/PWM3nxB Output High-Impedance Enable\n0 : Disable = Disable to control the output high-impedance.\n1 : Enable = Enable to control the output high-impedance. +// +// ( (unsigned int) TIMER30_HIZCR ) +// HIZEN +// <0=> 0: Disable = Disable to control the output high-impedance. +// <1=> 1: Enable = Enable to control the output high-impedance. +// +// +// + + +// ----------------------------- Field Item: TIMER30_HIZCR_HIZSW -------------------------------- +// SVD Line: 16818 + +// SFDITEM_FIELD__TIMER30_HIZCR_HIZSW +// HIZSW +// +// [Bit 4] RW (@ 0x40002430) \nHigh-Impedance Output Software Setting\n0 : NoEffect = No effect.\n1 : HiZ = PWM3nxA/PWM3nxB pins go into high impedance. (Automatically cleared to '0' after operation) +// +// ( (unsigned int) TIMER30_HIZCR ) +// HIZSW +// <0=> 0: NoEffect = No effect. +// <1=> 1: HiZ = PWM3nxA/PWM3nxB pins go into high impedance. (Automatically cleared to '0' after operation) +// +// +// + + +// ----------------------------- Field Item: TIMER30_HIZCR_HEDGE -------------------------------- +// SVD Line: 16836 + +// SFDITEM_FIELD__TIMER30_HIZCR_HEDGE +// HEDGE +// +// [Bit 2] RW (@ 0x40002430) \nHigh-Impedance Edge Selection\n0 : FallingEdge = Falling edge of the BLNK pin.\n1 : RisingEdge = Rising edge of the BLNK pin. +// +// ( (unsigned int) TIMER30_HIZCR ) +// HEDGE +// <0=> 0: FallingEdge = Falling edge of the BLNK pin. +// <1=> 1: RisingEdge = Rising edge of the BLNK pin. +// +// +// + + +// ---------------------------- Field Item: TIMER30_HIZCR_HIZSTA -------------------------------- +// SVD Line: 16854 + +// SFDITEM_FIELD__TIMER30_HIZCR_HIZSTA +// HIZSTA +// +// [Bit 1] RO (@ 0x40002430) \nHigh-Impedance Status\n0 : NoHiZ = Indicates that the pins are not under a Hi-Z state.\n1 : HiZ = Indicates that the pins are under a Hi-Z state. +// +// ( (unsigned int) TIMER30_HIZCR ) +// HIZSTA +// <0=> 0: NoHiZ = Indicates that the pins are not under a Hi-Z state. +// <1=> 1: HiZ = Indicates that the pins are under a Hi-Z state. +// +// +// + + +// ---------------------------- Field Item: TIMER30_HIZCR_HIZCLR -------------------------------- +// SVD Line: 16872 + +// SFDITEM_FIELD__TIMER30_HIZCR_HIZCLR +// HIZCLR +// +// [Bit 0] RW (@ 0x40002430) \nHigh-Impedance Output Clear\n0 : NoEffect = No effect.\n1 : Clear = Clear high-impedance output. (The PWM3nxA/PWM3nxB pins returns as output and this bit is automatically cleared to '0' after operation.) +// +// ( (unsigned int) TIMER30_HIZCR ) +// HIZCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Clear = Clear high-impedance output. (The PWM3nxA/PWM3nxB pins returns as output and this bit is automatically cleared to '0' after operation.) +// +// +// + + +// ------------------------------ Register RTree: TIMER30_HIZCR --------------------------------- +// SVD Line: 16791 + +// SFDITEM_REG__TIMER30_HIZCR +// HIZCR +// +// [Bits 31..0] RW (@ 0x40002430) TIMER3n High-Impedance Control Register +// ( (unsigned int)((TIMER30_HIZCR >> 0) & 0xFFFFFFFF), ((TIMER30_HIZCR = (TIMER30_HIZCR & ~(0x95UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x95) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_HIZCR_HIZEN +// SFDITEM_FIELD__TIMER30_HIZCR_HIZSW +// SFDITEM_FIELD__TIMER30_HIZCR_HEDGE +// SFDITEM_FIELD__TIMER30_HIZCR_HIZSTA +// SFDITEM_FIELD__TIMER30_HIZCR_HIZCLR +// +// + + +// -------------------------- Register Item Address: TIMER30_ADTCR ------------------------------ +// SVD Line: 16892 + +unsigned int TIMER30_ADTCR __AT (0x40002434); + + + +// ---------------------------- Field Item: TIMER30_ADTCR_T3nBTTG ------------------------------- +// SVD Line: 16901 + +// SFDITEM_FIELD__TIMER30_ADTCR_T3nBTTG +// T3nBTTG +// +// [Bit 4] RW (@ 0x40002434) \nSelect TIMER3n Bottom for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by bottom.\n1 : Enable = Enable ADC trigger signal generator by bottom. +// +// ( (unsigned int) TIMER30_ADTCR ) +// T3nBTTG +// <0=> 0: Disable = Disable ADC trigger signal generator by bottom. +// <1=> 1: Enable = Enable ADC trigger signal generator by bottom. +// +// +// + + +// ---------------------------- Field Item: TIMER30_ADTCR_T3nPMTG ------------------------------- +// SVD Line: 16919 + +// SFDITEM_FIELD__TIMER30_ADTCR_T3nPMTG +// T3nPMTG +// +// [Bit 3] RW (@ 0x40002434) \nSelect TIMER3n Period Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by period match.\n1 : Enable = Enable ADC trigger signal generator by period match. +// +// ( (unsigned int) TIMER30_ADTCR ) +// T3nPMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by period match. +// <1=> 1: Enable = Enable ADC trigger signal generator by period match. +// +// +// + + +// ---------------------------- Field Item: TIMER30_ADTCR_T3nAMTG ------------------------------- +// SVD Line: 16937 + +// SFDITEM_FIELD__TIMER30_ADTCR_T3nAMTG +// T3nAMTG +// +// [Bit 2] RW (@ 0x40002434) \nSelect TIMER3n A-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by A-ch match.\n1 : Enable = Enable ADC trigger signal generator by A-ch match. +// +// ( (unsigned int) TIMER30_ADTCR ) +// T3nAMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by A-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by A-ch match. +// +// +// + + +// ---------------------------- Field Item: TIMER30_ADTCR_T3nBMTG ------------------------------- +// SVD Line: 16955 + +// SFDITEM_FIELD__TIMER30_ADTCR_T3nBMTG +// T3nBMTG +// +// [Bit 1] RW (@ 0x40002434) \nSelect TIMER3n B-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by B-ch match.\n1 : Enable = Enable ADC trigger signal generator by B-ch match. +// +// ( (unsigned int) TIMER30_ADTCR ) +// T3nBMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by B-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by B-ch match. +// +// +// + + +// ---------------------------- Field Item: TIMER30_ADTCR_T3nCMTG ------------------------------- +// SVD Line: 16973 + +// SFDITEM_FIELD__TIMER30_ADTCR_T3nCMTG +// T3nCMTG +// +// [Bit 0] RW (@ 0x40002434) \nSelect TIMER3n C-ch Match for ADC Trigger Signal Generator.\n0 : Disable = Disable ADC trigger signal generator by C-ch match.\n1 : Enable = Enable ADC trigger signal generator by C-ch match. +// +// ( (unsigned int) TIMER30_ADTCR ) +// T3nCMTG +// <0=> 0: Disable = Disable ADC trigger signal generator by C-ch match. +// <1=> 1: Enable = Enable ADC trigger signal generator by C-ch match. +// +// +// + + +// ------------------------------ Register RTree: TIMER30_ADTCR --------------------------------- +// SVD Line: 16892 + +// SFDITEM_REG__TIMER30_ADTCR +// ADTCR +// +// [Bits 31..0] RW (@ 0x40002434) TIMER3n ADC Trigger Control Register +// ( (unsigned int)((TIMER30_ADTCR >> 0) & 0xFFFFFFFF), ((TIMER30_ADTCR = (TIMER30_ADTCR & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1F) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_ADTCR_T3nBTTG +// SFDITEM_FIELD__TIMER30_ADTCR_T3nPMTG +// SFDITEM_FIELD__TIMER30_ADTCR_T3nAMTG +// SFDITEM_FIELD__TIMER30_ADTCR_T3nBMTG +// SFDITEM_FIELD__TIMER30_ADTCR_T3nCMTG +// +// + + +// -------------------------- Register Item Address: TIMER30_ADTDR ------------------------------ +// SVD Line: 16993 + +unsigned int TIMER30_ADTDR __AT (0x40002438); + + + +// ---------------------------- Field Item: TIMER30_ADTDR_ADTDATA ------------------------------- +// SVD Line: 17002 + +// SFDITEM_FIELD__TIMER30_ADTDR_ADTDATA +// ADTDATA +// +// [Bits 13..0] RW (@ 0x40002438) TIMER3n ADC Trigger Generation Data +// +// ( (unsigned short)((TIMER30_ADTDR >> 0) & 0x3FFF), ((TIMER30_ADTDR = (TIMER30_ADTDR & ~(0x3FFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0x3FFF) << 0 ) ) )) +// +// +// + + +// ------------------------------ Register RTree: TIMER30_ADTDR --------------------------------- +// SVD Line: 16993 + +// SFDITEM_REG__TIMER30_ADTDR +// ADTDR +// +// [Bits 31..0] RW (@ 0x40002438) TIMER3n ADC Trigger Generator Data Register +// ( (unsigned int)((TIMER30_ADTDR >> 0) & 0xFFFFFFFF), ((TIMER30_ADTDR = (TIMER30_ADTDR & ~(0x3FFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFF) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_ADTDR_ADTDATA +// +// + + +// ------------------------ Register Item Address: TIMER30_T30_OUTCR ---------------------------- +// SVD Line: 17031 + +unsigned int TIMER30_T30_OUTCR __AT (0x40002420); + + + +// -------------------------- Field Item: TIMER30_T30_OUTCR_WTIDKY ------------------------------ +// SVD Line: 17041 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_WTIDKY +// WTIDKY +// +// [Bits 31..16] WO (@ 0x40002420) Write Identification Key (0xe06c) +// +// ( (unsigned short)((TIMER30_T30_OUTCR >> 16) & 0x0), ((TIMER30_T30_OUTCR = (TIMER30_T30_OUTCR & ~(0xFFFFUL << 16 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 16 ) ) )) +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_POLB ------------------------------- +// SVD Line: 17054 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_POLB +// POLB +// +// [Bit 15] RW (@ 0x40002420) PWM3nxB Output Polarity Selection +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// POLB +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_POLA ------------------------------- +// SVD Line: 17060 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_POLA +// POLA +// +// [Bit 14] RW (@ 0x40002420) PWM3nxA Output Polarity Selection +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// POLA +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PABOE ------------------------------ +// SVD Line: 17066 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PABOE +// PABOE +// +// [Bit 13] RW (@ 0x40002420) PWM3nAB Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PABOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PBBOE ------------------------------ +// SVD Line: 17072 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PBBOE +// PBBOE +// +// [Bit 12] RW (@ 0x40002420) PWM3nBB Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PBBOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PCBOE ------------------------------ +// SVD Line: 17078 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PCBOE +// PCBOE +// +// [Bit 11] RW (@ 0x40002420) PWM3nCB Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PCBOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PAAOE ------------------------------ +// SVD Line: 17084 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PAAOE +// PAAOE +// +// [Bit 10] RW (@ 0x40002420) PWM3nAA Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PAAOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PBAOE ------------------------------ +// SVD Line: 17090 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PBAOE +// PBAOE +// +// [Bit 9] RW (@ 0x40002420) PWM3nBA Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PBAOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_PCAOE ------------------------------ +// SVD Line: 17096 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PCAOE +// PCAOE +// +// [Bit 8] RW (@ 0x40002420) PWM3nCA Output Enable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// PCAOE +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLAB ------------------------------ +// SVD Line: 17102 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLAB +// LVLAB +// +// [Bit 6] RW (@ 0x40002420) Configure PWM3nAB Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLAB +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLBB ------------------------------ +// SVD Line: 17108 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLBB +// LVLBB +// +// [Bit 5] RW (@ 0x40002420) Configure PWM3nBB Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLBB +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLCB ------------------------------ +// SVD Line: 17114 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLCB +// LVLCB +// +// [Bit 4] RW (@ 0x40002420) Configure PWM3nCB Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLCB +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLAA ------------------------------ +// SVD Line: 17120 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLAA +// LVLAA +// +// [Bit 2] RW (@ 0x40002420) Configure PWM3nAA Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLAA +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLBA ------------------------------ +// SVD Line: 17126 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLBA +// LVLBA +// +// [Bit 1] RW (@ 0x40002420) Configure PWM3nBA Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLBA +// +// +// + + +// --------------------------- Field Item: TIMER30_T30_OUTCR_LVLCA ------------------------------ +// SVD Line: 17132 + +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLCA +// LVLCA +// +// [Bit 0] RW (@ 0x40002420) Configure PWM3nCA Output when Disable +// +// ( (unsigned int) TIMER30_T30_OUTCR ) +// LVLCA +// +// +// + + +// ---------------------------- Register RTree: TIMER30_T30_OUTCR ------------------------------- +// SVD Line: 17031 + +// SFDITEM_REG__TIMER30_T30_OUTCR +// T30_OUTCR +// +// [Bits 31..0] RW (@ 0x40002420) TIMER3n Output Control Register +// ( (unsigned int)((TIMER30_T30_OUTCR >> 0) & 0xFFFFFFFF), ((TIMER30_T30_OUTCR = (TIMER30_T30_OUTCR & ~(0xFFFFFF77UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFF77) << 0 ) ) )) +// SFDITEM_FIELD__TIMER30_T30_OUTCR_WTIDKY +// SFDITEM_FIELD__TIMER30_T30_OUTCR_POLB +// SFDITEM_FIELD__TIMER30_T30_OUTCR_POLA +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PABOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PBBOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PCBOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PAAOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PBAOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_PCAOE +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLAB +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLBB +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLCB +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLAA +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLBA +// SFDITEM_FIELD__TIMER30_T30_OUTCR_LVLCA +// +// + + +// -------------------------------- Peripheral View: TIMER30 ------------------------------------ +// SVD Line: 17012 + +// TIMER30 +// TIMER30 +// SFDITEM_REG__TIMER30_CR +// SFDITEM_REG__TIMER30_PDR +// SFDITEM_REG__TIMER30_ADR +// SFDITEM_REG__TIMER30_BDR +// SFDITEM_REG__TIMER30_CDR +// SFDITEM_REG__TIMER30_CAPDR +// SFDITEM_REG__TIMER30_PREDR +// SFDITEM_REG__TIMER30_CNT +// SFDITEM_REG__TIMER30_OUTCR +// SFDITEM_REG__TIMER30_DLY +// SFDITEM_REG__TIMER30_INTCR +// SFDITEM_REG__TIMER30_INTFLAG +// SFDITEM_REG__TIMER30_HIZCR +// SFDITEM_REG__TIMER30_ADTCR +// SFDITEM_REG__TIMER30_ADTDR +// SFDITEM_REG__TIMER30_T30_OUTCR +// +// + + +// ------------------------------ Register Item Address: ADC_CR --------------------------------- +// SVD Line: 17161 + +unsigned int ADC_CR __AT (0x40003000); + + + +// -------------------------------- Field Item: ADC_CR_ADCEN ------------------------------------ +// SVD Line: 17170 + +// SFDITEM_FIELD__ADC_CR_ADCEN +// ADCEN +// +// [Bit 15] RW (@ 0x40003000) \nADC Module Enable\n0 : Disable = Disable ADC module operation.\n1 : Enable = Enable ADC module operation. +// +// ( (unsigned int) ADC_CR ) +// ADCEN +// <0=> 0: Disable = Disable ADC module operation. +// <1=> 1: Enable = Enable ADC module operation. +// +// +// + + +// --------------------------------- Field Item: ADC_CR_TRIG ------------------------------------ +// SVD Line: 17188 + +// SFDITEM_FIELD__ADC_CR_TRIG +// TRIG +// +// [Bits 13..11] RW (@ 0x40003000) \nADC Trigger Signal Selection\n0 : ADST = Select ADST.\n1 : TIMER10 = Select TIMER10 A-Match Signal.\n2 : TIMER11 = Select TIMER11 A-Match Signal.\n3 : TIMER12 = Select TIMER12 A-Match Signal.\n4 : TIMER30 = Select ADC Trigger Signal from TIMER30.\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) ADC_CR ) +// TRIG +// <0=> 0: ADST = Select ADST. +// <1=> 1: TIMER10 = Select TIMER10 A-Match Signal. +// <2=> 2: TIMER11 = Select TIMER11 A-Match Signal. +// <3=> 3: TIMER12 = Select TIMER12 A-Match Signal. +// <4=> 4: TIMER30 = Select ADC Trigger Signal from TIMER30. +// <5=> 5: +// <6=> 6: +// <7=> 7: +// +// +// + + +// -------------------------------- Field Item: ADC_CR_REFSEL ----------------------------------- +// SVD Line: 17221 + +// SFDITEM_FIELD__ADC_CR_REFSEL +// REFSEL +// +// [Bit 10] RW (@ 0x40003000) \nADC Reference Selection\n0 : Vdd = Select analog power (VDD).\n1 : AVref = Select external reference (AVREF). +// +// ( (unsigned int) ADC_CR ) +// REFSEL +// <0=> 0: Vdd = Select analog power (VDD). +// <1=> 1: AVref = Select external reference (AVREF). +// +// +// + + +// --------------------------------- Field Item: ADC_CR_ADST ------------------------------------ +// SVD Line: 17239 + +// SFDITEM_FIELD__ADC_CR_ADST +// ADST +// +// [Bit 8] RW (@ 0x40003000) \nADC Conversion Start\n0 : NoEffect = No effect.\n1 : Start = Trigger signal generation for conversion start. +// +// ( (unsigned int) ADC_CR ) +// ADST +// <0=> 0: NoEffect = No effect. +// <1=> 1: Start = Trigger signal generation for conversion start. +// +// +// + + +// -------------------------------- Field Item: ADC_CR_ADCIEN ----------------------------------- +// SVD Line: 17257 + +// SFDITEM_FIELD__ADC_CR_ADCIEN +// ADCIEN +// +// [Bit 5] RW (@ 0x40003000) \nADC Interrupt Enable\n0 : Disable = Disable ADC interrupt.\n1 : Enable = Enable ADC interrupt. +// +// ( (unsigned int) ADC_CR ) +// ADCIEN +// <0=> 0: Disable = Disable ADC interrupt. +// <1=> 1: Enable = Enable ADC interrupt. +// +// +// + + +// ------------------------------- Field Item: ADC_CR_ADCIFLAG ---------------------------------- +// SVD Line: 17275 + +// SFDITEM_FIELD__ADC_CR_ADCIFLAG +// ADCIFLAG +// +// [Bit 4] RW (@ 0x40003000) \nADC Interrupt Flag\n0 : NoRequest = No request occurred.\n1 : Request = Request occurred. +// +// ( (unsigned int) ADC_CR ) +// ADCIFLAG +// <0=> 0: NoRequest = No request occurred. +// <1=> 1: Request = Request occurred. +// +// +// + + +// -------------------------------- Field Item: ADC_CR_ADSEL ------------------------------------ +// SVD Line: 17293 + +// SFDITEM_FIELD__ADC_CR_ADSEL +// ADSEL +// +// [Bits 3..0] RW (@ 0x40003000) \nA/D Converter Channel Selection\n0 : AN0 = Select AN0.\n1 : AN1 = Select AN1.\n2 : AN2 = Select AN2.\n3 : AN3 = Select AN3.\n4 : AN4 = Select AN4.\n5 : AN5 = Select AN5.\n6 : AN6 = Select AN6.\n7 : AN7 = Select AN7.\n8 : AN8 = Select AN8.\n9 : AN9 = Select AN9.\n10 : AN10 = Select AN10.\n11 : AN11 = Select AN11\n12 : AN12 = Select AN12\n13 : AN13 = Select AN13\n14 : Reserved - do not use\n15 : Reserved - do not use +// +// ( (unsigned int) ADC_CR ) +// ADSEL +// <0=> 0: AN0 = Select AN0. +// <1=> 1: AN1 = Select AN1. +// <2=> 2: AN2 = Select AN2. +// <3=> 3: AN3 = Select AN3. +// <4=> 4: AN4 = Select AN4. +// <5=> 5: AN5 = Select AN5. +// <6=> 6: AN6 = Select AN6. +// <7=> 7: AN7 = Select AN7. +// <8=> 8: AN8 = Select AN8. +// <9=> 9: AN9 = Select AN9. +// <10=> 10: AN10 = Select AN10. +// <11=> 11: AN11 = Select AN11 +// <12=> 12: AN12 = Select AN12 +// <13=> 13: AN13 = Select AN13 +// <14=> 14: +// <15=> 15: +// +// +// + + +// --------------------------------- Register RTree: ADC_CR ------------------------------------- +// SVD Line: 17161 + +// SFDITEM_REG__ADC_CR +// CR +// +// [Bits 31..0] RW (@ 0x40003000) A/D Converter Control Register +// ( (unsigned int)((ADC_CR >> 0) & 0xFFFFFFFF), ((ADC_CR = (ADC_CR & ~(0xBD3FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xBD3F) << 0 ) ) )) +// SFDITEM_FIELD__ADC_CR_ADCEN +// SFDITEM_FIELD__ADC_CR_TRIG +// SFDITEM_FIELD__ADC_CR_REFSEL +// SFDITEM_FIELD__ADC_CR_ADST +// SFDITEM_FIELD__ADC_CR_ADCIEN +// SFDITEM_FIELD__ADC_CR_ADCIFLAG +// SFDITEM_FIELD__ADC_CR_ADSEL +// +// + + +// ------------------------------ Register Item Address: ADC_DR --------------------------------- +// SVD Line: 17373 + +unsigned int ADC_DR __AT (0x40003004); + + + +// -------------------------------- Field Item: ADC_DR_ADDATA ----------------------------------- +// SVD Line: 17382 + +// SFDITEM_FIELD__ADC_DR_ADDATA +// ADDATA +// +// [Bits 11..0] RO (@ 0x40003004) A/D Converter Result Data +// +// ( (unsigned short)((ADC_DR >> 0) & 0xFFF) ) +// +// +// + + +// --------------------------------- Register RTree: ADC_DR ------------------------------------- +// SVD Line: 17373 + +// SFDITEM_REG__ADC_DR +// DR +// +// [Bits 31..0] RO (@ 0x40003004) A/D Converter Data Register +// ( (unsigned int)((ADC_DR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__ADC_DR_ADDATA +// +// + + +// ---------------------------- Register Item Address: ADC_PREDR -------------------------------- +// SVD Line: 17390 + +unsigned int ADC_PREDR __AT (0x40003008); + + + +// ------------------------------- Field Item: ADC_PREDR_PRED ----------------------------------- +// SVD Line: 17399 + +// SFDITEM_FIELD__ADC_PREDR_PRED +// PRED +// +// [Bits 4..0] RW (@ 0x40003008) A/D Converter Prescaler Data +// +// ( (unsigned char)((ADC_PREDR >> 0) & 0x1F), ((ADC_PREDR = (ADC_PREDR & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x1F) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: ADC_PREDR ----------------------------------- +// SVD Line: 17390 + +// SFDITEM_REG__ADC_PREDR +// PREDR +// +// [Bits 31..0] RW (@ 0x40003008) A/D Converter Prescaler Data Register +// ( (unsigned int)((ADC_PREDR >> 0) & 0xFFFFFFFF), ((ADC_PREDR = (ADC_PREDR & ~(0x1FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1F) << 0 ) ) )) +// SFDITEM_FIELD__ADC_PREDR_PRED +// +// + + +// ---------------------------------- Peripheral View: ADC -------------------------------------- +// SVD Line: 17142 + +// ADC +// ADC +// SFDITEM_REG__ADC_CR +// SFDITEM_REG__ADC_DR +// SFDITEM_REG__ADC_PREDR +// +// + + +// --------------------------- Register Item Address: USART1n_CR1 ------------------------------- +// SVD Line: 17423 + +unsigned int USART1n_CR1 __AT (0x54000000); + + + +// ----------------------------- Field Item: USART1n_CR1_USTnMS --------------------------------- +// SVD Line: 17432 + +// SFDITEM_FIELD__USART1n_CR1_USTnMS +// USTnMS +// +// [Bits 15..14] RW (@ 0x54000000) \nUSART1n Operation Mode Selection\n0 : Async = Asynchronous Mode (UART)\n1 : Sync = Synchronous Mode (USRT)\n2 : Reserved - do not use\n3 : SPI = SPI Mode +// +// ( (unsigned int) USART1n_CR1 ) +// USTnMS +// <0=> 0: Async = Asynchronous Mode (UART) +// <1=> 1: Sync = Synchronous Mode (USRT) +// <2=> 2: +// <3=> 3: SPI = SPI Mode +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_USTnP --------------------------------- +// SVD Line: 17455 + +// SFDITEM_FIELD__USART1n_CR1_USTnP +// USTnP +// +// [Bits 13..12] RW (@ 0x54000000) \nSelects Parity Generation and Check method (only UART mode)\n0 : No = No Parity\n1 : Reserved - do not use\n2 : Even = Even Parity\n3 : Odd = Odd Parity +// +// ( (unsigned int) USART1n_CR1 ) +// USTnP +// <0=> 0: No = No Parity +// <1=> 1: +// <2=> 2: Even = Even Parity +// <3=> 3: Odd = Odd Parity +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_USTnS --------------------------------- +// SVD Line: 17478 + +// SFDITEM_FIELD__USART1n_CR1_USTnS +// USTnS +// +// [Bits 11..9] RW (@ 0x54000000) \nSelects the length of data bit in a frame when Asynchronous or Synchronous mode\n0 : 5bit = 5 bit\n1 : 6bit = 6 bit\n2 : 7bit = 7 bit\n3 : 8bit = 8 bit\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : 9bit = 9 bit +// +// ( (unsigned int) USART1n_CR1 ) +// USTnS +// <0=> 0: 5bit = 5 bit +// <1=> 1: 6bit = 6 bit +// <2=> 2: 7bit = 7 bit +// <3=> 3: 8bit = 8 bit +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: 9bit = 9 bit +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_ORDn ---------------------------------- +// SVD Line: 17511 + +// SFDITEM_FIELD__USART1n_CR1_ORDn +// ORDn +// +// [Bit 8] RW (@ 0x54000000) \nSelects the first data bit to be transmitted (only SPI mode)\n0 : lsbFirst = LSB First\n1 : msbFirst = MSB First +// +// ( (unsigned int) USART1n_CR1 ) +// ORDn +// <0=> 0: lsbFirst = LSB First +// <1=> 1: msbFirst = MSB First +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_CPOLn --------------------------------- +// SVD Line: 17529 + +// SFDITEM_FIELD__USART1n_CR1_CPOLn +// CPOLn +// +// [Bit 7] RW (@ 0x54000000) \nSelects the Clock Polarity of ACK in Synchronous or SPI mode\n0 : IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge\n1 : IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// ( (unsigned int) USART1n_CR1 ) +// CPOLn +// <0=> 0: IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge +// <1=> 1: IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_CPHAn --------------------------------- +// SVD Line: 17547 + +// SFDITEM_FIELD__USART1n_CR1_CPHAn +// CPHAn +// +// [Bit 6] RW (@ 0x54000000) \nThe CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode)\n0 : StartIdle = Start with idle state.\n1 : StartInverted = Start with inverted idle state. +// +// ( (unsigned int) USART1n_CR1 ) +// CPHAn +// <0=> 0: StartIdle = Start with idle state. +// <1=> 1: StartInverted = Start with inverted idle state. +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_DRIEn --------------------------------- +// SVD Line: 17565 + +// SFDITEM_FIELD__USART1n_CR1_DRIEn +// DRIEn +// +// [Bit 5] RW (@ 0x54000000) Transmit Data Register Empty Interrupt Enable +// +// ( (unsigned int) USART1n_CR1 ) +// DRIEn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR1_TXCIEn --------------------------------- +// SVD Line: 17571 + +// SFDITEM_FIELD__USART1n_CR1_TXCIEn +// TXCIEn +// +// [Bit 4] RW (@ 0x54000000) Transmit Complete Interrupt Enable +// +// ( (unsigned int) USART1n_CR1 ) +// TXCIEn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR1_RXCIEn --------------------------------- +// SVD Line: 17577 + +// SFDITEM_FIELD__USART1n_CR1_RXCIEn +// RXCIEn +// +// [Bit 3] RW (@ 0x54000000) Receive Complete Interrupt Enable +// +// ( (unsigned int) USART1n_CR1 ) +// RXCIEn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR1_WAKEIEn -------------------------------- +// SVD Line: 17583 + +// SFDITEM_FIELD__USART1n_CR1_WAKEIEn +// WAKEIEn +// +// [Bit 2] RW (@ 0x54000000) Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode +// +// ( (unsigned int) USART1n_CR1 ) +// WAKEIEn +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_TXEn ---------------------------------- +// SVD Line: 17589 + +// SFDITEM_FIELD__USART1n_CR1_TXEn +// TXEn +// +// [Bit 1] RW (@ 0x54000000) Enable the transmitter unit. +// +// ( (unsigned int) USART1n_CR1 ) +// TXEn +// +// +// + + +// ------------------------------ Field Item: USART1n_CR1_RXEn ---------------------------------- +// SVD Line: 17595 + +// SFDITEM_FIELD__USART1n_CR1_RXEn +// RXEn +// +// [Bit 0] RW (@ 0x54000000) Enable the receiver unit. +// +// ( (unsigned int) USART1n_CR1 ) +// RXEn +// +// +// + + +// ------------------------------- Register RTree: USART1n_CR1 ---------------------------------- +// SVD Line: 17423 + +// SFDITEM_REG__USART1n_CR1 +// CR1 +// +// [Bits 31..0] RW (@ 0x54000000) USART1n Control Register 1 +// ( (unsigned int)((USART1n_CR1 >> 0) & 0xFFFFFFFF), ((USART1n_CR1 = (USART1n_CR1 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART1n_CR1_USTnMS +// SFDITEM_FIELD__USART1n_CR1_USTnP +// SFDITEM_FIELD__USART1n_CR1_USTnS +// SFDITEM_FIELD__USART1n_CR1_ORDn +// SFDITEM_FIELD__USART1n_CR1_CPOLn +// SFDITEM_FIELD__USART1n_CR1_CPHAn +// SFDITEM_FIELD__USART1n_CR1_DRIEn +// SFDITEM_FIELD__USART1n_CR1_TXCIEn +// SFDITEM_FIELD__USART1n_CR1_RXCIEn +// SFDITEM_FIELD__USART1n_CR1_WAKEIEn +// SFDITEM_FIELD__USART1n_CR1_TXEn +// SFDITEM_FIELD__USART1n_CR1_RXEn +// +// + + +// --------------------------- Register Item Address: USART1n_CR2 ------------------------------- +// SVD Line: 17603 + +unsigned int USART1n_CR2 __AT (0x54000004); + + + +// ----------------------------- Field Item: USART1n_CR2_USTnEN --------------------------------- +// SVD Line: 17612 + +// SFDITEM_FIELD__USART1n_CR2_USTnEN +// USTnEN +// +// [Bit 9] RW (@ 0x54000004) Activate USART1n Block +// +// ( (unsigned int) USART1n_CR2 ) +// USTnEN +// +// +// + + +// ------------------------------ Field Item: USART1n_CR2_DBLSn --------------------------------- +// SVD Line: 17618 + +// SFDITEM_FIELD__USART1n_CR2_DBLSn +// DBLSn +// +// [Bit 8] RW (@ 0x54000004) Selects receiver sampling rate (only UART mode) +// +// ( (unsigned int) USART1n_CR2 ) +// DBLSn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_MASTERn -------------------------------- +// SVD Line: 17624 + +// SFDITEM_FIELD__USART1n_CR2_MASTERn +// MASTERn +// +// [Bit 7] RW (@ 0x54000004) Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin +// +// ( (unsigned int) USART1n_CR2 ) +// MASTERn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_LOOPSn --------------------------------- +// SVD Line: 17630 + +// SFDITEM_FIELD__USART1n_CR2_LOOPSn +// LOOPSn +// +// [Bit 6] RW (@ 0x54000004) Control the Loop Back mode of USART1n for test mode +// +// ( (unsigned int) USART1n_CR2 ) +// LOOPSn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_DISSCKn -------------------------------- +// SVD Line: 17636 + +// SFDITEM_FIELD__USART1n_CR2_DISSCKn +// DISSCKn +// +// [Bit 5] RW (@ 0x54000004) In synchronous mode operation, selects the waveform of SCK1n output +// +// ( (unsigned int) USART1n_CR2 ) +// DISSCKn +// +// +// + + +// ---------------------------- Field Item: USART1n_CR2_USTnSSEN -------------------------------- +// SVD Line: 17642 + +// SFDITEM_FIELD__USART1n_CR2_USTnSSEN +// USTnSSEN +// +// [Bit 4] RW (@ 0x54000004) This bit controls the SS1n pin operation (only SPI mode) +// +// ( (unsigned int) USART1n_CR2 ) +// USTnSSEN +// +// +// + + +// ------------------------------ Field Item: USART1n_CR2_FXCHn --------------------------------- +// SVD Line: 17648 + +// SFDITEM_FIELD__USART1n_CR2_FXCHn +// FXCHn +// +// [Bit 3] RW (@ 0x54000004) SPI1n port function exchange control (only SPI mode) +// +// ( (unsigned int) USART1n_CR2 ) +// FXCHn +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_USTnSB --------------------------------- +// SVD Line: 17654 + +// SFDITEM_FIELD__USART1n_CR2_USTnSB +// USTnSB +// +// [Bit 2] RW (@ 0x54000004) Selects the length of stop bit in Asynchronous or Synchronous mode +// +// ( (unsigned int) USART1n_CR2 ) +// USTnSB +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_USTnTX8 -------------------------------- +// SVD Line: 17660 + +// SFDITEM_FIELD__USART1n_CR2_USTnTX8 +// USTnTX8 +// +// [Bit 1] RW (@ 0x54000004) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART1n_CR2 ) +// USTnTX8 +// +// +// + + +// ----------------------------- Field Item: USART1n_CR2_USTnRX8 -------------------------------- +// SVD Line: 17666 + +// SFDITEM_FIELD__USART1n_CR2_USTnRX8 +// USTnRX8 +// +// [Bit 0] RW (@ 0x54000004) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART1n_CR2 ) +// USTnRX8 +// +// +// + + +// ------------------------------- Register RTree: USART1n_CR2 ---------------------------------- +// SVD Line: 17603 + +// SFDITEM_REG__USART1n_CR2 +// CR2 +// +// [Bits 31..0] RW (@ 0x54000004) USART1n Control Register 2 +// ( (unsigned int)((USART1n_CR2 >> 0) & 0xFFFFFFFF), ((USART1n_CR2 = (USART1n_CR2 & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__USART1n_CR2_USTnEN +// SFDITEM_FIELD__USART1n_CR2_DBLSn +// SFDITEM_FIELD__USART1n_CR2_MASTERn +// SFDITEM_FIELD__USART1n_CR2_LOOPSn +// SFDITEM_FIELD__USART1n_CR2_DISSCKn +// SFDITEM_FIELD__USART1n_CR2_USTnSSEN +// SFDITEM_FIELD__USART1n_CR2_FXCHn +// SFDITEM_FIELD__USART1n_CR2_USTnSB +// SFDITEM_FIELD__USART1n_CR2_USTnTX8 +// SFDITEM_FIELD__USART1n_CR2_USTnRX8 +// +// + + +// ---------------------------- Register Item Address: USART1n_ST ------------------------------- +// SVD Line: 17674 + +unsigned int USART1n_ST __AT (0x5400000C); + + + +// ------------------------------- Field Item: USART1n_ST_DREn ---------------------------------- +// SVD Line: 17683 + +// SFDITEM_FIELD__USART1n_ST_DREn +// DREn +// +// [Bit 7] RW (@ 0x5400000C) Transmit Data Register Empty Interrupt Flag +// +// ( (unsigned int) USART1n_ST ) +// DREn +// +// +// + + +// ------------------------------- Field Item: USART1n_ST_TXCn ---------------------------------- +// SVD Line: 17689 + +// SFDITEM_FIELD__USART1n_ST_TXCn +// TXCn +// +// [Bit 6] RW (@ 0x5400000C) Transmit Complete Interrupt Flag +// +// ( (unsigned int) USART1n_ST ) +// TXCn +// +// +// + + +// ------------------------------- Field Item: USART1n_ST_RXCn ---------------------------------- +// SVD Line: 17695 + +// SFDITEM_FIELD__USART1n_ST_RXCn +// RXCn +// +// [Bit 5] RO (@ 0x5400000C) Receive Complete Interrupt Flag +// +// ( (unsigned int) USART1n_ST ) +// RXCn +// +// +// + + +// ------------------------------ Field Item: USART1n_ST_WAKEn ---------------------------------- +// SVD Line: 17701 + +// SFDITEM_FIELD__USART1n_ST_WAKEn +// WAKEn +// +// [Bit 4] RW (@ 0x5400000C) Asynchronous Wake-Up Interrupt Flag +// +// ( (unsigned int) USART1n_ST ) +// WAKEn +// +// +// + + +// ------------------------------- Field Item: USART1n_ST_DORn ---------------------------------- +// SVD Line: 17707 + +// SFDITEM_FIELD__USART1n_ST_DORn +// DORn +// +// [Bit 2] RO (@ 0x5400000C) This bit is set if data OverRun takes place +// +// ( (unsigned int) USART1n_ST ) +// DORn +// +// +// + + +// ------------------------------- Field Item: USART1n_ST_FEn ----------------------------------- +// SVD Line: 17713 + +// SFDITEM_FIELD__USART1n_ST_FEn +// FEn +// +// [Bit 1] RW (@ 0x5400000C) This bit is set if the first stop bit of next character in the receive buffer is detected as '0' +// +// ( (unsigned int) USART1n_ST ) +// FEn +// +// +// + + +// ------------------------------- Field Item: USART1n_ST_PEn ----------------------------------- +// SVD Line: 17719 + +// SFDITEM_FIELD__USART1n_ST_PEn +// PEn +// +// [Bit 0] RW (@ 0x5400000C) This bit is set if the next character in the receive buffer has a Parity Error while parity is checked +// +// ( (unsigned int) USART1n_ST ) +// PEn +// +// +// + + +// ------------------------------- Register RTree: USART1n_ST ----------------------------------- +// SVD Line: 17674 + +// SFDITEM_REG__USART1n_ST +// ST +// +// [Bits 31..0] RW (@ 0x5400000C) USART1n Status Register +// ( (unsigned int)((USART1n_ST >> 0) & 0xFFFFFFFF), ((USART1n_ST = (USART1n_ST & ~(0xD3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD3) << 0 ) ) )) +// SFDITEM_FIELD__USART1n_ST_DREn +// SFDITEM_FIELD__USART1n_ST_TXCn +// SFDITEM_FIELD__USART1n_ST_RXCn +// SFDITEM_FIELD__USART1n_ST_WAKEn +// SFDITEM_FIELD__USART1n_ST_DORn +// SFDITEM_FIELD__USART1n_ST_FEn +// SFDITEM_FIELD__USART1n_ST_PEn +// +// + + +// --------------------------- Register Item Address: USART1n_BDR ------------------------------- +// SVD Line: 17727 + +unsigned int USART1n_BDR __AT (0x54000010); + + + +// ------------------------------ Field Item: USART1n_BDR_BDATA --------------------------------- +// SVD Line: 17736 + +// SFDITEM_FIELD__USART1n_BDR_BDATA +// BDATA +// +// [Bits 11..0] RW (@ 0x54000010) The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode +// +// ( (unsigned short)((USART1n_BDR >> 0) & 0xFFF), ((USART1n_BDR = (USART1n_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART1n_BDR ---------------------------------- +// SVD Line: 17727 + +// SFDITEM_REG__USART1n_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x54000010) USART1n Baud Rate Generation Register +// ( (unsigned int)((USART1n_BDR >> 0) & 0xFFFFFFFF), ((USART1n_BDR = (USART1n_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART1n_BDR_BDATA +// +// + + +// ---------------------------- Register Item Address: USART1n_DR ------------------------------- +// SVD Line: 17744 + +unsigned int USART1n_DR __AT (0x54000014); + + + +// ------------------------------- Field Item: USART1n_DR_DATA ---------------------------------- +// SVD Line: 17753 + +// SFDITEM_FIELD__USART1n_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x54000014) The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((USART1n_DR >> 0) & 0xFF), ((USART1n_DR = (USART1n_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART1n_DR ----------------------------------- +// SVD Line: 17744 + +// SFDITEM_REG__USART1n_DR +// DR +// +// [Bits 31..0] RW (@ 0x54000014) USART1n Data Register +// ( (unsigned int)((USART1n_DR >> 0) & 0xFFFFFFFF), ((USART1n_DR = (USART1n_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__USART1n_DR_DATA +// +// + + +// -------------------------------- Peripheral View: USART1n ------------------------------------ +// SVD Line: 17409 + +// USART1n +// USART1n +// SFDITEM_REG__USART1n_CR1 +// SFDITEM_REG__USART1n_CR2 +// SFDITEM_REG__USART1n_ST +// SFDITEM_REG__USART1n_BDR +// SFDITEM_REG__USART1n_DR +// +// + + +// --------------------------- Register Item Address: USART10_CR1 ------------------------------- +// SVD Line: 17423 + +unsigned int USART10_CR1 __AT (0x40003800); + + + +// ----------------------------- Field Item: USART10_CR1_USTnMS --------------------------------- +// SVD Line: 17432 + +// SFDITEM_FIELD__USART10_CR1_USTnMS +// USTnMS +// +// [Bits 15..14] RW (@ 0x40003800) \nUSART1n Operation Mode Selection\n0 : Async = Asynchronous Mode (UART)\n1 : Sync = Synchronous Mode (USRT)\n2 : Reserved - do not use\n3 : SPI = SPI Mode +// +// ( (unsigned int) USART10_CR1 ) +// USTnMS +// <0=> 0: Async = Asynchronous Mode (UART) +// <1=> 1: Sync = Synchronous Mode (USRT) +// <2=> 2: +// <3=> 3: SPI = SPI Mode +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_USTnP --------------------------------- +// SVD Line: 17455 + +// SFDITEM_FIELD__USART10_CR1_USTnP +// USTnP +// +// [Bits 13..12] RW (@ 0x40003800) \nSelects Parity Generation and Check method (only UART mode)\n0 : No = No Parity\n1 : Reserved - do not use\n2 : Even = Even Parity\n3 : Odd = Odd Parity +// +// ( (unsigned int) USART10_CR1 ) +// USTnP +// <0=> 0: No = No Parity +// <1=> 1: +// <2=> 2: Even = Even Parity +// <3=> 3: Odd = Odd Parity +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_USTnS --------------------------------- +// SVD Line: 17478 + +// SFDITEM_FIELD__USART10_CR1_USTnS +// USTnS +// +// [Bits 11..9] RW (@ 0x40003800) \nSelects the length of data bit in a frame when Asynchronous or Synchronous mode\n0 : 5bit = 5 bit\n1 : 6bit = 6 bit\n2 : 7bit = 7 bit\n3 : 8bit = 8 bit\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : 9bit = 9 bit +// +// ( (unsigned int) USART10_CR1 ) +// USTnS +// <0=> 0: 5bit = 5 bit +// <1=> 1: 6bit = 6 bit +// <2=> 2: 7bit = 7 bit +// <3=> 3: 8bit = 8 bit +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: 9bit = 9 bit +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_ORDn ---------------------------------- +// SVD Line: 17511 + +// SFDITEM_FIELD__USART10_CR1_ORDn +// ORDn +// +// [Bit 8] RW (@ 0x40003800) \nSelects the first data bit to be transmitted (only SPI mode)\n0 : lsbFirst = LSB First\n1 : msbFirst = MSB First +// +// ( (unsigned int) USART10_CR1 ) +// ORDn +// <0=> 0: lsbFirst = LSB First +// <1=> 1: msbFirst = MSB First +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_CPOLn --------------------------------- +// SVD Line: 17529 + +// SFDITEM_FIELD__USART10_CR1_CPOLn +// CPOLn +// +// [Bit 7] RW (@ 0x40003800) \nSelects the Clock Polarity of ACK in Synchronous or SPI mode\n0 : IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge\n1 : IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// ( (unsigned int) USART10_CR1 ) +// CPOLn +// <0=> 0: IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge +// <1=> 1: IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_CPHAn --------------------------------- +// SVD Line: 17547 + +// SFDITEM_FIELD__USART10_CR1_CPHAn +// CPHAn +// +// [Bit 6] RW (@ 0x40003800) \nThe CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode)\n0 : StartIdle = Start with idle state.\n1 : StartInverted = Start with inverted idle state. +// +// ( (unsigned int) USART10_CR1 ) +// CPHAn +// <0=> 0: StartIdle = Start with idle state. +// <1=> 1: StartInverted = Start with inverted idle state. +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_DRIEn --------------------------------- +// SVD Line: 17565 + +// SFDITEM_FIELD__USART10_CR1_DRIEn +// DRIEn +// +// [Bit 5] RW (@ 0x40003800) Transmit Data Register Empty Interrupt Enable +// +// ( (unsigned int) USART10_CR1 ) +// DRIEn +// +// +// + + +// ----------------------------- Field Item: USART10_CR1_TXCIEn --------------------------------- +// SVD Line: 17571 + +// SFDITEM_FIELD__USART10_CR1_TXCIEn +// TXCIEn +// +// [Bit 4] RW (@ 0x40003800) Transmit Complete Interrupt Enable +// +// ( (unsigned int) USART10_CR1 ) +// TXCIEn +// +// +// + + +// ----------------------------- Field Item: USART10_CR1_RXCIEn --------------------------------- +// SVD Line: 17577 + +// SFDITEM_FIELD__USART10_CR1_RXCIEn +// RXCIEn +// +// [Bit 3] RW (@ 0x40003800) Receive Complete Interrupt Enable +// +// ( (unsigned int) USART10_CR1 ) +// RXCIEn +// +// +// + + +// ----------------------------- Field Item: USART10_CR1_WAKEIEn -------------------------------- +// SVD Line: 17583 + +// SFDITEM_FIELD__USART10_CR1_WAKEIEn +// WAKEIEn +// +// [Bit 2] RW (@ 0x40003800) Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode +// +// ( (unsigned int) USART10_CR1 ) +// WAKEIEn +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_TXEn ---------------------------------- +// SVD Line: 17589 + +// SFDITEM_FIELD__USART10_CR1_TXEn +// TXEn +// +// [Bit 1] RW (@ 0x40003800) Enable the transmitter unit. +// +// ( (unsigned int) USART10_CR1 ) +// TXEn +// +// +// + + +// ------------------------------ Field Item: USART10_CR1_RXEn ---------------------------------- +// SVD Line: 17595 + +// SFDITEM_FIELD__USART10_CR1_RXEn +// RXEn +// +// [Bit 0] RW (@ 0x40003800) Enable the receiver unit. +// +// ( (unsigned int) USART10_CR1 ) +// RXEn +// +// +// + + +// ------------------------------- Register RTree: USART10_CR1 ---------------------------------- +// SVD Line: 17423 + +// SFDITEM_REG__USART10_CR1 +// CR1 +// +// [Bits 31..0] RW (@ 0x40003800) USART1n Control Register 1 +// ( (unsigned int)((USART10_CR1 >> 0) & 0xFFFFFFFF), ((USART10_CR1 = (USART10_CR1 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART10_CR1_USTnMS +// SFDITEM_FIELD__USART10_CR1_USTnP +// SFDITEM_FIELD__USART10_CR1_USTnS +// SFDITEM_FIELD__USART10_CR1_ORDn +// SFDITEM_FIELD__USART10_CR1_CPOLn +// SFDITEM_FIELD__USART10_CR1_CPHAn +// SFDITEM_FIELD__USART10_CR1_DRIEn +// SFDITEM_FIELD__USART10_CR1_TXCIEn +// SFDITEM_FIELD__USART10_CR1_RXCIEn +// SFDITEM_FIELD__USART10_CR1_WAKEIEn +// SFDITEM_FIELD__USART10_CR1_TXEn +// SFDITEM_FIELD__USART10_CR1_RXEn +// +// + + +// --------------------------- Register Item Address: USART10_CR2 ------------------------------- +// SVD Line: 17603 + +unsigned int USART10_CR2 __AT (0x40003804); + + + +// ----------------------------- Field Item: USART10_CR2_USTnEN --------------------------------- +// SVD Line: 17612 + +// SFDITEM_FIELD__USART10_CR2_USTnEN +// USTnEN +// +// [Bit 9] RW (@ 0x40003804) Activate USART1n Block +// +// ( (unsigned int) USART10_CR2 ) +// USTnEN +// +// +// + + +// ------------------------------ Field Item: USART10_CR2_DBLSn --------------------------------- +// SVD Line: 17618 + +// SFDITEM_FIELD__USART10_CR2_DBLSn +// DBLSn +// +// [Bit 8] RW (@ 0x40003804) Selects receiver sampling rate (only UART mode) +// +// ( (unsigned int) USART10_CR2 ) +// DBLSn +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_MASTERn -------------------------------- +// SVD Line: 17624 + +// SFDITEM_FIELD__USART10_CR2_MASTERn +// MASTERn +// +// [Bit 7] RW (@ 0x40003804) Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin +// +// ( (unsigned int) USART10_CR2 ) +// MASTERn +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_LOOPSn --------------------------------- +// SVD Line: 17630 + +// SFDITEM_FIELD__USART10_CR2_LOOPSn +// LOOPSn +// +// [Bit 6] RW (@ 0x40003804) Control the Loop Back mode of USART1n for test mode +// +// ( (unsigned int) USART10_CR2 ) +// LOOPSn +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_DISSCKn -------------------------------- +// SVD Line: 17636 + +// SFDITEM_FIELD__USART10_CR2_DISSCKn +// DISSCKn +// +// [Bit 5] RW (@ 0x40003804) In synchronous mode operation, selects the waveform of SCK1n output +// +// ( (unsigned int) USART10_CR2 ) +// DISSCKn +// +// +// + + +// ---------------------------- Field Item: USART10_CR2_USTnSSEN -------------------------------- +// SVD Line: 17642 + +// SFDITEM_FIELD__USART10_CR2_USTnSSEN +// USTnSSEN +// +// [Bit 4] RW (@ 0x40003804) This bit controls the SS1n pin operation (only SPI mode) +// +// ( (unsigned int) USART10_CR2 ) +// USTnSSEN +// +// +// + + +// ------------------------------ Field Item: USART10_CR2_FXCHn --------------------------------- +// SVD Line: 17648 + +// SFDITEM_FIELD__USART10_CR2_FXCHn +// FXCHn +// +// [Bit 3] RW (@ 0x40003804) SPI1n port function exchange control (only SPI mode) +// +// ( (unsigned int) USART10_CR2 ) +// FXCHn +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_USTnSB --------------------------------- +// SVD Line: 17654 + +// SFDITEM_FIELD__USART10_CR2_USTnSB +// USTnSB +// +// [Bit 2] RW (@ 0x40003804) Selects the length of stop bit in Asynchronous or Synchronous mode +// +// ( (unsigned int) USART10_CR2 ) +// USTnSB +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_USTnTX8 -------------------------------- +// SVD Line: 17660 + +// SFDITEM_FIELD__USART10_CR2_USTnTX8 +// USTnTX8 +// +// [Bit 1] RW (@ 0x40003804) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART10_CR2 ) +// USTnTX8 +// +// +// + + +// ----------------------------- Field Item: USART10_CR2_USTnRX8 -------------------------------- +// SVD Line: 17666 + +// SFDITEM_FIELD__USART10_CR2_USTnRX8 +// USTnRX8 +// +// [Bit 0] RW (@ 0x40003804) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART10_CR2 ) +// USTnRX8 +// +// +// + + +// ------------------------------- Register RTree: USART10_CR2 ---------------------------------- +// SVD Line: 17603 + +// SFDITEM_REG__USART10_CR2 +// CR2 +// +// [Bits 31..0] RW (@ 0x40003804) USART1n Control Register 2 +// ( (unsigned int)((USART10_CR2 >> 0) & 0xFFFFFFFF), ((USART10_CR2 = (USART10_CR2 & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__USART10_CR2_USTnEN +// SFDITEM_FIELD__USART10_CR2_DBLSn +// SFDITEM_FIELD__USART10_CR2_MASTERn +// SFDITEM_FIELD__USART10_CR2_LOOPSn +// SFDITEM_FIELD__USART10_CR2_DISSCKn +// SFDITEM_FIELD__USART10_CR2_USTnSSEN +// SFDITEM_FIELD__USART10_CR2_FXCHn +// SFDITEM_FIELD__USART10_CR2_USTnSB +// SFDITEM_FIELD__USART10_CR2_USTnTX8 +// SFDITEM_FIELD__USART10_CR2_USTnRX8 +// +// + + +// ---------------------------- Register Item Address: USART10_ST ------------------------------- +// SVD Line: 17674 + +unsigned int USART10_ST __AT (0x4000380C); + + + +// ------------------------------- Field Item: USART10_ST_DREn ---------------------------------- +// SVD Line: 17683 + +// SFDITEM_FIELD__USART10_ST_DREn +// DREn +// +// [Bit 7] RW (@ 0x4000380C) Transmit Data Register Empty Interrupt Flag +// +// ( (unsigned int) USART10_ST ) +// DREn +// +// +// + + +// ------------------------------- Field Item: USART10_ST_TXCn ---------------------------------- +// SVD Line: 17689 + +// SFDITEM_FIELD__USART10_ST_TXCn +// TXCn +// +// [Bit 6] RW (@ 0x4000380C) Transmit Complete Interrupt Flag +// +// ( (unsigned int) USART10_ST ) +// TXCn +// +// +// + + +// ------------------------------- Field Item: USART10_ST_RXCn ---------------------------------- +// SVD Line: 17695 + +// SFDITEM_FIELD__USART10_ST_RXCn +// RXCn +// +// [Bit 5] RO (@ 0x4000380C) Receive Complete Interrupt Flag +// +// ( (unsigned int) USART10_ST ) +// RXCn +// +// +// + + +// ------------------------------ Field Item: USART10_ST_WAKEn ---------------------------------- +// SVD Line: 17701 + +// SFDITEM_FIELD__USART10_ST_WAKEn +// WAKEn +// +// [Bit 4] RW (@ 0x4000380C) Asynchronous Wake-Up Interrupt Flag +// +// ( (unsigned int) USART10_ST ) +// WAKEn +// +// +// + + +// ------------------------------- Field Item: USART10_ST_DORn ---------------------------------- +// SVD Line: 17707 + +// SFDITEM_FIELD__USART10_ST_DORn +// DORn +// +// [Bit 2] RO (@ 0x4000380C) This bit is set if data OverRun takes place +// +// ( (unsigned int) USART10_ST ) +// DORn +// +// +// + + +// ------------------------------- Field Item: USART10_ST_FEn ----------------------------------- +// SVD Line: 17713 + +// SFDITEM_FIELD__USART10_ST_FEn +// FEn +// +// [Bit 1] RW (@ 0x4000380C) This bit is set if the first stop bit of next character in the receive buffer is detected as '0' +// +// ( (unsigned int) USART10_ST ) +// FEn +// +// +// + + +// ------------------------------- Field Item: USART10_ST_PEn ----------------------------------- +// SVD Line: 17719 + +// SFDITEM_FIELD__USART10_ST_PEn +// PEn +// +// [Bit 0] RW (@ 0x4000380C) This bit is set if the next character in the receive buffer has a Parity Error while parity is checked +// +// ( (unsigned int) USART10_ST ) +// PEn +// +// +// + + +// ------------------------------- Register RTree: USART10_ST ----------------------------------- +// SVD Line: 17674 + +// SFDITEM_REG__USART10_ST +// ST +// +// [Bits 31..0] RW (@ 0x4000380C) USART1n Status Register +// ( (unsigned int)((USART10_ST >> 0) & 0xFFFFFFFF), ((USART10_ST = (USART10_ST & ~(0xD3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD3) << 0 ) ) )) +// SFDITEM_FIELD__USART10_ST_DREn +// SFDITEM_FIELD__USART10_ST_TXCn +// SFDITEM_FIELD__USART10_ST_RXCn +// SFDITEM_FIELD__USART10_ST_WAKEn +// SFDITEM_FIELD__USART10_ST_DORn +// SFDITEM_FIELD__USART10_ST_FEn +// SFDITEM_FIELD__USART10_ST_PEn +// +// + + +// --------------------------- Register Item Address: USART10_BDR ------------------------------- +// SVD Line: 17727 + +unsigned int USART10_BDR __AT (0x40003810); + + + +// ------------------------------ Field Item: USART10_BDR_BDATA --------------------------------- +// SVD Line: 17736 + +// SFDITEM_FIELD__USART10_BDR_BDATA +// BDATA +// +// [Bits 11..0] RW (@ 0x40003810) The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode +// +// ( (unsigned short)((USART10_BDR >> 0) & 0xFFF), ((USART10_BDR = (USART10_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART10_BDR ---------------------------------- +// SVD Line: 17727 + +// SFDITEM_REG__USART10_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40003810) USART1n Baud Rate Generation Register +// ( (unsigned int)((USART10_BDR >> 0) & 0xFFFFFFFF), ((USART10_BDR = (USART10_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART10_BDR_BDATA +// +// + + +// ---------------------------- Register Item Address: USART10_DR ------------------------------- +// SVD Line: 17744 + +unsigned int USART10_DR __AT (0x40003814); + + + +// ------------------------------- Field Item: USART10_DR_DATA ---------------------------------- +// SVD Line: 17753 + +// SFDITEM_FIELD__USART10_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40003814) The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((USART10_DR >> 0) & 0xFF), ((USART10_DR = (USART10_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART10_DR ----------------------------------- +// SVD Line: 17744 + +// SFDITEM_REG__USART10_DR +// DR +// +// [Bits 31..0] RW (@ 0x40003814) USART1n Data Register +// ( (unsigned int)((USART10_DR >> 0) & 0xFFFFFFFF), ((USART10_DR = (USART10_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__USART10_DR_DATA +// +// + + +// -------------------------------- Peripheral View: USART10 ------------------------------------ +// SVD Line: 17763 + +// USART10 +// USART10 +// SFDITEM_REG__USART10_CR1 +// SFDITEM_REG__USART10_CR2 +// SFDITEM_REG__USART10_ST +// SFDITEM_REG__USART10_BDR +// SFDITEM_REG__USART10_DR +// +// + + +// --------------------------- Register Item Address: USART11_CR1 ------------------------------- +// SVD Line: 17423 + +unsigned int USART11_CR1 __AT (0x40003900); + + + +// ----------------------------- Field Item: USART11_CR1_USTnMS --------------------------------- +// SVD Line: 17432 + +// SFDITEM_FIELD__USART11_CR1_USTnMS +// USTnMS +// +// [Bits 15..14] RW (@ 0x40003900) \nUSART1n Operation Mode Selection\n0 : Async = Asynchronous Mode (UART)\n1 : Sync = Synchronous Mode (USRT)\n2 : Reserved - do not use\n3 : SPI = SPI Mode +// +// ( (unsigned int) USART11_CR1 ) +// USTnMS +// <0=> 0: Async = Asynchronous Mode (UART) +// <1=> 1: Sync = Synchronous Mode (USRT) +// <2=> 2: +// <3=> 3: SPI = SPI Mode +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_USTnP --------------------------------- +// SVD Line: 17455 + +// SFDITEM_FIELD__USART11_CR1_USTnP +// USTnP +// +// [Bits 13..12] RW (@ 0x40003900) \nSelects Parity Generation and Check method (only UART mode)\n0 : No = No Parity\n1 : Reserved - do not use\n2 : Even = Even Parity\n3 : Odd = Odd Parity +// +// ( (unsigned int) USART11_CR1 ) +// USTnP +// <0=> 0: No = No Parity +// <1=> 1: +// <2=> 2: Even = Even Parity +// <3=> 3: Odd = Odd Parity +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_USTnS --------------------------------- +// SVD Line: 17478 + +// SFDITEM_FIELD__USART11_CR1_USTnS +// USTnS +// +// [Bits 11..9] RW (@ 0x40003900) \nSelects the length of data bit in a frame when Asynchronous or Synchronous mode\n0 : 5bit = 5 bit\n1 : 6bit = 6 bit\n2 : 7bit = 7 bit\n3 : 8bit = 8 bit\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : 9bit = 9 bit +// +// ( (unsigned int) USART11_CR1 ) +// USTnS +// <0=> 0: 5bit = 5 bit +// <1=> 1: 6bit = 6 bit +// <2=> 2: 7bit = 7 bit +// <3=> 3: 8bit = 8 bit +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: 9bit = 9 bit +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_ORDn ---------------------------------- +// SVD Line: 17511 + +// SFDITEM_FIELD__USART11_CR1_ORDn +// ORDn +// +// [Bit 8] RW (@ 0x40003900) \nSelects the first data bit to be transmitted (only SPI mode)\n0 : lsbFirst = LSB First\n1 : msbFirst = MSB First +// +// ( (unsigned int) USART11_CR1 ) +// ORDn +// <0=> 0: lsbFirst = LSB First +// <1=> 1: msbFirst = MSB First +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_CPOLn --------------------------------- +// SVD Line: 17529 + +// SFDITEM_FIELD__USART11_CR1_CPOLn +// CPOLn +// +// [Bit 7] RW (@ 0x40003900) \nSelects the Clock Polarity of ACK in Synchronous or SPI mode\n0 : IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge\n1 : IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// ( (unsigned int) USART11_CR1 ) +// CPOLn +// <0=> 0: IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge +// <1=> 1: IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_CPHAn --------------------------------- +// SVD Line: 17547 + +// SFDITEM_FIELD__USART11_CR1_CPHAn +// CPHAn +// +// [Bit 6] RW (@ 0x40003900) \nThe CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode)\n0 : StartIdle = Start with idle state.\n1 : StartInverted = Start with inverted idle state. +// +// ( (unsigned int) USART11_CR1 ) +// CPHAn +// <0=> 0: StartIdle = Start with idle state. +// <1=> 1: StartInverted = Start with inverted idle state. +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_DRIEn --------------------------------- +// SVD Line: 17565 + +// SFDITEM_FIELD__USART11_CR1_DRIEn +// DRIEn +// +// [Bit 5] RW (@ 0x40003900) Transmit Data Register Empty Interrupt Enable +// +// ( (unsigned int) USART11_CR1 ) +// DRIEn +// +// +// + + +// ----------------------------- Field Item: USART11_CR1_TXCIEn --------------------------------- +// SVD Line: 17571 + +// SFDITEM_FIELD__USART11_CR1_TXCIEn +// TXCIEn +// +// [Bit 4] RW (@ 0x40003900) Transmit Complete Interrupt Enable +// +// ( (unsigned int) USART11_CR1 ) +// TXCIEn +// +// +// + + +// ----------------------------- Field Item: USART11_CR1_RXCIEn --------------------------------- +// SVD Line: 17577 + +// SFDITEM_FIELD__USART11_CR1_RXCIEn +// RXCIEn +// +// [Bit 3] RW (@ 0x40003900) Receive Complete Interrupt Enable +// +// ( (unsigned int) USART11_CR1 ) +// RXCIEn +// +// +// + + +// ----------------------------- Field Item: USART11_CR1_WAKEIEn -------------------------------- +// SVD Line: 17583 + +// SFDITEM_FIELD__USART11_CR1_WAKEIEn +// WAKEIEn +// +// [Bit 2] RW (@ 0x40003900) Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode +// +// ( (unsigned int) USART11_CR1 ) +// WAKEIEn +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_TXEn ---------------------------------- +// SVD Line: 17589 + +// SFDITEM_FIELD__USART11_CR1_TXEn +// TXEn +// +// [Bit 1] RW (@ 0x40003900) Enable the transmitter unit. +// +// ( (unsigned int) USART11_CR1 ) +// TXEn +// +// +// + + +// ------------------------------ Field Item: USART11_CR1_RXEn ---------------------------------- +// SVD Line: 17595 + +// SFDITEM_FIELD__USART11_CR1_RXEn +// RXEn +// +// [Bit 0] RW (@ 0x40003900) Enable the receiver unit. +// +// ( (unsigned int) USART11_CR1 ) +// RXEn +// +// +// + + +// ------------------------------- Register RTree: USART11_CR1 ---------------------------------- +// SVD Line: 17423 + +// SFDITEM_REG__USART11_CR1 +// CR1 +// +// [Bits 31..0] RW (@ 0x40003900) USART1n Control Register 1 +// ( (unsigned int)((USART11_CR1 >> 0) & 0xFFFFFFFF), ((USART11_CR1 = (USART11_CR1 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART11_CR1_USTnMS +// SFDITEM_FIELD__USART11_CR1_USTnP +// SFDITEM_FIELD__USART11_CR1_USTnS +// SFDITEM_FIELD__USART11_CR1_ORDn +// SFDITEM_FIELD__USART11_CR1_CPOLn +// SFDITEM_FIELD__USART11_CR1_CPHAn +// SFDITEM_FIELD__USART11_CR1_DRIEn +// SFDITEM_FIELD__USART11_CR1_TXCIEn +// SFDITEM_FIELD__USART11_CR1_RXCIEn +// SFDITEM_FIELD__USART11_CR1_WAKEIEn +// SFDITEM_FIELD__USART11_CR1_TXEn +// SFDITEM_FIELD__USART11_CR1_RXEn +// +// + + +// --------------------------- Register Item Address: USART11_CR2 ------------------------------- +// SVD Line: 17603 + +unsigned int USART11_CR2 __AT (0x40003904); + + + +// ----------------------------- Field Item: USART11_CR2_USTnEN --------------------------------- +// SVD Line: 17612 + +// SFDITEM_FIELD__USART11_CR2_USTnEN +// USTnEN +// +// [Bit 9] RW (@ 0x40003904) Activate USART1n Block +// +// ( (unsigned int) USART11_CR2 ) +// USTnEN +// +// +// + + +// ------------------------------ Field Item: USART11_CR2_DBLSn --------------------------------- +// SVD Line: 17618 + +// SFDITEM_FIELD__USART11_CR2_DBLSn +// DBLSn +// +// [Bit 8] RW (@ 0x40003904) Selects receiver sampling rate (only UART mode) +// +// ( (unsigned int) USART11_CR2 ) +// DBLSn +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_MASTERn -------------------------------- +// SVD Line: 17624 + +// SFDITEM_FIELD__USART11_CR2_MASTERn +// MASTERn +// +// [Bit 7] RW (@ 0x40003904) Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin +// +// ( (unsigned int) USART11_CR2 ) +// MASTERn +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_LOOPSn --------------------------------- +// SVD Line: 17630 + +// SFDITEM_FIELD__USART11_CR2_LOOPSn +// LOOPSn +// +// [Bit 6] RW (@ 0x40003904) Control the Loop Back mode of USART1n for test mode +// +// ( (unsigned int) USART11_CR2 ) +// LOOPSn +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_DISSCKn -------------------------------- +// SVD Line: 17636 + +// SFDITEM_FIELD__USART11_CR2_DISSCKn +// DISSCKn +// +// [Bit 5] RW (@ 0x40003904) In synchronous mode operation, selects the waveform of SCK1n output +// +// ( (unsigned int) USART11_CR2 ) +// DISSCKn +// +// +// + + +// ---------------------------- Field Item: USART11_CR2_USTnSSEN -------------------------------- +// SVD Line: 17642 + +// SFDITEM_FIELD__USART11_CR2_USTnSSEN +// USTnSSEN +// +// [Bit 4] RW (@ 0x40003904) This bit controls the SS1n pin operation (only SPI mode) +// +// ( (unsigned int) USART11_CR2 ) +// USTnSSEN +// +// +// + + +// ------------------------------ Field Item: USART11_CR2_FXCHn --------------------------------- +// SVD Line: 17648 + +// SFDITEM_FIELD__USART11_CR2_FXCHn +// FXCHn +// +// [Bit 3] RW (@ 0x40003904) SPI1n port function exchange control (only SPI mode) +// +// ( (unsigned int) USART11_CR2 ) +// FXCHn +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_USTnSB --------------------------------- +// SVD Line: 17654 + +// SFDITEM_FIELD__USART11_CR2_USTnSB +// USTnSB +// +// [Bit 2] RW (@ 0x40003904) Selects the length of stop bit in Asynchronous or Synchronous mode +// +// ( (unsigned int) USART11_CR2 ) +// USTnSB +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_USTnTX8 -------------------------------- +// SVD Line: 17660 + +// SFDITEM_FIELD__USART11_CR2_USTnTX8 +// USTnTX8 +// +// [Bit 1] RW (@ 0x40003904) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART11_CR2 ) +// USTnTX8 +// +// +// + + +// ----------------------------- Field Item: USART11_CR2_USTnRX8 -------------------------------- +// SVD Line: 17666 + +// SFDITEM_FIELD__USART11_CR2_USTnRX8 +// USTnRX8 +// +// [Bit 0] RW (@ 0x40003904) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART11_CR2 ) +// USTnRX8 +// +// +// + + +// ------------------------------- Register RTree: USART11_CR2 ---------------------------------- +// SVD Line: 17603 + +// SFDITEM_REG__USART11_CR2 +// CR2 +// +// [Bits 31..0] RW (@ 0x40003904) USART1n Control Register 2 +// ( (unsigned int)((USART11_CR2 >> 0) & 0xFFFFFFFF), ((USART11_CR2 = (USART11_CR2 & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__USART11_CR2_USTnEN +// SFDITEM_FIELD__USART11_CR2_DBLSn +// SFDITEM_FIELD__USART11_CR2_MASTERn +// SFDITEM_FIELD__USART11_CR2_LOOPSn +// SFDITEM_FIELD__USART11_CR2_DISSCKn +// SFDITEM_FIELD__USART11_CR2_USTnSSEN +// SFDITEM_FIELD__USART11_CR2_FXCHn +// SFDITEM_FIELD__USART11_CR2_USTnSB +// SFDITEM_FIELD__USART11_CR2_USTnTX8 +// SFDITEM_FIELD__USART11_CR2_USTnRX8 +// +// + + +// ---------------------------- Register Item Address: USART11_ST ------------------------------- +// SVD Line: 17674 + +unsigned int USART11_ST __AT (0x4000390C); + + + +// ------------------------------- Field Item: USART11_ST_DREn ---------------------------------- +// SVD Line: 17683 + +// SFDITEM_FIELD__USART11_ST_DREn +// DREn +// +// [Bit 7] RW (@ 0x4000390C) Transmit Data Register Empty Interrupt Flag +// +// ( (unsigned int) USART11_ST ) +// DREn +// +// +// + + +// ------------------------------- Field Item: USART11_ST_TXCn ---------------------------------- +// SVD Line: 17689 + +// SFDITEM_FIELD__USART11_ST_TXCn +// TXCn +// +// [Bit 6] RW (@ 0x4000390C) Transmit Complete Interrupt Flag +// +// ( (unsigned int) USART11_ST ) +// TXCn +// +// +// + + +// ------------------------------- Field Item: USART11_ST_RXCn ---------------------------------- +// SVD Line: 17695 + +// SFDITEM_FIELD__USART11_ST_RXCn +// RXCn +// +// [Bit 5] RO (@ 0x4000390C) Receive Complete Interrupt Flag +// +// ( (unsigned int) USART11_ST ) +// RXCn +// +// +// + + +// ------------------------------ Field Item: USART11_ST_WAKEn ---------------------------------- +// SVD Line: 17701 + +// SFDITEM_FIELD__USART11_ST_WAKEn +// WAKEn +// +// [Bit 4] RW (@ 0x4000390C) Asynchronous Wake-Up Interrupt Flag +// +// ( (unsigned int) USART11_ST ) +// WAKEn +// +// +// + + +// ------------------------------- Field Item: USART11_ST_DORn ---------------------------------- +// SVD Line: 17707 + +// SFDITEM_FIELD__USART11_ST_DORn +// DORn +// +// [Bit 2] RO (@ 0x4000390C) This bit is set if data OverRun takes place +// +// ( (unsigned int) USART11_ST ) +// DORn +// +// +// + + +// ------------------------------- Field Item: USART11_ST_FEn ----------------------------------- +// SVD Line: 17713 + +// SFDITEM_FIELD__USART11_ST_FEn +// FEn +// +// [Bit 1] RW (@ 0x4000390C) This bit is set if the first stop bit of next character in the receive buffer is detected as '0' +// +// ( (unsigned int) USART11_ST ) +// FEn +// +// +// + + +// ------------------------------- Field Item: USART11_ST_PEn ----------------------------------- +// SVD Line: 17719 + +// SFDITEM_FIELD__USART11_ST_PEn +// PEn +// +// [Bit 0] RW (@ 0x4000390C) This bit is set if the next character in the receive buffer has a Parity Error while parity is checked +// +// ( (unsigned int) USART11_ST ) +// PEn +// +// +// + + +// ------------------------------- Register RTree: USART11_ST ----------------------------------- +// SVD Line: 17674 + +// SFDITEM_REG__USART11_ST +// ST +// +// [Bits 31..0] RW (@ 0x4000390C) USART1n Status Register +// ( (unsigned int)((USART11_ST >> 0) & 0xFFFFFFFF), ((USART11_ST = (USART11_ST & ~(0xD3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD3) << 0 ) ) )) +// SFDITEM_FIELD__USART11_ST_DREn +// SFDITEM_FIELD__USART11_ST_TXCn +// SFDITEM_FIELD__USART11_ST_RXCn +// SFDITEM_FIELD__USART11_ST_WAKEn +// SFDITEM_FIELD__USART11_ST_DORn +// SFDITEM_FIELD__USART11_ST_FEn +// SFDITEM_FIELD__USART11_ST_PEn +// +// + + +// --------------------------- Register Item Address: USART11_BDR ------------------------------- +// SVD Line: 17727 + +unsigned int USART11_BDR __AT (0x40003910); + + + +// ------------------------------ Field Item: USART11_BDR_BDATA --------------------------------- +// SVD Line: 17736 + +// SFDITEM_FIELD__USART11_BDR_BDATA +// BDATA +// +// [Bits 11..0] RW (@ 0x40003910) The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode +// +// ( (unsigned short)((USART11_BDR >> 0) & 0xFFF), ((USART11_BDR = (USART11_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART11_BDR ---------------------------------- +// SVD Line: 17727 + +// SFDITEM_REG__USART11_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40003910) USART1n Baud Rate Generation Register +// ( (unsigned int)((USART11_BDR >> 0) & 0xFFFFFFFF), ((USART11_BDR = (USART11_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART11_BDR_BDATA +// +// + + +// ---------------------------- Register Item Address: USART11_DR ------------------------------- +// SVD Line: 17744 + +unsigned int USART11_DR __AT (0x40003914); + + + +// ------------------------------- Field Item: USART11_DR_DATA ---------------------------------- +// SVD Line: 17753 + +// SFDITEM_FIELD__USART11_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40003914) The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((USART11_DR >> 0) & 0xFF), ((USART11_DR = (USART11_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART11_DR ----------------------------------- +// SVD Line: 17744 + +// SFDITEM_REG__USART11_DR +// DR +// +// [Bits 31..0] RW (@ 0x40003914) USART1n Data Register +// ( (unsigned int)((USART11_DR >> 0) & 0xFFFFFFFF), ((USART11_DR = (USART11_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__USART11_DR_DATA +// +// + + +// -------------------------------- Peripheral View: USART11 ------------------------------------ +// SVD Line: 17782 + +// USART11 +// USART11 +// SFDITEM_REG__USART11_CR1 +// SFDITEM_REG__USART11_CR2 +// SFDITEM_REG__USART11_ST +// SFDITEM_REG__USART11_BDR +// SFDITEM_REG__USART11_DR +// +// + + +// --------------------------- Register Item Address: USART12_CR1 ------------------------------- +// SVD Line: 17423 + +unsigned int USART12_CR1 __AT (0x40003A00); + + + +// ----------------------------- Field Item: USART12_CR1_USTnMS --------------------------------- +// SVD Line: 17432 + +// SFDITEM_FIELD__USART12_CR1_USTnMS +// USTnMS +// +// [Bits 15..14] RW (@ 0x40003A00) \nUSART1n Operation Mode Selection\n0 : Async = Asynchronous Mode (UART)\n1 : Sync = Synchronous Mode (USRT)\n2 : Reserved - do not use\n3 : SPI = SPI Mode +// +// ( (unsigned int) USART12_CR1 ) +// USTnMS +// <0=> 0: Async = Asynchronous Mode (UART) +// <1=> 1: Sync = Synchronous Mode (USRT) +// <2=> 2: +// <3=> 3: SPI = SPI Mode +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_USTnP --------------------------------- +// SVD Line: 17455 + +// SFDITEM_FIELD__USART12_CR1_USTnP +// USTnP +// +// [Bits 13..12] RW (@ 0x40003A00) \nSelects Parity Generation and Check method (only UART mode)\n0 : No = No Parity\n1 : Reserved - do not use\n2 : Even = Even Parity\n3 : Odd = Odd Parity +// +// ( (unsigned int) USART12_CR1 ) +// USTnP +// <0=> 0: No = No Parity +// <1=> 1: +// <2=> 2: Even = Even Parity +// <3=> 3: Odd = Odd Parity +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_USTnS --------------------------------- +// SVD Line: 17478 + +// SFDITEM_FIELD__USART12_CR1_USTnS +// USTnS +// +// [Bits 11..9] RW (@ 0x40003A00) \nSelects the length of data bit in a frame when Asynchronous or Synchronous mode\n0 : 5bit = 5 bit\n1 : 6bit = 6 bit\n2 : 7bit = 7 bit\n3 : 8bit = 8 bit\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : 9bit = 9 bit +// +// ( (unsigned int) USART12_CR1 ) +// USTnS +// <0=> 0: 5bit = 5 bit +// <1=> 1: 6bit = 6 bit +// <2=> 2: 7bit = 7 bit +// <3=> 3: 8bit = 8 bit +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: 9bit = 9 bit +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_ORDn ---------------------------------- +// SVD Line: 17511 + +// SFDITEM_FIELD__USART12_CR1_ORDn +// ORDn +// +// [Bit 8] RW (@ 0x40003A00) \nSelects the first data bit to be transmitted (only SPI mode)\n0 : lsbFirst = LSB First\n1 : msbFirst = MSB First +// +// ( (unsigned int) USART12_CR1 ) +// ORDn +// <0=> 0: lsbFirst = LSB First +// <1=> 1: msbFirst = MSB First +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_CPOLn --------------------------------- +// SVD Line: 17529 + +// SFDITEM_FIELD__USART12_CR1_CPOLn +// CPOLn +// +// [Bit 7] RW (@ 0x40003A00) \nSelects the Clock Polarity of ACK in Synchronous or SPI mode\n0 : IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge\n1 : IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// ( (unsigned int) USART12_CR1 ) +// CPOLn +// <0=> 0: IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge +// <1=> 1: IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_CPHAn --------------------------------- +// SVD Line: 17547 + +// SFDITEM_FIELD__USART12_CR1_CPHAn +// CPHAn +// +// [Bit 6] RW (@ 0x40003A00) \nThe CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode)\n0 : StartIdle = Start with idle state.\n1 : StartInverted = Start with inverted idle state. +// +// ( (unsigned int) USART12_CR1 ) +// CPHAn +// <0=> 0: StartIdle = Start with idle state. +// <1=> 1: StartInverted = Start with inverted idle state. +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_DRIEn --------------------------------- +// SVD Line: 17565 + +// SFDITEM_FIELD__USART12_CR1_DRIEn +// DRIEn +// +// [Bit 5] RW (@ 0x40003A00) Transmit Data Register Empty Interrupt Enable +// +// ( (unsigned int) USART12_CR1 ) +// DRIEn +// +// +// + + +// ----------------------------- Field Item: USART12_CR1_TXCIEn --------------------------------- +// SVD Line: 17571 + +// SFDITEM_FIELD__USART12_CR1_TXCIEn +// TXCIEn +// +// [Bit 4] RW (@ 0x40003A00) Transmit Complete Interrupt Enable +// +// ( (unsigned int) USART12_CR1 ) +// TXCIEn +// +// +// + + +// ----------------------------- Field Item: USART12_CR1_RXCIEn --------------------------------- +// SVD Line: 17577 + +// SFDITEM_FIELD__USART12_CR1_RXCIEn +// RXCIEn +// +// [Bit 3] RW (@ 0x40003A00) Receive Complete Interrupt Enable +// +// ( (unsigned int) USART12_CR1 ) +// RXCIEn +// +// +// + + +// ----------------------------- Field Item: USART12_CR1_WAKEIEn -------------------------------- +// SVD Line: 17583 + +// SFDITEM_FIELD__USART12_CR1_WAKEIEn +// WAKEIEn +// +// [Bit 2] RW (@ 0x40003A00) Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode +// +// ( (unsigned int) USART12_CR1 ) +// WAKEIEn +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_TXEn ---------------------------------- +// SVD Line: 17589 + +// SFDITEM_FIELD__USART12_CR1_TXEn +// TXEn +// +// [Bit 1] RW (@ 0x40003A00) Enable the transmitter unit. +// +// ( (unsigned int) USART12_CR1 ) +// TXEn +// +// +// + + +// ------------------------------ Field Item: USART12_CR1_RXEn ---------------------------------- +// SVD Line: 17595 + +// SFDITEM_FIELD__USART12_CR1_RXEn +// RXEn +// +// [Bit 0] RW (@ 0x40003A00) Enable the receiver unit. +// +// ( (unsigned int) USART12_CR1 ) +// RXEn +// +// +// + + +// ------------------------------- Register RTree: USART12_CR1 ---------------------------------- +// SVD Line: 17423 + +// SFDITEM_REG__USART12_CR1 +// CR1 +// +// [Bits 31..0] RW (@ 0x40003A00) USART1n Control Register 1 +// ( (unsigned int)((USART12_CR1 >> 0) & 0xFFFFFFFF), ((USART12_CR1 = (USART12_CR1 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART12_CR1_USTnMS +// SFDITEM_FIELD__USART12_CR1_USTnP +// SFDITEM_FIELD__USART12_CR1_USTnS +// SFDITEM_FIELD__USART12_CR1_ORDn +// SFDITEM_FIELD__USART12_CR1_CPOLn +// SFDITEM_FIELD__USART12_CR1_CPHAn +// SFDITEM_FIELD__USART12_CR1_DRIEn +// SFDITEM_FIELD__USART12_CR1_TXCIEn +// SFDITEM_FIELD__USART12_CR1_RXCIEn +// SFDITEM_FIELD__USART12_CR1_WAKEIEn +// SFDITEM_FIELD__USART12_CR1_TXEn +// SFDITEM_FIELD__USART12_CR1_RXEn +// +// + + +// --------------------------- Register Item Address: USART12_CR2 ------------------------------- +// SVD Line: 17603 + +unsigned int USART12_CR2 __AT (0x40003A04); + + + +// ----------------------------- Field Item: USART12_CR2_USTnEN --------------------------------- +// SVD Line: 17612 + +// SFDITEM_FIELD__USART12_CR2_USTnEN +// USTnEN +// +// [Bit 9] RW (@ 0x40003A04) Activate USART1n Block +// +// ( (unsigned int) USART12_CR2 ) +// USTnEN +// +// +// + + +// ------------------------------ Field Item: USART12_CR2_DBLSn --------------------------------- +// SVD Line: 17618 + +// SFDITEM_FIELD__USART12_CR2_DBLSn +// DBLSn +// +// [Bit 8] RW (@ 0x40003A04) Selects receiver sampling rate (only UART mode) +// +// ( (unsigned int) USART12_CR2 ) +// DBLSn +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_MASTERn -------------------------------- +// SVD Line: 17624 + +// SFDITEM_FIELD__USART12_CR2_MASTERn +// MASTERn +// +// [Bit 7] RW (@ 0x40003A04) Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin +// +// ( (unsigned int) USART12_CR2 ) +// MASTERn +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_LOOPSn --------------------------------- +// SVD Line: 17630 + +// SFDITEM_FIELD__USART12_CR2_LOOPSn +// LOOPSn +// +// [Bit 6] RW (@ 0x40003A04) Control the Loop Back mode of USART1n for test mode +// +// ( (unsigned int) USART12_CR2 ) +// LOOPSn +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_DISSCKn -------------------------------- +// SVD Line: 17636 + +// SFDITEM_FIELD__USART12_CR2_DISSCKn +// DISSCKn +// +// [Bit 5] RW (@ 0x40003A04) In synchronous mode operation, selects the waveform of SCK1n output +// +// ( (unsigned int) USART12_CR2 ) +// DISSCKn +// +// +// + + +// ---------------------------- Field Item: USART12_CR2_USTnSSEN -------------------------------- +// SVD Line: 17642 + +// SFDITEM_FIELD__USART12_CR2_USTnSSEN +// USTnSSEN +// +// [Bit 4] RW (@ 0x40003A04) This bit controls the SS1n pin operation (only SPI mode) +// +// ( (unsigned int) USART12_CR2 ) +// USTnSSEN +// +// +// + + +// ------------------------------ Field Item: USART12_CR2_FXCHn --------------------------------- +// SVD Line: 17648 + +// SFDITEM_FIELD__USART12_CR2_FXCHn +// FXCHn +// +// [Bit 3] RW (@ 0x40003A04) SPI1n port function exchange control (only SPI mode) +// +// ( (unsigned int) USART12_CR2 ) +// FXCHn +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_USTnSB --------------------------------- +// SVD Line: 17654 + +// SFDITEM_FIELD__USART12_CR2_USTnSB +// USTnSB +// +// [Bit 2] RW (@ 0x40003A04) Selects the length of stop bit in Asynchronous or Synchronous mode +// +// ( (unsigned int) USART12_CR2 ) +// USTnSB +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_USTnTX8 -------------------------------- +// SVD Line: 17660 + +// SFDITEM_FIELD__USART12_CR2_USTnTX8 +// USTnTX8 +// +// [Bit 1] RW (@ 0x40003A04) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART12_CR2 ) +// USTnTX8 +// +// +// + + +// ----------------------------- Field Item: USART12_CR2_USTnRX8 -------------------------------- +// SVD Line: 17666 + +// SFDITEM_FIELD__USART12_CR2_USTnRX8 +// USTnRX8 +// +// [Bit 0] RW (@ 0x40003A04) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART12_CR2 ) +// USTnRX8 +// +// +// + + +// ------------------------------- Register RTree: USART12_CR2 ---------------------------------- +// SVD Line: 17603 + +// SFDITEM_REG__USART12_CR2 +// CR2 +// +// [Bits 31..0] RW (@ 0x40003A04) USART1n Control Register 2 +// ( (unsigned int)((USART12_CR2 >> 0) & 0xFFFFFFFF), ((USART12_CR2 = (USART12_CR2 & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__USART12_CR2_USTnEN +// SFDITEM_FIELD__USART12_CR2_DBLSn +// SFDITEM_FIELD__USART12_CR2_MASTERn +// SFDITEM_FIELD__USART12_CR2_LOOPSn +// SFDITEM_FIELD__USART12_CR2_DISSCKn +// SFDITEM_FIELD__USART12_CR2_USTnSSEN +// SFDITEM_FIELD__USART12_CR2_FXCHn +// SFDITEM_FIELD__USART12_CR2_USTnSB +// SFDITEM_FIELD__USART12_CR2_USTnTX8 +// SFDITEM_FIELD__USART12_CR2_USTnRX8 +// +// + + +// ---------------------------- Register Item Address: USART12_ST ------------------------------- +// SVD Line: 17674 + +unsigned int USART12_ST __AT (0x40003A0C); + + + +// ------------------------------- Field Item: USART12_ST_DREn ---------------------------------- +// SVD Line: 17683 + +// SFDITEM_FIELD__USART12_ST_DREn +// DREn +// +// [Bit 7] RW (@ 0x40003A0C) Transmit Data Register Empty Interrupt Flag +// +// ( (unsigned int) USART12_ST ) +// DREn +// +// +// + + +// ------------------------------- Field Item: USART12_ST_TXCn ---------------------------------- +// SVD Line: 17689 + +// SFDITEM_FIELD__USART12_ST_TXCn +// TXCn +// +// [Bit 6] RW (@ 0x40003A0C) Transmit Complete Interrupt Flag +// +// ( (unsigned int) USART12_ST ) +// TXCn +// +// +// + + +// ------------------------------- Field Item: USART12_ST_RXCn ---------------------------------- +// SVD Line: 17695 + +// SFDITEM_FIELD__USART12_ST_RXCn +// RXCn +// +// [Bit 5] RO (@ 0x40003A0C) Receive Complete Interrupt Flag +// +// ( (unsigned int) USART12_ST ) +// RXCn +// +// +// + + +// ------------------------------ Field Item: USART12_ST_WAKEn ---------------------------------- +// SVD Line: 17701 + +// SFDITEM_FIELD__USART12_ST_WAKEn +// WAKEn +// +// [Bit 4] RW (@ 0x40003A0C) Asynchronous Wake-Up Interrupt Flag +// +// ( (unsigned int) USART12_ST ) +// WAKEn +// +// +// + + +// ------------------------------- Field Item: USART12_ST_DORn ---------------------------------- +// SVD Line: 17707 + +// SFDITEM_FIELD__USART12_ST_DORn +// DORn +// +// [Bit 2] RO (@ 0x40003A0C) This bit is set if data OverRun takes place +// +// ( (unsigned int) USART12_ST ) +// DORn +// +// +// + + +// ------------------------------- Field Item: USART12_ST_FEn ----------------------------------- +// SVD Line: 17713 + +// SFDITEM_FIELD__USART12_ST_FEn +// FEn +// +// [Bit 1] RW (@ 0x40003A0C) This bit is set if the first stop bit of next character in the receive buffer is detected as '0' +// +// ( (unsigned int) USART12_ST ) +// FEn +// +// +// + + +// ------------------------------- Field Item: USART12_ST_PEn ----------------------------------- +// SVD Line: 17719 + +// SFDITEM_FIELD__USART12_ST_PEn +// PEn +// +// [Bit 0] RW (@ 0x40003A0C) This bit is set if the next character in the receive buffer has a Parity Error while parity is checked +// +// ( (unsigned int) USART12_ST ) +// PEn +// +// +// + + +// ------------------------------- Register RTree: USART12_ST ----------------------------------- +// SVD Line: 17674 + +// SFDITEM_REG__USART12_ST +// ST +// +// [Bits 31..0] RW (@ 0x40003A0C) USART1n Status Register +// ( (unsigned int)((USART12_ST >> 0) & 0xFFFFFFFF), ((USART12_ST = (USART12_ST & ~(0xD3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD3) << 0 ) ) )) +// SFDITEM_FIELD__USART12_ST_DREn +// SFDITEM_FIELD__USART12_ST_TXCn +// SFDITEM_FIELD__USART12_ST_RXCn +// SFDITEM_FIELD__USART12_ST_WAKEn +// SFDITEM_FIELD__USART12_ST_DORn +// SFDITEM_FIELD__USART12_ST_FEn +// SFDITEM_FIELD__USART12_ST_PEn +// +// + + +// --------------------------- Register Item Address: USART12_BDR ------------------------------- +// SVD Line: 17727 + +unsigned int USART12_BDR __AT (0x40003A10); + + + +// ------------------------------ Field Item: USART12_BDR_BDATA --------------------------------- +// SVD Line: 17736 + +// SFDITEM_FIELD__USART12_BDR_BDATA +// BDATA +// +// [Bits 11..0] RW (@ 0x40003A10) The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode +// +// ( (unsigned short)((USART12_BDR >> 0) & 0xFFF), ((USART12_BDR = (USART12_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART12_BDR ---------------------------------- +// SVD Line: 17727 + +// SFDITEM_REG__USART12_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40003A10) USART1n Baud Rate Generation Register +// ( (unsigned int)((USART12_BDR >> 0) & 0xFFFFFFFF), ((USART12_BDR = (USART12_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART12_BDR_BDATA +// +// + + +// ---------------------------- Register Item Address: USART12_DR ------------------------------- +// SVD Line: 17744 + +unsigned int USART12_DR __AT (0x40003A14); + + + +// ------------------------------- Field Item: USART12_DR_DATA ---------------------------------- +// SVD Line: 17753 + +// SFDITEM_FIELD__USART12_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40003A14) The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((USART12_DR >> 0) & 0xFF), ((USART12_DR = (USART12_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART12_DR ----------------------------------- +// SVD Line: 17744 + +// SFDITEM_REG__USART12_DR +// DR +// +// [Bits 31..0] RW (@ 0x40003A14) USART1n Data Register +// ( (unsigned int)((USART12_DR >> 0) & 0xFFFFFFFF), ((USART12_DR = (USART12_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__USART12_DR_DATA +// +// + + +// -------------------------------- Peripheral View: USART12 ------------------------------------ +// SVD Line: 17801 + +// USART12 +// USART12 +// SFDITEM_REG__USART12_CR1 +// SFDITEM_REG__USART12_CR2 +// SFDITEM_REG__USART12_ST +// SFDITEM_REG__USART12_BDR +// SFDITEM_REG__USART12_DR +// +// + + +// --------------------------- Register Item Address: USART13_CR1 ------------------------------- +// SVD Line: 17423 + +unsigned int USART13_CR1 __AT (0x40003B00); + + + +// ----------------------------- Field Item: USART13_CR1_USTnMS --------------------------------- +// SVD Line: 17432 + +// SFDITEM_FIELD__USART13_CR1_USTnMS +// USTnMS +// +// [Bits 15..14] RW (@ 0x40003B00) \nUSART1n Operation Mode Selection\n0 : Async = Asynchronous Mode (UART)\n1 : Sync = Synchronous Mode (USRT)\n2 : Reserved - do not use\n3 : SPI = SPI Mode +// +// ( (unsigned int) USART13_CR1 ) +// USTnMS +// <0=> 0: Async = Asynchronous Mode (UART) +// <1=> 1: Sync = Synchronous Mode (USRT) +// <2=> 2: +// <3=> 3: SPI = SPI Mode +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_USTnP --------------------------------- +// SVD Line: 17455 + +// SFDITEM_FIELD__USART13_CR1_USTnP +// USTnP +// +// [Bits 13..12] RW (@ 0x40003B00) \nSelects Parity Generation and Check method (only UART mode)\n0 : No = No Parity\n1 : Reserved - do not use\n2 : Even = Even Parity\n3 : Odd = Odd Parity +// +// ( (unsigned int) USART13_CR1 ) +// USTnP +// <0=> 0: No = No Parity +// <1=> 1: +// <2=> 2: Even = Even Parity +// <3=> 3: Odd = Odd Parity +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_USTnS --------------------------------- +// SVD Line: 17478 + +// SFDITEM_FIELD__USART13_CR1_USTnS +// USTnS +// +// [Bits 11..9] RW (@ 0x40003B00) \nSelects the length of data bit in a frame when Asynchronous or Synchronous mode\n0 : 5bit = 5 bit\n1 : 6bit = 6 bit\n2 : 7bit = 7 bit\n3 : 8bit = 8 bit\n4 : Reserved - do not use\n5 : Reserved - do not use\n6 : Reserved - do not use\n7 : 9bit = 9 bit +// +// ( (unsigned int) USART13_CR1 ) +// USTnS +// <0=> 0: 5bit = 5 bit +// <1=> 1: 6bit = 6 bit +// <2=> 2: 7bit = 7 bit +// <3=> 3: 8bit = 8 bit +// <4=> 4: +// <5=> 5: +// <6=> 6: +// <7=> 7: 9bit = 9 bit +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_ORDn ---------------------------------- +// SVD Line: 17511 + +// SFDITEM_FIELD__USART13_CR1_ORDn +// ORDn +// +// [Bit 8] RW (@ 0x40003B00) \nSelects the first data bit to be transmitted (only SPI mode)\n0 : lsbFirst = LSB First\n1 : msbFirst = MSB First +// +// ( (unsigned int) USART13_CR1 ) +// ORDn +// <0=> 0: lsbFirst = LSB First +// <1=> 1: msbFirst = MSB First +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_CPOLn --------------------------------- +// SVD Line: 17529 + +// SFDITEM_FIELD__USART13_CR1_CPOLn +// CPOLn +// +// [Bit 7] RW (@ 0x40003B00) \nSelects the Clock Polarity of ACK in Synchronous or SPI mode\n0 : IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge\n1 : IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// ( (unsigned int) USART13_CR1 ) +// CPOLn +// <0=> 0: IdleLow = TXD Change @Rising Edge, RXD Change @Falling Edge +// <1=> 1: IdleHigh = TXD Change @Falling Edge, RXD Change @Rising Edge +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_CPHAn --------------------------------- +// SVD Line: 17547 + +// SFDITEM_FIELD__USART13_CR1_CPHAn +// CPHAn +// +// [Bit 6] RW (@ 0x40003B00) \nThe CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode)\n0 : StartIdle = Start with idle state.\n1 : StartInverted = Start with inverted idle state. +// +// ( (unsigned int) USART13_CR1 ) +// CPHAn +// <0=> 0: StartIdle = Start with idle state. +// <1=> 1: StartInverted = Start with inverted idle state. +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_DRIEn --------------------------------- +// SVD Line: 17565 + +// SFDITEM_FIELD__USART13_CR1_DRIEn +// DRIEn +// +// [Bit 5] RW (@ 0x40003B00) Transmit Data Register Empty Interrupt Enable +// +// ( (unsigned int) USART13_CR1 ) +// DRIEn +// +// +// + + +// ----------------------------- Field Item: USART13_CR1_TXCIEn --------------------------------- +// SVD Line: 17571 + +// SFDITEM_FIELD__USART13_CR1_TXCIEn +// TXCIEn +// +// [Bit 4] RW (@ 0x40003B00) Transmit Complete Interrupt Enable +// +// ( (unsigned int) USART13_CR1 ) +// TXCIEn +// +// +// + + +// ----------------------------- Field Item: USART13_CR1_RXCIEn --------------------------------- +// SVD Line: 17577 + +// SFDITEM_FIELD__USART13_CR1_RXCIEn +// RXCIEn +// +// [Bit 3] RW (@ 0x40003B00) Receive Complete Interrupt Enable +// +// ( (unsigned int) USART13_CR1 ) +// RXCIEn +// +// +// + + +// ----------------------------- Field Item: USART13_CR1_WAKEIEn -------------------------------- +// SVD Line: 17583 + +// SFDITEM_FIELD__USART13_CR1_WAKEIEn +// WAKEIEn +// +// [Bit 2] RW (@ 0x40003B00) Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode +// +// ( (unsigned int) USART13_CR1 ) +// WAKEIEn +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_TXEn ---------------------------------- +// SVD Line: 17589 + +// SFDITEM_FIELD__USART13_CR1_TXEn +// TXEn +// +// [Bit 1] RW (@ 0x40003B00) Enable the transmitter unit. +// +// ( (unsigned int) USART13_CR1 ) +// TXEn +// +// +// + + +// ------------------------------ Field Item: USART13_CR1_RXEn ---------------------------------- +// SVD Line: 17595 + +// SFDITEM_FIELD__USART13_CR1_RXEn +// RXEn +// +// [Bit 0] RW (@ 0x40003B00) Enable the receiver unit. +// +// ( (unsigned int) USART13_CR1 ) +// RXEn +// +// +// + + +// ------------------------------- Register RTree: USART13_CR1 ---------------------------------- +// SVD Line: 17423 + +// SFDITEM_REG__USART13_CR1 +// CR1 +// +// [Bits 31..0] RW (@ 0x40003B00) USART1n Control Register 1 +// ( (unsigned int)((USART13_CR1 >> 0) & 0xFFFFFFFF), ((USART13_CR1 = (USART13_CR1 & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART13_CR1_USTnMS +// SFDITEM_FIELD__USART13_CR1_USTnP +// SFDITEM_FIELD__USART13_CR1_USTnS +// SFDITEM_FIELD__USART13_CR1_ORDn +// SFDITEM_FIELD__USART13_CR1_CPOLn +// SFDITEM_FIELD__USART13_CR1_CPHAn +// SFDITEM_FIELD__USART13_CR1_DRIEn +// SFDITEM_FIELD__USART13_CR1_TXCIEn +// SFDITEM_FIELD__USART13_CR1_RXCIEn +// SFDITEM_FIELD__USART13_CR1_WAKEIEn +// SFDITEM_FIELD__USART13_CR1_TXEn +// SFDITEM_FIELD__USART13_CR1_RXEn +// +// + + +// --------------------------- Register Item Address: USART13_CR2 ------------------------------- +// SVD Line: 17603 + +unsigned int USART13_CR2 __AT (0x40003B04); + + + +// ----------------------------- Field Item: USART13_CR2_USTnEN --------------------------------- +// SVD Line: 17612 + +// SFDITEM_FIELD__USART13_CR2_USTnEN +// USTnEN +// +// [Bit 9] RW (@ 0x40003B04) Activate USART1n Block +// +// ( (unsigned int) USART13_CR2 ) +// USTnEN +// +// +// + + +// ------------------------------ Field Item: USART13_CR2_DBLSn --------------------------------- +// SVD Line: 17618 + +// SFDITEM_FIELD__USART13_CR2_DBLSn +// DBLSn +// +// [Bit 8] RW (@ 0x40003B04) Selects receiver sampling rate (only UART mode) +// +// ( (unsigned int) USART13_CR2 ) +// DBLSn +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_MASTERn -------------------------------- +// SVD Line: 17624 + +// SFDITEM_FIELD__USART13_CR2_MASTERn +// MASTERn +// +// [Bit 7] RW (@ 0x40003B04) Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin +// +// ( (unsigned int) USART13_CR2 ) +// MASTERn +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_LOOPSn --------------------------------- +// SVD Line: 17630 + +// SFDITEM_FIELD__USART13_CR2_LOOPSn +// LOOPSn +// +// [Bit 6] RW (@ 0x40003B04) Control the Loop Back mode of USART1n for test mode +// +// ( (unsigned int) USART13_CR2 ) +// LOOPSn +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_DISSCKn -------------------------------- +// SVD Line: 17636 + +// SFDITEM_FIELD__USART13_CR2_DISSCKn +// DISSCKn +// +// [Bit 5] RW (@ 0x40003B04) In synchronous mode operation, selects the waveform of SCK1n output +// +// ( (unsigned int) USART13_CR2 ) +// DISSCKn +// +// +// + + +// ---------------------------- Field Item: USART13_CR2_USTnSSEN -------------------------------- +// SVD Line: 17642 + +// SFDITEM_FIELD__USART13_CR2_USTnSSEN +// USTnSSEN +// +// [Bit 4] RW (@ 0x40003B04) This bit controls the SS1n pin operation (only SPI mode) +// +// ( (unsigned int) USART13_CR2 ) +// USTnSSEN +// +// +// + + +// ------------------------------ Field Item: USART13_CR2_FXCHn --------------------------------- +// SVD Line: 17648 + +// SFDITEM_FIELD__USART13_CR2_FXCHn +// FXCHn +// +// [Bit 3] RW (@ 0x40003B04) SPI1n port function exchange control (only SPI mode) +// +// ( (unsigned int) USART13_CR2 ) +// FXCHn +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_USTnSB --------------------------------- +// SVD Line: 17654 + +// SFDITEM_FIELD__USART13_CR2_USTnSB +// USTnSB +// +// [Bit 2] RW (@ 0x40003B04) Selects the length of stop bit in Asynchronous or Synchronous mode +// +// ( (unsigned int) USART13_CR2 ) +// USTnSB +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_USTnTX8 -------------------------------- +// SVD Line: 17660 + +// SFDITEM_FIELD__USART13_CR2_USTnTX8 +// USTnTX8 +// +// [Bit 1] RW (@ 0x40003B04) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART13_CR2 ) +// USTnTX8 +// +// +// + + +// ----------------------------- Field Item: USART13_CR2_USTnRX8 -------------------------------- +// SVD Line: 17666 + +// SFDITEM_FIELD__USART13_CR2_USTnRX8 +// USTnRX8 +// +// [Bit 0] RW (@ 0x40003B04) The ninth bit of data frame in Asynchronous or Synchronous mode of operation +// +// ( (unsigned int) USART13_CR2 ) +// USTnRX8 +// +// +// + + +// ------------------------------- Register RTree: USART13_CR2 ---------------------------------- +// SVD Line: 17603 + +// SFDITEM_REG__USART13_CR2 +// CR2 +// +// [Bits 31..0] RW (@ 0x40003B04) USART1n Control Register 2 +// ( (unsigned int)((USART13_CR2 >> 0) & 0xFFFFFFFF), ((USART13_CR2 = (USART13_CR2 & ~(0x3FFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FF) << 0 ) ) )) +// SFDITEM_FIELD__USART13_CR2_USTnEN +// SFDITEM_FIELD__USART13_CR2_DBLSn +// SFDITEM_FIELD__USART13_CR2_MASTERn +// SFDITEM_FIELD__USART13_CR2_LOOPSn +// SFDITEM_FIELD__USART13_CR2_DISSCKn +// SFDITEM_FIELD__USART13_CR2_USTnSSEN +// SFDITEM_FIELD__USART13_CR2_FXCHn +// SFDITEM_FIELD__USART13_CR2_USTnSB +// SFDITEM_FIELD__USART13_CR2_USTnTX8 +// SFDITEM_FIELD__USART13_CR2_USTnRX8 +// +// + + +// ---------------------------- Register Item Address: USART13_ST ------------------------------- +// SVD Line: 17674 + +unsigned int USART13_ST __AT (0x40003B0C); + + + +// ------------------------------- Field Item: USART13_ST_DREn ---------------------------------- +// SVD Line: 17683 + +// SFDITEM_FIELD__USART13_ST_DREn +// DREn +// +// [Bit 7] RW (@ 0x40003B0C) Transmit Data Register Empty Interrupt Flag +// +// ( (unsigned int) USART13_ST ) +// DREn +// +// +// + + +// ------------------------------- Field Item: USART13_ST_TXCn ---------------------------------- +// SVD Line: 17689 + +// SFDITEM_FIELD__USART13_ST_TXCn +// TXCn +// +// [Bit 6] RW (@ 0x40003B0C) Transmit Complete Interrupt Flag +// +// ( (unsigned int) USART13_ST ) +// TXCn +// +// +// + + +// ------------------------------- Field Item: USART13_ST_RXCn ---------------------------------- +// SVD Line: 17695 + +// SFDITEM_FIELD__USART13_ST_RXCn +// RXCn +// +// [Bit 5] RO (@ 0x40003B0C) Receive Complete Interrupt Flag +// +// ( (unsigned int) USART13_ST ) +// RXCn +// +// +// + + +// ------------------------------ Field Item: USART13_ST_WAKEn ---------------------------------- +// SVD Line: 17701 + +// SFDITEM_FIELD__USART13_ST_WAKEn +// WAKEn +// +// [Bit 4] RW (@ 0x40003B0C) Asynchronous Wake-Up Interrupt Flag +// +// ( (unsigned int) USART13_ST ) +// WAKEn +// +// +// + + +// ------------------------------- Field Item: USART13_ST_DORn ---------------------------------- +// SVD Line: 17707 + +// SFDITEM_FIELD__USART13_ST_DORn +// DORn +// +// [Bit 2] RO (@ 0x40003B0C) This bit is set if data OverRun takes place +// +// ( (unsigned int) USART13_ST ) +// DORn +// +// +// + + +// ------------------------------- Field Item: USART13_ST_FEn ----------------------------------- +// SVD Line: 17713 + +// SFDITEM_FIELD__USART13_ST_FEn +// FEn +// +// [Bit 1] RW (@ 0x40003B0C) This bit is set if the first stop bit of next character in the receive buffer is detected as '0' +// +// ( (unsigned int) USART13_ST ) +// FEn +// +// +// + + +// ------------------------------- Field Item: USART13_ST_PEn ----------------------------------- +// SVD Line: 17719 + +// SFDITEM_FIELD__USART13_ST_PEn +// PEn +// +// [Bit 0] RW (@ 0x40003B0C) This bit is set if the next character in the receive buffer has a Parity Error while parity is checked +// +// ( (unsigned int) USART13_ST ) +// PEn +// +// +// + + +// ------------------------------- Register RTree: USART13_ST ----------------------------------- +// SVD Line: 17674 + +// SFDITEM_REG__USART13_ST +// ST +// +// [Bits 31..0] RW (@ 0x40003B0C) USART1n Status Register +// ( (unsigned int)((USART13_ST >> 0) & 0xFFFFFFFF), ((USART13_ST = (USART13_ST & ~(0xD3UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xD3) << 0 ) ) )) +// SFDITEM_FIELD__USART13_ST_DREn +// SFDITEM_FIELD__USART13_ST_TXCn +// SFDITEM_FIELD__USART13_ST_RXCn +// SFDITEM_FIELD__USART13_ST_WAKEn +// SFDITEM_FIELD__USART13_ST_DORn +// SFDITEM_FIELD__USART13_ST_FEn +// SFDITEM_FIELD__USART13_ST_PEn +// +// + + +// --------------------------- Register Item Address: USART13_BDR ------------------------------- +// SVD Line: 17727 + +unsigned int USART13_BDR __AT (0x40003B10); + + + +// ------------------------------ Field Item: USART13_BDR_BDATA --------------------------------- +// SVD Line: 17736 + +// SFDITEM_FIELD__USART13_BDR_BDATA +// BDATA +// +// [Bits 11..0] RW (@ 0x40003B10) The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode +// +// ( (unsigned short)((USART13_BDR >> 0) & 0xFFF), ((USART13_BDR = (USART13_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART13_BDR ---------------------------------- +// SVD Line: 17727 + +// SFDITEM_REG__USART13_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40003B10) USART1n Baud Rate Generation Register +// ( (unsigned int)((USART13_BDR >> 0) & 0xFFFFFFFF), ((USART13_BDR = (USART13_BDR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__USART13_BDR_BDATA +// +// + + +// ---------------------------- Register Item Address: USART13_DR ------------------------------- +// SVD Line: 17744 + +unsigned int USART13_DR __AT (0x40003B14); + + + +// ------------------------------- Field Item: USART13_DR_DATA ---------------------------------- +// SVD Line: 17753 + +// SFDITEM_FIELD__USART13_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40003B14) The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((USART13_DR >> 0) & 0xFF), ((USART13_DR = (USART13_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: USART13_DR ----------------------------------- +// SVD Line: 17744 + +// SFDITEM_REG__USART13_DR +// DR +// +// [Bits 31..0] RW (@ 0x40003B14) USART1n Data Register +// ( (unsigned int)((USART13_DR >> 0) & 0xFFFFFFFF), ((USART13_DR = (USART13_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__USART13_DR_DATA +// +// + + +// -------------------------------- Peripheral View: USART13 ------------------------------------ +// SVD Line: 17820 + +// USART13 +// USART13 +// SFDITEM_REG__USART13_CR1 +// SFDITEM_REG__USART13_CR2 +// SFDITEM_REG__USART13_ST +// SFDITEM_REG__USART13_BDR +// SFDITEM_REG__USART13_DR +// +// + + +// ---------------------------- Register Item Address: UARTn_RBR -------------------------------- +// SVD Line: 17853 + +unsigned int UARTn_RBR __AT (0x55000000); + + + +// -------------------------------- Field Item: UARTn_RBR_RBR ----------------------------------- +// SVD Line: 17862 + +// SFDITEM_FIELD__UARTn_RBR_RBR +// RBR +// +// [Bits 7..0] RO (@ 0x55000000) UARTn Receive Data Buffer +// +// ( (unsigned char)((UARTn_RBR >> 0) & 0xFF) ) +// +// +// + + +// -------------------------------- Register RTree: UARTn_RBR ----------------------------------- +// SVD Line: 17853 + +// SFDITEM_REG__UARTn_RBR +// RBR +// +// [Bits 31..0] RO (@ 0x55000000) UARTn Receive Data Buffer Register +// ( (unsigned int)((UARTn_RBR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UARTn_RBR_RBR +// +// + + +// ---------------------------- Register Item Address: UARTn_THR -------------------------------- +// SVD Line: 17870 + +unsigned int UARTn_THR __AT (0x55000000); + + + +// -------------------------------- Field Item: UARTn_THR_THR ----------------------------------- +// SVD Line: 17879 + +// SFDITEM_FIELD__UARTn_THR_THR +// THR +// +// [Bits 7..0] WO (@ 0x55000000) UARTn Transmit Data Hold +// +// ( (unsigned char)((UARTn_THR >> 0) & 0x0), ((UARTn_THR = (UARTn_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UARTn_THR ----------------------------------- +// SVD Line: 17870 + +// SFDITEM_REG__UARTn_THR +// THR +// +// [Bits 31..0] WO (@ 0x55000000) UARTn Transmit Data Hold Register +// ( (unsigned int)((UARTn_THR >> 0) & 0xFFFFFFFF), ((UARTn_THR = (UARTn_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_THR_THR +// +// + + +// ---------------------------- Register Item Address: UARTn_IER -------------------------------- +// SVD Line: 17887 + +unsigned int UARTn_IER __AT (0x55000004); + + + +// ------------------------------- Field Item: UARTn_IER_TXEIE ---------------------------------- +// SVD Line: 17896 + +// SFDITEM_FIELD__UARTn_IER_TXEIE +// TXEIE +// +// [Bit 3] RW (@ 0x55000004) Transmit Empty Interrupt Enable +// +// ( (unsigned int) UARTn_IER ) +// TXEIE +// +// +// + + +// ------------------------------- Field Item: UARTn_IER_RLSIE ---------------------------------- +// SVD Line: 17902 + +// SFDITEM_FIELD__UARTn_IER_RLSIE +// RLSIE +// +// [Bit 2] RW (@ 0x55000004) Receiver Line Status Interrupt Enable +// +// ( (unsigned int) UARTn_IER ) +// RLSIE +// +// +// + + +// ------------------------------ Field Item: UARTn_IER_THREIE ---------------------------------- +// SVD Line: 17908 + +// SFDITEM_FIELD__UARTn_IER_THREIE +// THREIE +// +// [Bit 1] RW (@ 0x55000004) Transmit Holding Register Empty Interrupt Enable +// +// ( (unsigned int) UARTn_IER ) +// THREIE +// +// +// + + +// ------------------------------- Field Item: UARTn_IER_DRIE ----------------------------------- +// SVD Line: 17914 + +// SFDITEM_FIELD__UARTn_IER_DRIE +// DRIE +// +// [Bit 0] RW (@ 0x55000004) Data Receive Interrupt Enable +// +// ( (unsigned int) UARTn_IER ) +// DRIE +// +// +// + + +// -------------------------------- Register RTree: UARTn_IER ----------------------------------- +// SVD Line: 17887 + +// SFDITEM_REG__UARTn_IER +// IER +// +// [Bits 31..0] RW (@ 0x55000004) UARTn Interrupt Enable Register +// ( (unsigned int)((UARTn_IER >> 0) & 0xFFFFFFFF), ((UARTn_IER = (UARTn_IER & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_IER_TXEIE +// SFDITEM_FIELD__UARTn_IER_RLSIE +// SFDITEM_FIELD__UARTn_IER_THREIE +// SFDITEM_FIELD__UARTn_IER_DRIE +// +// + + +// ---------------------------- Register Item Address: UARTn_IIR -------------------------------- +// SVD Line: 17922 + +unsigned int UARTn_IIR __AT (0x55000008); + + + +// -------------------------------- Field Item: UARTn_IIR_TXE ----------------------------------- +// SVD Line: 17931 + +// SFDITEM_FIELD__UARTn_IIR_TXE +// TXE +// +// [Bit 4] RO (@ 0x55000008) Transmit Complete Interrupt Source ID +// +// ( (unsigned int) UARTn_IIR ) +// TXE +// +// +// + + +// -------------------------------- Field Item: UARTn_IIR_IID ----------------------------------- +// SVD Line: 17937 + +// SFDITEM_FIELD__UARTn_IIR_IID +// IID +// +// [Bits 2..1] RO (@ 0x55000008) UARTn Interrupt ID +// +// ( (unsigned char)((UARTn_IIR >> 1) & 0x3) ) +// +// +// + + +// ------------------------------- Field Item: UARTn_IIR_IPEN ----------------------------------- +// SVD Line: 17943 + +// SFDITEM_FIELD__UARTn_IIR_IPEN +// IPEN +// +// [Bit 0] RO (@ 0x55000008) Interrupt Pending +// +// ( (unsigned int) UARTn_IIR ) +// IPEN +// +// +// + + +// -------------------------------- Register RTree: UARTn_IIR ----------------------------------- +// SVD Line: 17922 + +// SFDITEM_REG__UARTn_IIR +// IIR +// +// [Bits 31..0] RO (@ 0x55000008) UARTn Interrupt ID Register +// ( (unsigned int)((UARTn_IIR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UARTn_IIR_TXE +// SFDITEM_FIELD__UARTn_IIR_IID +// SFDITEM_FIELD__UARTn_IIR_IPEN +// +// + + +// ---------------------------- Register Item Address: UARTn_LCR -------------------------------- +// SVD Line: 17951 + +unsigned int UARTn_LCR __AT (0x5500000C); + + + +// ------------------------------- Field Item: UARTn_LCR_BREAK ---------------------------------- +// SVD Line: 17960 + +// SFDITEM_FIELD__UARTn_LCR_BREAK +// BREAK +// +// [Bit 6] RW (@ 0x5500000C) Transfer Break Control +// +// ( (unsigned int) UARTn_LCR ) +// BREAK +// +// +// + + +// ------------------------------ Field Item: UARTn_LCR_STICKP ---------------------------------- +// SVD Line: 17966 + +// SFDITEM_FIELD__UARTn_LCR_STICKP +// STICKP +// +// [Bit 5] RW (@ 0x5500000C) Force Parity +// +// ( (unsigned int) UARTn_LCR ) +// STICKP +// +// +// + + +// ------------------------------ Field Item: UARTn_LCR_PARITY ---------------------------------- +// SVD Line: 17972 + +// SFDITEM_FIELD__UARTn_LCR_PARITY +// PARITY +// +// [Bit 4] RW (@ 0x5500000C) Parity Mode and Parity Stuck Selection +// +// ( (unsigned int) UARTn_LCR ) +// PARITY +// +// +// + + +// -------------------------------- Field Item: UARTn_LCR_PEN ----------------------------------- +// SVD Line: 17978 + +// SFDITEM_FIELD__UARTn_LCR_PEN +// PEN +// +// [Bit 3] RW (@ 0x5500000C) Parity Bit Transfer Enable +// +// ( (unsigned int) UARTn_LCR ) +// PEN +// +// +// + + +// ------------------------------ Field Item: UARTn_LCR_STOPBIT --------------------------------- +// SVD Line: 17984 + +// SFDITEM_FIELD__UARTn_LCR_STOPBIT +// STOPBIT +// +// [Bit 2] RW (@ 0x5500000C) Stop Bit Length Selection +// +// ( (unsigned int) UARTn_LCR ) +// STOPBIT +// +// +// + + +// ------------------------------- Field Item: UARTn_LCR_DLEN ----------------------------------- +// SVD Line: 17990 + +// SFDITEM_FIELD__UARTn_LCR_DLEN +// DLEN +// +// [Bits 1..0] RW (@ 0x5500000C) Data Length Selection +// +// ( (unsigned char)((UARTn_LCR >> 0) & 0x3), ((UARTn_LCR = (UARTn_LCR & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UARTn_LCR ----------------------------------- +// SVD Line: 17951 + +// SFDITEM_REG__UARTn_LCR +// LCR +// +// [Bits 31..0] RW (@ 0x5500000C) UARTn Line Control Register +// ( (unsigned int)((UARTn_LCR >> 0) & 0xFFFFFFFF), ((UARTn_LCR = (UARTn_LCR & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_LCR_BREAK +// SFDITEM_FIELD__UARTn_LCR_STICKP +// SFDITEM_FIELD__UARTn_LCR_PARITY +// SFDITEM_FIELD__UARTn_LCR_PEN +// SFDITEM_FIELD__UARTn_LCR_STOPBIT +// SFDITEM_FIELD__UARTn_LCR_DLEN +// +// + + +// ---------------------------- Register Item Address: UARTn_DCR -------------------------------- +// SVD Line: 17998 + +unsigned int UARTn_DCR __AT (0x55000010); + + + +// ------------------------------- Field Item: UARTn_DCR_LBON ----------------------------------- +// SVD Line: 18007 + +// SFDITEM_FIELD__UARTn_DCR_LBON +// LBON +// +// [Bit 4] RW (@ 0x55000010) Local Loopback Test Mode Enable +// +// ( (unsigned int) UARTn_DCR ) +// LBON +// +// +// + + +// ------------------------------- Field Item: UARTn_DCR_RXINV ---------------------------------- +// SVD Line: 18013 + +// SFDITEM_FIELD__UARTn_DCR_RXINV +// RXINV +// +// [Bit 3] RW (@ 0x55000010) Receive Data Inversion Selection +// +// ( (unsigned int) UARTn_DCR ) +// RXINV +// +// +// + + +// ------------------------------- Field Item: UARTn_DCR_TXINV ---------------------------------- +// SVD Line: 18019 + +// SFDITEM_FIELD__UARTn_DCR_TXINV +// TXINV +// +// [Bit 2] RW (@ 0x55000010) Transmit Data Inversion Selection +// +// ( (unsigned int) UARTn_DCR ) +// TXINV +// +// +// + + +// -------------------------------- Register RTree: UARTn_DCR ----------------------------------- +// SVD Line: 17998 + +// SFDITEM_REG__UARTn_DCR +// DCR +// +// [Bits 31..0] RW (@ 0x55000010) UARTn Data Control Register +// ( (unsigned int)((UARTn_DCR >> 0) & 0xFFFFFFFF), ((UARTn_DCR = (UARTn_DCR & ~(0x1CUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1C) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_DCR_LBON +// SFDITEM_FIELD__UARTn_DCR_RXINV +// SFDITEM_FIELD__UARTn_DCR_TXINV +// +// + + +// ---------------------------- Register Item Address: UARTn_LSR -------------------------------- +// SVD Line: 18027 + +unsigned int UARTn_LSR __AT (0x55000014); + + + +// ------------------------------- Field Item: UARTn_LSR_TEMT ----------------------------------- +// SVD Line: 18036 + +// SFDITEM_FIELD__UARTn_LSR_TEMT +// TEMT +// +// [Bit 6] RO (@ 0x55000014) Transmit Register Empty +// +// ( (unsigned int) UARTn_LSR ) +// TEMT +// +// +// + + +// ------------------------------- Field Item: UARTn_LSR_THRE ----------------------------------- +// SVD Line: 18042 + +// SFDITEM_FIELD__UARTn_LSR_THRE +// THRE +// +// [Bit 5] RO (@ 0x55000014) Transmit Hold Register Empty +// +// ( (unsigned int) UARTn_LSR ) +// THRE +// +// +// + + +// -------------------------------- Field Item: UARTn_LSR_BI ------------------------------------ +// SVD Line: 18048 + +// SFDITEM_FIELD__UARTn_LSR_BI +// BI +// +// [Bit 4] RO (@ 0x55000014) Break Condition Indication +// +// ( (unsigned int) UARTn_LSR ) +// BI +// +// +// + + +// -------------------------------- Field Item: UARTn_LSR_FE ------------------------------------ +// SVD Line: 18054 + +// SFDITEM_FIELD__UARTn_LSR_FE +// FE +// +// [Bit 3] RO (@ 0x55000014) Frame Error Indicator +// +// ( (unsigned int) UARTn_LSR ) +// FE +// +// +// + + +// -------------------------------- Field Item: UARTn_LSR_PE ------------------------------------ +// SVD Line: 18060 + +// SFDITEM_FIELD__UARTn_LSR_PE +// PE +// +// [Bit 2] RO (@ 0x55000014) Parity Error Indicator +// +// ( (unsigned int) UARTn_LSR ) +// PE +// +// +// + + +// -------------------------------- Field Item: UARTn_LSR_OE ------------------------------------ +// SVD Line: 18066 + +// SFDITEM_FIELD__UARTn_LSR_OE +// OE +// +// [Bit 1] RO (@ 0x55000014) Overrun Error Indicator +// +// ( (unsigned int) UARTn_LSR ) +// OE +// +// +// + + +// -------------------------------- Field Item: UARTn_LSR_DR ------------------------------------ +// SVD Line: 18072 + +// SFDITEM_FIELD__UARTn_LSR_DR +// DR +// +// [Bit 0] RO (@ 0x55000014) Data Receive Indicator +// +// ( (unsigned int) UARTn_LSR ) +// DR +// +// +// + + +// -------------------------------- Register RTree: UARTn_LSR ----------------------------------- +// SVD Line: 18027 + +// SFDITEM_REG__UARTn_LSR +// LSR +// +// [Bits 31..0] RO (@ 0x55000014) UARTn Line Status Register +// ( (unsigned int)((UARTn_LSR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UARTn_LSR_TEMT +// SFDITEM_FIELD__UARTn_LSR_THRE +// SFDITEM_FIELD__UARTn_LSR_BI +// SFDITEM_FIELD__UARTn_LSR_FE +// SFDITEM_FIELD__UARTn_LSR_PE +// SFDITEM_FIELD__UARTn_LSR_OE +// SFDITEM_FIELD__UARTn_LSR_DR +// +// + + +// ---------------------------- Register Item Address: UARTn_BDR -------------------------------- +// SVD Line: 18080 + +unsigned int UARTn_BDR __AT (0x55000020); + + + +// -------------------------------- Field Item: UARTn_BDR_BDR ----------------------------------- +// SVD Line: 18089 + +// SFDITEM_FIELD__UARTn_BDR_BDR +// BDR +// +// [Bits 15..0] RW (@ 0x55000020) Baud Rate Divider Latch Value +// +// ( (unsigned short)((UARTn_BDR >> 0) & 0xFFFF), ((UARTn_BDR = (UARTn_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UARTn_BDR ----------------------------------- +// SVD Line: 18080 + +// SFDITEM_REG__UARTn_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x55000020) UARTn Baud Rate Divisor Latch Register +// ( (unsigned int)((UARTn_BDR >> 0) & 0xFFFFFFFF), ((UARTn_BDR = (UARTn_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_BDR_BDR +// +// + + +// ---------------------------- Register Item Address: UARTn_BFR -------------------------------- +// SVD Line: 18097 + +unsigned int UARTn_BFR __AT (0x55000024); + + + +// -------------------------------- Field Item: UARTn_BFR_BFR ----------------------------------- +// SVD Line: 18106 + +// SFDITEM_FIELD__UARTn_BFR_BFR +// BFR +// +// [Bits 7..0] RW (@ 0x55000024) Fraction Counter value +// +// ( (unsigned char)((UARTn_BFR >> 0) & 0xFF), ((UARTn_BFR = (UARTn_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UARTn_BFR ----------------------------------- +// SVD Line: 18097 + +// SFDITEM_REG__UARTn_BFR +// BFR +// +// [Bits 31..0] RW (@ 0x55000024) UARTn Baud Rate Fractional Counter Value +// ( (unsigned int)((UARTn_BFR >> 0) & 0xFFFFFFFF), ((UARTn_BFR = (UARTn_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_BFR_BFR +// +// + + +// ---------------------------- Register Item Address: UARTn_IDTR ------------------------------- +// SVD Line: 18121 + +unsigned int UARTn_IDTR __AT (0x55000030); + + + +// ------------------------------- Field Item: UARTn_IDTR_SMS ----------------------------------- +// SVD Line: 18130 + +// SFDITEM_FIELD__UARTn_IDTR_SMS +// SMS +// +// [Bit 7] RW (@ 0x55000030) Start Bit Multi Sampling Enable +// +// ( (unsigned int) UARTn_IDTR ) +// SMS +// +// +// + + +// ------------------------------- Field Item: UARTn_IDTR_DMS ----------------------------------- +// SVD Line: 18136 + +// SFDITEM_FIELD__UARTn_IDTR_DMS +// DMS +// +// [Bit 6] RW (@ 0x55000030) Data Bit Multi Sampling Enable +// +// ( (unsigned int) UARTn_IDTR ) +// DMS +// +// +// + + +// ----------------------------- Field Item: UARTn_IDTR_WAITVAL --------------------------------- +// SVD Line: 18142 + +// SFDITEM_FIELD__UARTn_IDTR_WAITVAL +// WAITVAL +// +// [Bits 2..0] RW (@ 0x55000030) Wait Time Value +// +// ( (unsigned char)((UARTn_IDTR >> 0) & 0x7), ((UARTn_IDTR = (UARTn_IDTR & ~(0x7UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: UARTn_IDTR ----------------------------------- +// SVD Line: 18121 + +// SFDITEM_REG__UARTn_IDTR +// IDTR +// +// [Bits 31..0] RW (@ 0x55000030) UARTn Inter-frame Delay Time Register +// ( (unsigned int)((UARTn_IDTR >> 0) & 0xFFFFFFFF), ((UARTn_IDTR = (UARTn_IDTR & ~(0xC7UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xC7) << 0 ) ) )) +// SFDITEM_FIELD__UARTn_IDTR_SMS +// SFDITEM_FIELD__UARTn_IDTR_DMS +// SFDITEM_FIELD__UARTn_IDTR_WAITVAL +// +// + + +// --------------------------------- Peripheral View: UARTn ------------------------------------- +// SVD Line: 17839 + +// UARTn +// UARTn +// SFDITEM_REG__UARTn_RBR +// SFDITEM_REG__UARTn_THR +// SFDITEM_REG__UARTn_IER +// SFDITEM_REG__UARTn_IIR +// SFDITEM_REG__UARTn_LCR +// SFDITEM_REG__UARTn_DCR +// SFDITEM_REG__UARTn_LSR +// SFDITEM_REG__UARTn_BDR +// SFDITEM_REG__UARTn_BFR +// SFDITEM_REG__UARTn_IDTR +// +// + + +// ---------------------------- Register Item Address: UART0_RBR -------------------------------- +// SVD Line: 17853 + +unsigned int UART0_RBR __AT (0x40004000); + + + +// -------------------------------- Field Item: UART0_RBR_RBR ----------------------------------- +// SVD Line: 17862 + +// SFDITEM_FIELD__UART0_RBR_RBR +// RBR +// +// [Bits 7..0] RO (@ 0x40004000) UARTn Receive Data Buffer +// +// ( (unsigned char)((UART0_RBR >> 0) & 0xFF) ) +// +// +// + + +// -------------------------------- Register RTree: UART0_RBR ----------------------------------- +// SVD Line: 17853 + +// SFDITEM_REG__UART0_RBR +// RBR +// +// [Bits 31..0] RO (@ 0x40004000) UARTn Receive Data Buffer Register +// ( (unsigned int)((UART0_RBR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART0_RBR_RBR +// +// + + +// ---------------------------- Register Item Address: UART0_THR -------------------------------- +// SVD Line: 17870 + +unsigned int UART0_THR __AT (0x40004000); + + + +// -------------------------------- Field Item: UART0_THR_THR ----------------------------------- +// SVD Line: 17879 + +// SFDITEM_FIELD__UART0_THR_THR +// THR +// +// [Bits 7..0] WO (@ 0x40004000) UARTn Transmit Data Hold +// +// ( (unsigned char)((UART0_THR >> 0) & 0x0), ((UART0_THR = (UART0_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART0_THR ----------------------------------- +// SVD Line: 17870 + +// SFDITEM_REG__UART0_THR +// THR +// +// [Bits 31..0] WO (@ 0x40004000) UARTn Transmit Data Hold Register +// ( (unsigned int)((UART0_THR >> 0) & 0xFFFFFFFF), ((UART0_THR = (UART0_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UART0_THR_THR +// +// + + +// ---------------------------- Register Item Address: UART0_IER -------------------------------- +// SVD Line: 17887 + +unsigned int UART0_IER __AT (0x40004004); + + + +// ------------------------------- Field Item: UART0_IER_TXEIE ---------------------------------- +// SVD Line: 17896 + +// SFDITEM_FIELD__UART0_IER_TXEIE +// TXEIE +// +// [Bit 3] RW (@ 0x40004004) Transmit Empty Interrupt Enable +// +// ( (unsigned int) UART0_IER ) +// TXEIE +// +// +// + + +// ------------------------------- Field Item: UART0_IER_RLSIE ---------------------------------- +// SVD Line: 17902 + +// SFDITEM_FIELD__UART0_IER_RLSIE +// RLSIE +// +// [Bit 2] RW (@ 0x40004004) Receiver Line Status Interrupt Enable +// +// ( (unsigned int) UART0_IER ) +// RLSIE +// +// +// + + +// ------------------------------ Field Item: UART0_IER_THREIE ---------------------------------- +// SVD Line: 17908 + +// SFDITEM_FIELD__UART0_IER_THREIE +// THREIE +// +// [Bit 1] RW (@ 0x40004004) Transmit Holding Register Empty Interrupt Enable +// +// ( (unsigned int) UART0_IER ) +// THREIE +// +// +// + + +// ------------------------------- Field Item: UART0_IER_DRIE ----------------------------------- +// SVD Line: 17914 + +// SFDITEM_FIELD__UART0_IER_DRIE +// DRIE +// +// [Bit 0] RW (@ 0x40004004) Data Receive Interrupt Enable +// +// ( (unsigned int) UART0_IER ) +// DRIE +// +// +// + + +// -------------------------------- Register RTree: UART0_IER ----------------------------------- +// SVD Line: 17887 + +// SFDITEM_REG__UART0_IER +// IER +// +// [Bits 31..0] RW (@ 0x40004004) UARTn Interrupt Enable Register +// ( (unsigned int)((UART0_IER >> 0) & 0xFFFFFFFF), ((UART0_IER = (UART0_IER & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__UART0_IER_TXEIE +// SFDITEM_FIELD__UART0_IER_RLSIE +// SFDITEM_FIELD__UART0_IER_THREIE +// SFDITEM_FIELD__UART0_IER_DRIE +// +// + + +// ---------------------------- Register Item Address: UART0_IIR -------------------------------- +// SVD Line: 17922 + +unsigned int UART0_IIR __AT (0x40004008); + + + +// -------------------------------- Field Item: UART0_IIR_TXE ----------------------------------- +// SVD Line: 17931 + +// SFDITEM_FIELD__UART0_IIR_TXE +// TXE +// +// [Bit 4] RO (@ 0x40004008) Transmit Complete Interrupt Source ID +// +// ( (unsigned int) UART0_IIR ) +// TXE +// +// +// + + +// -------------------------------- Field Item: UART0_IIR_IID ----------------------------------- +// SVD Line: 17937 + +// SFDITEM_FIELD__UART0_IIR_IID +// IID +// +// [Bits 2..1] RO (@ 0x40004008) UARTn Interrupt ID +// +// ( (unsigned char)((UART0_IIR >> 1) & 0x3) ) +// +// +// + + +// ------------------------------- Field Item: UART0_IIR_IPEN ----------------------------------- +// SVD Line: 17943 + +// SFDITEM_FIELD__UART0_IIR_IPEN +// IPEN +// +// [Bit 0] RO (@ 0x40004008) Interrupt Pending +// +// ( (unsigned int) UART0_IIR ) +// IPEN +// +// +// + + +// -------------------------------- Register RTree: UART0_IIR ----------------------------------- +// SVD Line: 17922 + +// SFDITEM_REG__UART0_IIR +// IIR +// +// [Bits 31..0] RO (@ 0x40004008) UARTn Interrupt ID Register +// ( (unsigned int)((UART0_IIR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART0_IIR_TXE +// SFDITEM_FIELD__UART0_IIR_IID +// SFDITEM_FIELD__UART0_IIR_IPEN +// +// + + +// ---------------------------- Register Item Address: UART0_LCR -------------------------------- +// SVD Line: 17951 + +unsigned int UART0_LCR __AT (0x4000400C); + + + +// ------------------------------- Field Item: UART0_LCR_BREAK ---------------------------------- +// SVD Line: 17960 + +// SFDITEM_FIELD__UART0_LCR_BREAK +// BREAK +// +// [Bit 6] RW (@ 0x4000400C) Transfer Break Control +// +// ( (unsigned int) UART0_LCR ) +// BREAK +// +// +// + + +// ------------------------------ Field Item: UART0_LCR_STICKP ---------------------------------- +// SVD Line: 17966 + +// SFDITEM_FIELD__UART0_LCR_STICKP +// STICKP +// +// [Bit 5] RW (@ 0x4000400C) Force Parity +// +// ( (unsigned int) UART0_LCR ) +// STICKP +// +// +// + + +// ------------------------------ Field Item: UART0_LCR_PARITY ---------------------------------- +// SVD Line: 17972 + +// SFDITEM_FIELD__UART0_LCR_PARITY +// PARITY +// +// [Bit 4] RW (@ 0x4000400C) Parity Mode and Parity Stuck Selection +// +// ( (unsigned int) UART0_LCR ) +// PARITY +// +// +// + + +// -------------------------------- Field Item: UART0_LCR_PEN ----------------------------------- +// SVD Line: 17978 + +// SFDITEM_FIELD__UART0_LCR_PEN +// PEN +// +// [Bit 3] RW (@ 0x4000400C) Parity Bit Transfer Enable +// +// ( (unsigned int) UART0_LCR ) +// PEN +// +// +// + + +// ------------------------------ Field Item: UART0_LCR_STOPBIT --------------------------------- +// SVD Line: 17984 + +// SFDITEM_FIELD__UART0_LCR_STOPBIT +// STOPBIT +// +// [Bit 2] RW (@ 0x4000400C) Stop Bit Length Selection +// +// ( (unsigned int) UART0_LCR ) +// STOPBIT +// +// +// + + +// ------------------------------- Field Item: UART0_LCR_DLEN ----------------------------------- +// SVD Line: 17990 + +// SFDITEM_FIELD__UART0_LCR_DLEN +// DLEN +// +// [Bits 1..0] RW (@ 0x4000400C) Data Length Selection +// +// ( (unsigned char)((UART0_LCR >> 0) & 0x3), ((UART0_LCR = (UART0_LCR & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART0_LCR ----------------------------------- +// SVD Line: 17951 + +// SFDITEM_REG__UART0_LCR +// LCR +// +// [Bits 31..0] RW (@ 0x4000400C) UARTn Line Control Register +// ( (unsigned int)((UART0_LCR >> 0) & 0xFFFFFFFF), ((UART0_LCR = (UART0_LCR & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__UART0_LCR_BREAK +// SFDITEM_FIELD__UART0_LCR_STICKP +// SFDITEM_FIELD__UART0_LCR_PARITY +// SFDITEM_FIELD__UART0_LCR_PEN +// SFDITEM_FIELD__UART0_LCR_STOPBIT +// SFDITEM_FIELD__UART0_LCR_DLEN +// +// + + +// ---------------------------- Register Item Address: UART0_DCR -------------------------------- +// SVD Line: 17998 + +unsigned int UART0_DCR __AT (0x40004010); + + + +// ------------------------------- Field Item: UART0_DCR_LBON ----------------------------------- +// SVD Line: 18007 + +// SFDITEM_FIELD__UART0_DCR_LBON +// LBON +// +// [Bit 4] RW (@ 0x40004010) Local Loopback Test Mode Enable +// +// ( (unsigned int) UART0_DCR ) +// LBON +// +// +// + + +// ------------------------------- Field Item: UART0_DCR_RXINV ---------------------------------- +// SVD Line: 18013 + +// SFDITEM_FIELD__UART0_DCR_RXINV +// RXINV +// +// [Bit 3] RW (@ 0x40004010) Receive Data Inversion Selection +// +// ( (unsigned int) UART0_DCR ) +// RXINV +// +// +// + + +// ------------------------------- Field Item: UART0_DCR_TXINV ---------------------------------- +// SVD Line: 18019 + +// SFDITEM_FIELD__UART0_DCR_TXINV +// TXINV +// +// [Bit 2] RW (@ 0x40004010) Transmit Data Inversion Selection +// +// ( (unsigned int) UART0_DCR ) +// TXINV +// +// +// + + +// -------------------------------- Register RTree: UART0_DCR ----------------------------------- +// SVD Line: 17998 + +// SFDITEM_REG__UART0_DCR +// DCR +// +// [Bits 31..0] RW (@ 0x40004010) UARTn Data Control Register +// ( (unsigned int)((UART0_DCR >> 0) & 0xFFFFFFFF), ((UART0_DCR = (UART0_DCR & ~(0x1CUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1C) << 0 ) ) )) +// SFDITEM_FIELD__UART0_DCR_LBON +// SFDITEM_FIELD__UART0_DCR_RXINV +// SFDITEM_FIELD__UART0_DCR_TXINV +// +// + + +// ---------------------------- Register Item Address: UART0_LSR -------------------------------- +// SVD Line: 18027 + +unsigned int UART0_LSR __AT (0x40004014); + + + +// ------------------------------- Field Item: UART0_LSR_TEMT ----------------------------------- +// SVD Line: 18036 + +// SFDITEM_FIELD__UART0_LSR_TEMT +// TEMT +// +// [Bit 6] RO (@ 0x40004014) Transmit Register Empty +// +// ( (unsigned int) UART0_LSR ) +// TEMT +// +// +// + + +// ------------------------------- Field Item: UART0_LSR_THRE ----------------------------------- +// SVD Line: 18042 + +// SFDITEM_FIELD__UART0_LSR_THRE +// THRE +// +// [Bit 5] RO (@ 0x40004014) Transmit Hold Register Empty +// +// ( (unsigned int) UART0_LSR ) +// THRE +// +// +// + + +// -------------------------------- Field Item: UART0_LSR_BI ------------------------------------ +// SVD Line: 18048 + +// SFDITEM_FIELD__UART0_LSR_BI +// BI +// +// [Bit 4] RO (@ 0x40004014) Break Condition Indication +// +// ( (unsigned int) UART0_LSR ) +// BI +// +// +// + + +// -------------------------------- Field Item: UART0_LSR_FE ------------------------------------ +// SVD Line: 18054 + +// SFDITEM_FIELD__UART0_LSR_FE +// FE +// +// [Bit 3] RO (@ 0x40004014) Frame Error Indicator +// +// ( (unsigned int) UART0_LSR ) +// FE +// +// +// + + +// -------------------------------- Field Item: UART0_LSR_PE ------------------------------------ +// SVD Line: 18060 + +// SFDITEM_FIELD__UART0_LSR_PE +// PE +// +// [Bit 2] RO (@ 0x40004014) Parity Error Indicator +// +// ( (unsigned int) UART0_LSR ) +// PE +// +// +// + + +// -------------------------------- Field Item: UART0_LSR_OE ------------------------------------ +// SVD Line: 18066 + +// SFDITEM_FIELD__UART0_LSR_OE +// OE +// +// [Bit 1] RO (@ 0x40004014) Overrun Error Indicator +// +// ( (unsigned int) UART0_LSR ) +// OE +// +// +// + + +// -------------------------------- Field Item: UART0_LSR_DR ------------------------------------ +// SVD Line: 18072 + +// SFDITEM_FIELD__UART0_LSR_DR +// DR +// +// [Bit 0] RO (@ 0x40004014) Data Receive Indicator +// +// ( (unsigned int) UART0_LSR ) +// DR +// +// +// + + +// -------------------------------- Register RTree: UART0_LSR ----------------------------------- +// SVD Line: 18027 + +// SFDITEM_REG__UART0_LSR +// LSR +// +// [Bits 31..0] RO (@ 0x40004014) UARTn Line Status Register +// ( (unsigned int)((UART0_LSR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART0_LSR_TEMT +// SFDITEM_FIELD__UART0_LSR_THRE +// SFDITEM_FIELD__UART0_LSR_BI +// SFDITEM_FIELD__UART0_LSR_FE +// SFDITEM_FIELD__UART0_LSR_PE +// SFDITEM_FIELD__UART0_LSR_OE +// SFDITEM_FIELD__UART0_LSR_DR +// +// + + +// ---------------------------- Register Item Address: UART0_BDR -------------------------------- +// SVD Line: 18080 + +unsigned int UART0_BDR __AT (0x40004020); + + + +// -------------------------------- Field Item: UART0_BDR_BDR ----------------------------------- +// SVD Line: 18089 + +// SFDITEM_FIELD__UART0_BDR_BDR +// BDR +// +// [Bits 15..0] RW (@ 0x40004020) Baud Rate Divider Latch Value +// +// ( (unsigned short)((UART0_BDR >> 0) & 0xFFFF), ((UART0_BDR = (UART0_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART0_BDR ----------------------------------- +// SVD Line: 18080 + +// SFDITEM_REG__UART0_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40004020) UARTn Baud Rate Divisor Latch Register +// ( (unsigned int)((UART0_BDR >> 0) & 0xFFFFFFFF), ((UART0_BDR = (UART0_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__UART0_BDR_BDR +// +// + + +// ---------------------------- Register Item Address: UART0_BFR -------------------------------- +// SVD Line: 18097 + +unsigned int UART0_BFR __AT (0x40004024); + + + +// -------------------------------- Field Item: UART0_BFR_BFR ----------------------------------- +// SVD Line: 18106 + +// SFDITEM_FIELD__UART0_BFR_BFR +// BFR +// +// [Bits 7..0] RW (@ 0x40004024) Fraction Counter value +// +// ( (unsigned char)((UART0_BFR >> 0) & 0xFF), ((UART0_BFR = (UART0_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART0_BFR ----------------------------------- +// SVD Line: 18097 + +// SFDITEM_REG__UART0_BFR +// BFR +// +// [Bits 31..0] RW (@ 0x40004024) UARTn Baud Rate Fractional Counter Value +// ( (unsigned int)((UART0_BFR >> 0) & 0xFFFFFFFF), ((UART0_BFR = (UART0_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UART0_BFR_BFR +// +// + + +// ---------------------------- Register Item Address: UART0_IDTR ------------------------------- +// SVD Line: 18121 + +unsigned int UART0_IDTR __AT (0x40004030); + + + +// ------------------------------- Field Item: UART0_IDTR_SMS ----------------------------------- +// SVD Line: 18130 + +// SFDITEM_FIELD__UART0_IDTR_SMS +// SMS +// +// [Bit 7] RW (@ 0x40004030) Start Bit Multi Sampling Enable +// +// ( (unsigned int) UART0_IDTR ) +// SMS +// +// +// + + +// ------------------------------- Field Item: UART0_IDTR_DMS ----------------------------------- +// SVD Line: 18136 + +// SFDITEM_FIELD__UART0_IDTR_DMS +// DMS +// +// [Bit 6] RW (@ 0x40004030) Data Bit Multi Sampling Enable +// +// ( (unsigned int) UART0_IDTR ) +// DMS +// +// +// + + +// ----------------------------- Field Item: UART0_IDTR_WAITVAL --------------------------------- +// SVD Line: 18142 + +// SFDITEM_FIELD__UART0_IDTR_WAITVAL +// WAITVAL +// +// [Bits 2..0] RW (@ 0x40004030) Wait Time Value +// +// ( (unsigned char)((UART0_IDTR >> 0) & 0x7), ((UART0_IDTR = (UART0_IDTR & ~(0x7UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: UART0_IDTR ----------------------------------- +// SVD Line: 18121 + +// SFDITEM_REG__UART0_IDTR +// IDTR +// +// [Bits 31..0] RW (@ 0x40004030) UARTn Inter-frame Delay Time Register +// ( (unsigned int)((UART0_IDTR >> 0) & 0xFFFFFFFF), ((UART0_IDTR = (UART0_IDTR & ~(0xC7UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xC7) << 0 ) ) )) +// SFDITEM_FIELD__UART0_IDTR_SMS +// SFDITEM_FIELD__UART0_IDTR_DMS +// SFDITEM_FIELD__UART0_IDTR_WAITVAL +// +// + + +// --------------------------------- Peripheral View: UART0 ------------------------------------- +// SVD Line: 18152 + +// UART0 +// UART0 +// SFDITEM_REG__UART0_RBR +// SFDITEM_REG__UART0_THR +// SFDITEM_REG__UART0_IER +// SFDITEM_REG__UART0_IIR +// SFDITEM_REG__UART0_LCR +// SFDITEM_REG__UART0_DCR +// SFDITEM_REG__UART0_LSR +// SFDITEM_REG__UART0_BDR +// SFDITEM_REG__UART0_BFR +// SFDITEM_REG__UART0_IDTR +// +// + + +// ---------------------------- Register Item Address: UART1_RBR -------------------------------- +// SVD Line: 17853 + +unsigned int UART1_RBR __AT (0x40004100); + + + +// -------------------------------- Field Item: UART1_RBR_RBR ----------------------------------- +// SVD Line: 17862 + +// SFDITEM_FIELD__UART1_RBR_RBR +// RBR +// +// [Bits 7..0] RO (@ 0x40004100) UARTn Receive Data Buffer +// +// ( (unsigned char)((UART1_RBR >> 0) & 0xFF) ) +// +// +// + + +// -------------------------------- Register RTree: UART1_RBR ----------------------------------- +// SVD Line: 17853 + +// SFDITEM_REG__UART1_RBR +// RBR +// +// [Bits 31..0] RO (@ 0x40004100) UARTn Receive Data Buffer Register +// ( (unsigned int)((UART1_RBR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART1_RBR_RBR +// +// + + +// ---------------------------- Register Item Address: UART1_THR -------------------------------- +// SVD Line: 17870 + +unsigned int UART1_THR __AT (0x40004100); + + + +// -------------------------------- Field Item: UART1_THR_THR ----------------------------------- +// SVD Line: 17879 + +// SFDITEM_FIELD__UART1_THR_THR +// THR +// +// [Bits 7..0] WO (@ 0x40004100) UARTn Transmit Data Hold +// +// ( (unsigned char)((UART1_THR >> 0) & 0x0), ((UART1_THR = (UART1_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART1_THR ----------------------------------- +// SVD Line: 17870 + +// SFDITEM_REG__UART1_THR +// THR +// +// [Bits 31..0] WO (@ 0x40004100) UARTn Transmit Data Hold Register +// ( (unsigned int)((UART1_THR >> 0) & 0xFFFFFFFF), ((UART1_THR = (UART1_THR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UART1_THR_THR +// +// + + +// ---------------------------- Register Item Address: UART1_IER -------------------------------- +// SVD Line: 17887 + +unsigned int UART1_IER __AT (0x40004104); + + + +// ------------------------------- Field Item: UART1_IER_TXEIE ---------------------------------- +// SVD Line: 17896 + +// SFDITEM_FIELD__UART1_IER_TXEIE +// TXEIE +// +// [Bit 3] RW (@ 0x40004104) Transmit Empty Interrupt Enable +// +// ( (unsigned int) UART1_IER ) +// TXEIE +// +// +// + + +// ------------------------------- Field Item: UART1_IER_RLSIE ---------------------------------- +// SVD Line: 17902 + +// SFDITEM_FIELD__UART1_IER_RLSIE +// RLSIE +// +// [Bit 2] RW (@ 0x40004104) Receiver Line Status Interrupt Enable +// +// ( (unsigned int) UART1_IER ) +// RLSIE +// +// +// + + +// ------------------------------ Field Item: UART1_IER_THREIE ---------------------------------- +// SVD Line: 17908 + +// SFDITEM_FIELD__UART1_IER_THREIE +// THREIE +// +// [Bit 1] RW (@ 0x40004104) Transmit Holding Register Empty Interrupt Enable +// +// ( (unsigned int) UART1_IER ) +// THREIE +// +// +// + + +// ------------------------------- Field Item: UART1_IER_DRIE ----------------------------------- +// SVD Line: 17914 + +// SFDITEM_FIELD__UART1_IER_DRIE +// DRIE +// +// [Bit 0] RW (@ 0x40004104) Data Receive Interrupt Enable +// +// ( (unsigned int) UART1_IER ) +// DRIE +// +// +// + + +// -------------------------------- Register RTree: UART1_IER ----------------------------------- +// SVD Line: 17887 + +// SFDITEM_REG__UART1_IER +// IER +// +// [Bits 31..0] RW (@ 0x40004104) UARTn Interrupt Enable Register +// ( (unsigned int)((UART1_IER >> 0) & 0xFFFFFFFF), ((UART1_IER = (UART1_IER & ~(0xFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xF) << 0 ) ) )) +// SFDITEM_FIELD__UART1_IER_TXEIE +// SFDITEM_FIELD__UART1_IER_RLSIE +// SFDITEM_FIELD__UART1_IER_THREIE +// SFDITEM_FIELD__UART1_IER_DRIE +// +// + + +// ---------------------------- Register Item Address: UART1_IIR -------------------------------- +// SVD Line: 17922 + +unsigned int UART1_IIR __AT (0x40004108); + + + +// -------------------------------- Field Item: UART1_IIR_TXE ----------------------------------- +// SVD Line: 17931 + +// SFDITEM_FIELD__UART1_IIR_TXE +// TXE +// +// [Bit 4] RO (@ 0x40004108) Transmit Complete Interrupt Source ID +// +// ( (unsigned int) UART1_IIR ) +// TXE +// +// +// + + +// -------------------------------- Field Item: UART1_IIR_IID ----------------------------------- +// SVD Line: 17937 + +// SFDITEM_FIELD__UART1_IIR_IID +// IID +// +// [Bits 2..1] RO (@ 0x40004108) UARTn Interrupt ID +// +// ( (unsigned char)((UART1_IIR >> 1) & 0x3) ) +// +// +// + + +// ------------------------------- Field Item: UART1_IIR_IPEN ----------------------------------- +// SVD Line: 17943 + +// SFDITEM_FIELD__UART1_IIR_IPEN +// IPEN +// +// [Bit 0] RO (@ 0x40004108) Interrupt Pending +// +// ( (unsigned int) UART1_IIR ) +// IPEN +// +// +// + + +// -------------------------------- Register RTree: UART1_IIR ----------------------------------- +// SVD Line: 17922 + +// SFDITEM_REG__UART1_IIR +// IIR +// +// [Bits 31..0] RO (@ 0x40004108) UARTn Interrupt ID Register +// ( (unsigned int)((UART1_IIR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART1_IIR_TXE +// SFDITEM_FIELD__UART1_IIR_IID +// SFDITEM_FIELD__UART1_IIR_IPEN +// +// + + +// ---------------------------- Register Item Address: UART1_LCR -------------------------------- +// SVD Line: 17951 + +unsigned int UART1_LCR __AT (0x4000410C); + + + +// ------------------------------- Field Item: UART1_LCR_BREAK ---------------------------------- +// SVD Line: 17960 + +// SFDITEM_FIELD__UART1_LCR_BREAK +// BREAK +// +// [Bit 6] RW (@ 0x4000410C) Transfer Break Control +// +// ( (unsigned int) UART1_LCR ) +// BREAK +// +// +// + + +// ------------------------------ Field Item: UART1_LCR_STICKP ---------------------------------- +// SVD Line: 17966 + +// SFDITEM_FIELD__UART1_LCR_STICKP +// STICKP +// +// [Bit 5] RW (@ 0x4000410C) Force Parity +// +// ( (unsigned int) UART1_LCR ) +// STICKP +// +// +// + + +// ------------------------------ Field Item: UART1_LCR_PARITY ---------------------------------- +// SVD Line: 17972 + +// SFDITEM_FIELD__UART1_LCR_PARITY +// PARITY +// +// [Bit 4] RW (@ 0x4000410C) Parity Mode and Parity Stuck Selection +// +// ( (unsigned int) UART1_LCR ) +// PARITY +// +// +// + + +// -------------------------------- Field Item: UART1_LCR_PEN ----------------------------------- +// SVD Line: 17978 + +// SFDITEM_FIELD__UART1_LCR_PEN +// PEN +// +// [Bit 3] RW (@ 0x4000410C) Parity Bit Transfer Enable +// +// ( (unsigned int) UART1_LCR ) +// PEN +// +// +// + + +// ------------------------------ Field Item: UART1_LCR_STOPBIT --------------------------------- +// SVD Line: 17984 + +// SFDITEM_FIELD__UART1_LCR_STOPBIT +// STOPBIT +// +// [Bit 2] RW (@ 0x4000410C) Stop Bit Length Selection +// +// ( (unsigned int) UART1_LCR ) +// STOPBIT +// +// +// + + +// ------------------------------- Field Item: UART1_LCR_DLEN ----------------------------------- +// SVD Line: 17990 + +// SFDITEM_FIELD__UART1_LCR_DLEN +// DLEN +// +// [Bits 1..0] RW (@ 0x4000410C) Data Length Selection +// +// ( (unsigned char)((UART1_LCR >> 0) & 0x3), ((UART1_LCR = (UART1_LCR & ~(0x3UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x3) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART1_LCR ----------------------------------- +// SVD Line: 17951 + +// SFDITEM_REG__UART1_LCR +// LCR +// +// [Bits 31..0] RW (@ 0x4000410C) UARTn Line Control Register +// ( (unsigned int)((UART1_LCR >> 0) & 0xFFFFFFFF), ((UART1_LCR = (UART1_LCR & ~(0x7FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x7F) << 0 ) ) )) +// SFDITEM_FIELD__UART1_LCR_BREAK +// SFDITEM_FIELD__UART1_LCR_STICKP +// SFDITEM_FIELD__UART1_LCR_PARITY +// SFDITEM_FIELD__UART1_LCR_PEN +// SFDITEM_FIELD__UART1_LCR_STOPBIT +// SFDITEM_FIELD__UART1_LCR_DLEN +// +// + + +// ---------------------------- Register Item Address: UART1_DCR -------------------------------- +// SVD Line: 17998 + +unsigned int UART1_DCR __AT (0x40004110); + + + +// ------------------------------- Field Item: UART1_DCR_LBON ----------------------------------- +// SVD Line: 18007 + +// SFDITEM_FIELD__UART1_DCR_LBON +// LBON +// +// [Bit 4] RW (@ 0x40004110) Local Loopback Test Mode Enable +// +// ( (unsigned int) UART1_DCR ) +// LBON +// +// +// + + +// ------------------------------- Field Item: UART1_DCR_RXINV ---------------------------------- +// SVD Line: 18013 + +// SFDITEM_FIELD__UART1_DCR_RXINV +// RXINV +// +// [Bit 3] RW (@ 0x40004110) Receive Data Inversion Selection +// +// ( (unsigned int) UART1_DCR ) +// RXINV +// +// +// + + +// ------------------------------- Field Item: UART1_DCR_TXINV ---------------------------------- +// SVD Line: 18019 + +// SFDITEM_FIELD__UART1_DCR_TXINV +// TXINV +// +// [Bit 2] RW (@ 0x40004110) Transmit Data Inversion Selection +// +// ( (unsigned int) UART1_DCR ) +// TXINV +// +// +// + + +// -------------------------------- Register RTree: UART1_DCR ----------------------------------- +// SVD Line: 17998 + +// SFDITEM_REG__UART1_DCR +// DCR +// +// [Bits 31..0] RW (@ 0x40004110) UARTn Data Control Register +// ( (unsigned int)((UART1_DCR >> 0) & 0xFFFFFFFF), ((UART1_DCR = (UART1_DCR & ~(0x1CUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x1C) << 0 ) ) )) +// SFDITEM_FIELD__UART1_DCR_LBON +// SFDITEM_FIELD__UART1_DCR_RXINV +// SFDITEM_FIELD__UART1_DCR_TXINV +// +// + + +// ---------------------------- Register Item Address: UART1_LSR -------------------------------- +// SVD Line: 18027 + +unsigned int UART1_LSR __AT (0x40004114); + + + +// ------------------------------- Field Item: UART1_LSR_TEMT ----------------------------------- +// SVD Line: 18036 + +// SFDITEM_FIELD__UART1_LSR_TEMT +// TEMT +// +// [Bit 6] RO (@ 0x40004114) Transmit Register Empty +// +// ( (unsigned int) UART1_LSR ) +// TEMT +// +// +// + + +// ------------------------------- Field Item: UART1_LSR_THRE ----------------------------------- +// SVD Line: 18042 + +// SFDITEM_FIELD__UART1_LSR_THRE +// THRE +// +// [Bit 5] RO (@ 0x40004114) Transmit Hold Register Empty +// +// ( (unsigned int) UART1_LSR ) +// THRE +// +// +// + + +// -------------------------------- Field Item: UART1_LSR_BI ------------------------------------ +// SVD Line: 18048 + +// SFDITEM_FIELD__UART1_LSR_BI +// BI +// +// [Bit 4] RO (@ 0x40004114) Break Condition Indication +// +// ( (unsigned int) UART1_LSR ) +// BI +// +// +// + + +// -------------------------------- Field Item: UART1_LSR_FE ------------------------------------ +// SVD Line: 18054 + +// SFDITEM_FIELD__UART1_LSR_FE +// FE +// +// [Bit 3] RO (@ 0x40004114) Frame Error Indicator +// +// ( (unsigned int) UART1_LSR ) +// FE +// +// +// + + +// -------------------------------- Field Item: UART1_LSR_PE ------------------------------------ +// SVD Line: 18060 + +// SFDITEM_FIELD__UART1_LSR_PE +// PE +// +// [Bit 2] RO (@ 0x40004114) Parity Error Indicator +// +// ( (unsigned int) UART1_LSR ) +// PE +// +// +// + + +// -------------------------------- Field Item: UART1_LSR_OE ------------------------------------ +// SVD Line: 18066 + +// SFDITEM_FIELD__UART1_LSR_OE +// OE +// +// [Bit 1] RO (@ 0x40004114) Overrun Error Indicator +// +// ( (unsigned int) UART1_LSR ) +// OE +// +// +// + + +// -------------------------------- Field Item: UART1_LSR_DR ------------------------------------ +// SVD Line: 18072 + +// SFDITEM_FIELD__UART1_LSR_DR +// DR +// +// [Bit 0] RO (@ 0x40004114) Data Receive Indicator +// +// ( (unsigned int) UART1_LSR ) +// DR +// +// +// + + +// -------------------------------- Register RTree: UART1_LSR ----------------------------------- +// SVD Line: 18027 + +// SFDITEM_REG__UART1_LSR +// LSR +// +// [Bits 31..0] RO (@ 0x40004114) UARTn Line Status Register +// ( (unsigned int)((UART1_LSR >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__UART1_LSR_TEMT +// SFDITEM_FIELD__UART1_LSR_THRE +// SFDITEM_FIELD__UART1_LSR_BI +// SFDITEM_FIELD__UART1_LSR_FE +// SFDITEM_FIELD__UART1_LSR_PE +// SFDITEM_FIELD__UART1_LSR_OE +// SFDITEM_FIELD__UART1_LSR_DR +// +// + + +// ---------------------------- Register Item Address: UART1_BDR -------------------------------- +// SVD Line: 18080 + +unsigned int UART1_BDR __AT (0x40004120); + + + +// -------------------------------- Field Item: UART1_BDR_BDR ----------------------------------- +// SVD Line: 18089 + +// SFDITEM_FIELD__UART1_BDR_BDR +// BDR +// +// [Bits 15..0] RW (@ 0x40004120) Baud Rate Divider Latch Value +// +// ( (unsigned short)((UART1_BDR >> 0) & 0xFFFF), ((UART1_BDR = (UART1_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART1_BDR ----------------------------------- +// SVD Line: 18080 + +// SFDITEM_REG__UART1_BDR +// BDR +// +// [Bits 31..0] RW (@ 0x40004120) UARTn Baud Rate Divisor Latch Register +// ( (unsigned int)((UART1_BDR >> 0) & 0xFFFFFFFF), ((UART1_BDR = (UART1_BDR & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__UART1_BDR_BDR +// +// + + +// ---------------------------- Register Item Address: UART1_BFR -------------------------------- +// SVD Line: 18097 + +unsigned int UART1_BFR __AT (0x40004124); + + + +// -------------------------------- Field Item: UART1_BFR_BFR ----------------------------------- +// SVD Line: 18106 + +// SFDITEM_FIELD__UART1_BFR_BFR +// BFR +// +// [Bits 7..0] RW (@ 0x40004124) Fraction Counter value +// +// ( (unsigned char)((UART1_BFR >> 0) & 0xFF), ((UART1_BFR = (UART1_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: UART1_BFR ----------------------------------- +// SVD Line: 18097 + +// SFDITEM_REG__UART1_BFR +// BFR +// +// [Bits 31..0] RW (@ 0x40004124) UARTn Baud Rate Fractional Counter Value +// ( (unsigned int)((UART1_BFR >> 0) & 0xFFFFFFFF), ((UART1_BFR = (UART1_BFR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__UART1_BFR_BFR +// +// + + +// ---------------------------- Register Item Address: UART1_IDTR ------------------------------- +// SVD Line: 18121 + +unsigned int UART1_IDTR __AT (0x40004130); + + + +// ------------------------------- Field Item: UART1_IDTR_SMS ----------------------------------- +// SVD Line: 18130 + +// SFDITEM_FIELD__UART1_IDTR_SMS +// SMS +// +// [Bit 7] RW (@ 0x40004130) Start Bit Multi Sampling Enable +// +// ( (unsigned int) UART1_IDTR ) +// SMS +// +// +// + + +// ------------------------------- Field Item: UART1_IDTR_DMS ----------------------------------- +// SVD Line: 18136 + +// SFDITEM_FIELD__UART1_IDTR_DMS +// DMS +// +// [Bit 6] RW (@ 0x40004130) Data Bit Multi Sampling Enable +// +// ( (unsigned int) UART1_IDTR ) +// DMS +// +// +// + + +// ----------------------------- Field Item: UART1_IDTR_WAITVAL --------------------------------- +// SVD Line: 18142 + +// SFDITEM_FIELD__UART1_IDTR_WAITVAL +// WAITVAL +// +// [Bits 2..0] RW (@ 0x40004130) Wait Time Value +// +// ( (unsigned char)((UART1_IDTR >> 0) & 0x7), ((UART1_IDTR = (UART1_IDTR & ~(0x7UL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7) << 0 ) ) )) +// +// +// + + +// ------------------------------- Register RTree: UART1_IDTR ----------------------------------- +// SVD Line: 18121 + +// SFDITEM_REG__UART1_IDTR +// IDTR +// +// [Bits 31..0] RW (@ 0x40004130) UARTn Inter-frame Delay Time Register +// ( (unsigned int)((UART1_IDTR >> 0) & 0xFFFFFFFF), ((UART1_IDTR = (UART1_IDTR & ~(0xC7UL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xC7) << 0 ) ) )) +// SFDITEM_FIELD__UART1_IDTR_SMS +// SFDITEM_FIELD__UART1_IDTR_DMS +// SFDITEM_FIELD__UART1_IDTR_WAITVAL +// +// + + +// --------------------------------- Peripheral View: UART1 ------------------------------------- +// SVD Line: 18171 + +// UART1 +// UART1 +// SFDITEM_REG__UART1_RBR +// SFDITEM_REG__UART1_THR +// SFDITEM_REG__UART1_IER +// SFDITEM_REG__UART1_IIR +// SFDITEM_REG__UART1_LCR +// SFDITEM_REG__UART1_DCR +// SFDITEM_REG__UART1_LSR +// SFDITEM_REG__UART1_BDR +// SFDITEM_REG__UART1_BFR +// SFDITEM_REG__UART1_IDTR +// +// + + +// ----------------------------- Register Item Address: I2Cn_CR --------------------------------- +// SVD Line: 18204 + +unsigned int I2Cn_CR __AT (0x56000000); + + + +// ------------------------------- Field Item: I2Cn_CR_I2CnEN ----------------------------------- +// SVD Line: 18213 + +// SFDITEM_FIELD__I2Cn_CR_I2CnEN +// I2CnEN +// +// [Bit 7] RW (@ 0x56000000) Activate I2Cn Block by supplying +// +// ( (unsigned int) I2Cn_CR ) +// I2CnEN +// +// +// + + +// ------------------------------ Field Item: I2Cn_CR_TXDLYENBn --------------------------------- +// SVD Line: 18219 + +// SFDITEM_FIELD__I2Cn_CR_TXDLYENBn +// TXDLYENBn +// +// [Bit 6] RW (@ 0x56000000) SDHR Register Control +// +// ( (unsigned int) I2Cn_CR ) +// TXDLYENBn +// +// +// + + +// ------------------------------- Field Item: I2Cn_CR_I2CnIEN ---------------------------------- +// SVD Line: 18225 + +// SFDITEM_FIELD__I2Cn_CR_I2CnIEN +// I2CnIEN +// +// [Bit 5] RW (@ 0x56000000) I2Cn Interrupt Enable +// +// ( (unsigned int) I2Cn_CR ) +// I2CnIEN +// +// +// + + +// ------------------------------ Field Item: I2Cn_CR_I2CnIFLAG --------------------------------- +// SVD Line: 18231 + +// SFDITEM_FIELD__I2Cn_CR_I2CnIFLAG +// I2CnIFLAG +// +// [Bit 4] RW (@ 0x56000000) I2Cn Interrupt Flag +// +// ( (unsigned int) I2Cn_CR ) +// I2CnIFLAG +// +// +// + + +// ------------------------------- Field Item: I2Cn_CR_ACKnEN ----------------------------------- +// SVD Line: 18237 + +// SFDITEM_FIELD__I2Cn_CR_ACKnEN +// ACKnEN +// +// [Bit 3] RW (@ 0x56000000) Controls ACK signal generation at ninth SCL period +// +// ( (unsigned int) I2Cn_CR ) +// ACKnEN +// +// +// + + +// ------------------------------ Field Item: I2Cn_CR_IMASTERn ---------------------------------- +// SVD Line: 18243 + +// SFDITEM_FIELD__I2Cn_CR_IMASTERn +// IMASTERn +// +// [Bit 2] RO (@ 0x56000000) Represent Operation Mode of I2Cn +// +// ( (unsigned int) I2Cn_CR ) +// IMASTERn +// +// +// + + +// ------------------------------- Field Item: I2Cn_CR_STOPCn ----------------------------------- +// SVD Line: 18249 + +// SFDITEM_FIELD__I2Cn_CR_STOPCn +// STOPCn +// +// [Bit 1] RW (@ 0x56000000) STOP Condition Generation when I2Cn is master +// +// ( (unsigned int) I2Cn_CR ) +// STOPCn +// +// +// + + +// ------------------------------- Field Item: I2Cn_CR_STARTCn ---------------------------------- +// SVD Line: 18255 + +// SFDITEM_FIELD__I2Cn_CR_STARTCn +// STARTCn +// +// [Bit 0] RW (@ 0x56000000) START Condition Generation when I2Cn is master +// +// ( (unsigned int) I2Cn_CR ) +// STARTCn +// +// +// + + +// --------------------------------- Register RTree: I2Cn_CR ------------------------------------ +// SVD Line: 18204 + +// SFDITEM_REG__I2Cn_CR +// CR +// +// [Bits 31..0] RW (@ 0x56000000) I2Cn Control Register +// ( (unsigned int)((I2Cn_CR >> 0) & 0xFFFFFFFF), ((I2Cn_CR = (I2Cn_CR & ~(0xFBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFB) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_CR_I2CnEN +// SFDITEM_FIELD__I2Cn_CR_TXDLYENBn +// SFDITEM_FIELD__I2Cn_CR_I2CnIEN +// SFDITEM_FIELD__I2Cn_CR_I2CnIFLAG +// SFDITEM_FIELD__I2Cn_CR_ACKnEN +// SFDITEM_FIELD__I2Cn_CR_IMASTERn +// SFDITEM_FIELD__I2Cn_CR_STOPCn +// SFDITEM_FIELD__I2Cn_CR_STARTCn +// +// + + +// ----------------------------- Register Item Address: I2Cn_ST --------------------------------- +// SVD Line: 18263 + +unsigned int I2Cn_ST __AT (0x56000004); + + + +// ------------------------------- Field Item: I2Cn_ST_GCALLn ----------------------------------- +// SVD Line: 18272 + +// SFDITEM_FIELD__I2Cn_ST_GCALLn +// GCALLn +// +// [Bit 7] RW (@ 0x56000004) This bit has different meaning depending on whether I2C is master or slave. When I2C is a master, this bit represents whether it received AACK (address ACK) from slave. When I2C is a slave, this bit is used to indicate general call. +// +// ( (unsigned int) I2Cn_ST ) +// GCALLn +// +// +// + + +// -------------------------------- Field Item: I2Cn_ST_TENDn ----------------------------------- +// SVD Line: 18282 + +// SFDITEM_FIELD__I2Cn_ST_TENDn +// TENDn +// +// [Bit 6] RW (@ 0x56000004) This bit is set when 1-byte of data is transferred completely +// +// ( (unsigned int) I2Cn_ST ) +// TENDn +// +// +// + + +// ------------------------------- Field Item: I2Cn_ST_STOPDn ----------------------------------- +// SVD Line: 18288 + +// SFDITEM_FIELD__I2Cn_ST_STOPDn +// STOPDn +// +// [Bit 5] RW (@ 0x56000004) This bit is set when a STOP condition is detected +// +// ( (unsigned int) I2Cn_ST ) +// STOPDn +// +// +// + + +// -------------------------------- Field Item: I2Cn_ST_SSELn ----------------------------------- +// SVD Line: 18294 + +// SFDITEM_FIELD__I2Cn_ST_SSELn +// SSELn +// +// [Bit 4] RW (@ 0x56000004) This bit is set when I2C is addressed by other master +// +// ( (unsigned int) I2Cn_ST ) +// SSELn +// +// +// + + +// ------------------------------- Field Item: I2Cn_ST_MLOSTn ----------------------------------- +// SVD Line: 18300 + +// SFDITEM_FIELD__I2Cn_ST_MLOSTn +// MLOSTn +// +// [Bit 3] RW (@ 0x56000004) This bit represents the result of bus arbitration in master mode +// +// ( (unsigned int) I2Cn_ST ) +// MLOSTn +// +// +// + + +// -------------------------------- Field Item: I2Cn_ST_BUSYn ----------------------------------- +// SVD Line: 18306 + +// SFDITEM_FIELD__I2Cn_ST_BUSYn +// BUSYn +// +// [Bit 2] RW (@ 0x56000004) This bit reflects bus status +// +// ( (unsigned int) I2Cn_ST ) +// BUSYn +// +// +// + + +// ------------------------------- Field Item: I2Cn_ST_TMODEn ----------------------------------- +// SVD Line: 18312 + +// SFDITEM_FIELD__I2Cn_ST_TMODEn +// TMODEn +// +// [Bit 1] RO (@ 0x56000004) This bit is used to indicate whether I2C is transmitter or receiver +// +// ( (unsigned int) I2Cn_ST ) +// TMODEn +// +// +// + + +// ------------------------------- Field Item: I2Cn_ST_RXACKn ----------------------------------- +// SVD Line: 18318 + +// SFDITEM_FIELD__I2Cn_ST_RXACKn +// RXACKn +// +// [Bit 0] RW (@ 0x56000004) This bit shows the state of ACK signal +// +// ( (unsigned int) I2Cn_ST ) +// RXACKn +// +// +// + + +// --------------------------------- Register RTree: I2Cn_ST ------------------------------------ +// SVD Line: 18263 + +// SFDITEM_REG__I2Cn_ST +// ST +// +// [Bits 31..0] RW (@ 0x56000004) I2Cn Status Register +// ( (unsigned int)((I2Cn_ST >> 0) & 0xFFFFFFFF), ((I2Cn_ST = (I2Cn_ST & ~(0xFDUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFD) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_ST_GCALLn +// SFDITEM_FIELD__I2Cn_ST_TENDn +// SFDITEM_FIELD__I2Cn_ST_STOPDn +// SFDITEM_FIELD__I2Cn_ST_SSELn +// SFDITEM_FIELD__I2Cn_ST_MLOSTn +// SFDITEM_FIELD__I2Cn_ST_BUSYn +// SFDITEM_FIELD__I2Cn_ST_TMODEn +// SFDITEM_FIELD__I2Cn_ST_RXACKn +// +// + + +// ---------------------------- Register Item Address: I2Cn_SAR1 -------------------------------- +// SVD Line: 18326 + +unsigned int I2Cn_SAR1 __AT (0x56000008); + + + +// ------------------------------- Field Item: I2Cn_SAR1_SLAn ----------------------------------- +// SVD Line: 18335 + +// SFDITEM_FIELD__I2Cn_SAR1_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x56000008) These bits configure the slave address 1 in slave mode +// +// ( (unsigned char)((I2Cn_SAR1 >> 1) & 0x7F), ((I2Cn_SAR1 = (I2Cn_SAR1 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2Cn_SAR1_GCALLnEN --------------------------------- +// SVD Line: 18341 + +// SFDITEM_FIELD__I2Cn_SAR1_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x56000008) This bit decides whether I2Cn allows general call address 1 or not in I2Cn slave mode +// +// ( (unsigned int) I2Cn_SAR1 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2Cn_SAR1 ----------------------------------- +// SVD Line: 18326 + +// SFDITEM_REG__I2Cn_SAR1 +// SAR1 +// +// [Bits 31..0] RW (@ 0x56000008) I2Cn Slave Address Register 1 +// ( (unsigned int)((I2Cn_SAR1 >> 0) & 0xFFFFFFFF), ((I2Cn_SAR1 = (I2Cn_SAR1 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_SAR1_SLAn +// SFDITEM_FIELD__I2Cn_SAR1_GCALLnEN +// +// + + +// ---------------------------- Register Item Address: I2Cn_SAR2 -------------------------------- +// SVD Line: 18349 + +unsigned int I2Cn_SAR2 __AT (0x5600000C); + + + +// ------------------------------- Field Item: I2Cn_SAR2_SLAn ----------------------------------- +// SVD Line: 18358 + +// SFDITEM_FIELD__I2Cn_SAR2_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x5600000C) These bits configure the slave address 2 in slave mode +// +// ( (unsigned char)((I2Cn_SAR2 >> 1) & 0x7F), ((I2Cn_SAR2 = (I2Cn_SAR2 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2Cn_SAR2_GCALLnEN --------------------------------- +// SVD Line: 18364 + +// SFDITEM_FIELD__I2Cn_SAR2_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x5600000C) This bit decides whether I2Cn allows general call address 2 or not in I2Cn slave mode +// +// ( (unsigned int) I2Cn_SAR2 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2Cn_SAR2 ----------------------------------- +// SVD Line: 18349 + +// SFDITEM_REG__I2Cn_SAR2 +// SAR2 +// +// [Bits 31..0] RW (@ 0x5600000C) I2Cn Slave Address Register 2 +// ( (unsigned int)((I2Cn_SAR2 >> 0) & 0xFFFFFFFF), ((I2Cn_SAR2 = (I2Cn_SAR2 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_SAR2_SLAn +// SFDITEM_FIELD__I2Cn_SAR2_GCALLnEN +// +// + + +// ----------------------------- Register Item Address: I2Cn_DR --------------------------------- +// SVD Line: 18372 + +unsigned int I2Cn_DR __AT (0x56000010); + + + +// -------------------------------- Field Item: I2Cn_DR_DATA ------------------------------------ +// SVD Line: 18381 + +// SFDITEM_FIELD__I2Cn_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x56000010) The DR Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((I2Cn_DR >> 0) & 0xFF), ((I2Cn_DR = (I2Cn_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: I2Cn_DR ------------------------------------ +// SVD Line: 18372 + +// SFDITEM_REG__I2Cn_DR +// DR +// +// [Bits 31..0] RW (@ 0x56000010) I2Cn Data Register +// ( (unsigned int)((I2Cn_DR >> 0) & 0xFFFFFFFF), ((I2Cn_DR = (I2Cn_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_DR_DATA +// +// + + +// ---------------------------- Register Item Address: I2Cn_SDHR -------------------------------- +// SVD Line: 18389 + +unsigned int I2Cn_SDHR __AT (0x56000014); + + + +// ------------------------------- Field Item: I2Cn_SDHR_HLDT ----------------------------------- +// SVD Line: 18398 + +// SFDITEM_FIELD__I2Cn_SDHR_HLDT +// HLDT +// +// [Bits 11..0] RW (@ 0x56000014) This register is used to control SDA output timing from the falling edge of SCL +// +// ( (unsigned short)((I2Cn_SDHR >> 0) & 0xFFF), ((I2Cn_SDHR = (I2Cn_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2Cn_SDHR ----------------------------------- +// SVD Line: 18389 + +// SFDITEM_REG__I2Cn_SDHR +// SDHR +// +// [Bits 31..0] RW (@ 0x56000014) I2Cn SDA Hold Time Register +// ( (unsigned int)((I2Cn_SDHR >> 0) & 0xFFFFFFFF), ((I2Cn_SDHR = (I2Cn_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_SDHR_HLDT +// +// + + +// ---------------------------- Register Item Address: I2Cn_SCLR -------------------------------- +// SVD Line: 18406 + +unsigned int I2Cn_SCLR __AT (0x56000018); + + + +// ------------------------------- Field Item: I2Cn_SCLR_SCLL ----------------------------------- +// SVD Line: 18415 + +// SFDITEM_FIELD__I2Cn_SCLR_SCLL +// SCLL +// +// [Bits 11..0] RW (@ 0x56000018) This register defines the low period of SCL in master mode +// +// ( (unsigned short)((I2Cn_SCLR >> 0) & 0xFFF), ((I2Cn_SCLR = (I2Cn_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2Cn_SCLR ----------------------------------- +// SVD Line: 18406 + +// SFDITEM_REG__I2Cn_SCLR +// SCLR +// +// [Bits 31..0] RW (@ 0x56000018) I2Cn SCL Low Period Register +// ( (unsigned int)((I2Cn_SCLR >> 0) & 0xFFFFFFFF), ((I2Cn_SCLR = (I2Cn_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_SCLR_SCLL +// +// + + +// ---------------------------- Register Item Address: I2Cn_SCHR -------------------------------- +// SVD Line: 18423 + +unsigned int I2Cn_SCHR __AT (0x5600001C); + + + +// ------------------------------- Field Item: I2Cn_SCHR_SCLH ----------------------------------- +// SVD Line: 18432 + +// SFDITEM_FIELD__I2Cn_SCHR_SCLH +// SCLH +// +// [Bits 11..0] RW (@ 0x5600001C) This register defines the high period of SCL in master mode +// +// ( (unsigned short)((I2Cn_SCHR >> 0) & 0xFFF), ((I2Cn_SCHR = (I2Cn_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2Cn_SCHR ----------------------------------- +// SVD Line: 18423 + +// SFDITEM_REG__I2Cn_SCHR +// SCHR +// +// [Bits 31..0] RW (@ 0x5600001C) I2Cn SCL High Period Register +// ( (unsigned int)((I2Cn_SCHR >> 0) & 0xFFFFFFFF), ((I2Cn_SCHR = (I2Cn_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2Cn_SCHR_SCLH +// +// + + +// ---------------------------------- Peripheral View: I2Cn ------------------------------------- +// SVD Line: 18190 + +// I2Cn +// I2Cn +// SFDITEM_REG__I2Cn_CR +// SFDITEM_REG__I2Cn_ST +// SFDITEM_REG__I2Cn_SAR1 +// SFDITEM_REG__I2Cn_SAR2 +// SFDITEM_REG__I2Cn_DR +// SFDITEM_REG__I2Cn_SDHR +// SFDITEM_REG__I2Cn_SCLR +// SFDITEM_REG__I2Cn_SCHR +// +// + + +// ----------------------------- Register Item Address: I2C0_CR --------------------------------- +// SVD Line: 18204 + +unsigned int I2C0_CR __AT (0x40004800); + + + +// ------------------------------- Field Item: I2C0_CR_I2CnEN ----------------------------------- +// SVD Line: 18213 + +// SFDITEM_FIELD__I2C0_CR_I2CnEN +// I2CnEN +// +// [Bit 7] RW (@ 0x40004800) Activate I2Cn Block by supplying +// +// ( (unsigned int) I2C0_CR ) +// I2CnEN +// +// +// + + +// ------------------------------ Field Item: I2C0_CR_TXDLYENBn --------------------------------- +// SVD Line: 18219 + +// SFDITEM_FIELD__I2C0_CR_TXDLYENBn +// TXDLYENBn +// +// [Bit 6] RW (@ 0x40004800) SDHR Register Control +// +// ( (unsigned int) I2C0_CR ) +// TXDLYENBn +// +// +// + + +// ------------------------------- Field Item: I2C0_CR_I2CnIEN ---------------------------------- +// SVD Line: 18225 + +// SFDITEM_FIELD__I2C0_CR_I2CnIEN +// I2CnIEN +// +// [Bit 5] RW (@ 0x40004800) I2Cn Interrupt Enable +// +// ( (unsigned int) I2C0_CR ) +// I2CnIEN +// +// +// + + +// ------------------------------ Field Item: I2C0_CR_I2CnIFLAG --------------------------------- +// SVD Line: 18231 + +// SFDITEM_FIELD__I2C0_CR_I2CnIFLAG +// I2CnIFLAG +// +// [Bit 4] RW (@ 0x40004800) I2Cn Interrupt Flag +// +// ( (unsigned int) I2C0_CR ) +// I2CnIFLAG +// +// +// + + +// ------------------------------- Field Item: I2C0_CR_ACKnEN ----------------------------------- +// SVD Line: 18237 + +// SFDITEM_FIELD__I2C0_CR_ACKnEN +// ACKnEN +// +// [Bit 3] RW (@ 0x40004800) Controls ACK signal generation at ninth SCL period +// +// ( (unsigned int) I2C0_CR ) +// ACKnEN +// +// +// + + +// ------------------------------ Field Item: I2C0_CR_IMASTERn ---------------------------------- +// SVD Line: 18243 + +// SFDITEM_FIELD__I2C0_CR_IMASTERn +// IMASTERn +// +// [Bit 2] RO (@ 0x40004800) Represent Operation Mode of I2Cn +// +// ( (unsigned int) I2C0_CR ) +// IMASTERn +// +// +// + + +// ------------------------------- Field Item: I2C0_CR_STOPCn ----------------------------------- +// SVD Line: 18249 + +// SFDITEM_FIELD__I2C0_CR_STOPCn +// STOPCn +// +// [Bit 1] RW (@ 0x40004800) STOP Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C0_CR ) +// STOPCn +// +// +// + + +// ------------------------------- Field Item: I2C0_CR_STARTCn ---------------------------------- +// SVD Line: 18255 + +// SFDITEM_FIELD__I2C0_CR_STARTCn +// STARTCn +// +// [Bit 0] RW (@ 0x40004800) START Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C0_CR ) +// STARTCn +// +// +// + + +// --------------------------------- Register RTree: I2C0_CR ------------------------------------ +// SVD Line: 18204 + +// SFDITEM_REG__I2C0_CR +// CR +// +// [Bits 31..0] RW (@ 0x40004800) I2Cn Control Register +// ( (unsigned int)((I2C0_CR >> 0) & 0xFFFFFFFF), ((I2C0_CR = (I2C0_CR & ~(0xFBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFB) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_CR_I2CnEN +// SFDITEM_FIELD__I2C0_CR_TXDLYENBn +// SFDITEM_FIELD__I2C0_CR_I2CnIEN +// SFDITEM_FIELD__I2C0_CR_I2CnIFLAG +// SFDITEM_FIELD__I2C0_CR_ACKnEN +// SFDITEM_FIELD__I2C0_CR_IMASTERn +// SFDITEM_FIELD__I2C0_CR_STOPCn +// SFDITEM_FIELD__I2C0_CR_STARTCn +// +// + + +// ----------------------------- Register Item Address: I2C0_ST --------------------------------- +// SVD Line: 18263 + +unsigned int I2C0_ST __AT (0x40004804); + + + +// ------------------------------- Field Item: I2C0_ST_GCALLn ----------------------------------- +// SVD Line: 18272 + +// SFDITEM_FIELD__I2C0_ST_GCALLn +// GCALLn +// +// [Bit 7] RW (@ 0x40004804) This bit has different meaning depending on whether I2C is master or slave. When I2C is a master, this bit represents whether it received AACK (address ACK) from slave. When I2C is a slave, this bit is used to indicate general call. +// +// ( (unsigned int) I2C0_ST ) +// GCALLn +// +// +// + + +// -------------------------------- Field Item: I2C0_ST_TENDn ----------------------------------- +// SVD Line: 18282 + +// SFDITEM_FIELD__I2C0_ST_TENDn +// TENDn +// +// [Bit 6] RW (@ 0x40004804) This bit is set when 1-byte of data is transferred completely +// +// ( (unsigned int) I2C0_ST ) +// TENDn +// +// +// + + +// ------------------------------- Field Item: I2C0_ST_STOPDn ----------------------------------- +// SVD Line: 18288 + +// SFDITEM_FIELD__I2C0_ST_STOPDn +// STOPDn +// +// [Bit 5] RW (@ 0x40004804) This bit is set when a STOP condition is detected +// +// ( (unsigned int) I2C0_ST ) +// STOPDn +// +// +// + + +// -------------------------------- Field Item: I2C0_ST_SSELn ----------------------------------- +// SVD Line: 18294 + +// SFDITEM_FIELD__I2C0_ST_SSELn +// SSELn +// +// [Bit 4] RW (@ 0x40004804) This bit is set when I2C is addressed by other master +// +// ( (unsigned int) I2C0_ST ) +// SSELn +// +// +// + + +// ------------------------------- Field Item: I2C0_ST_MLOSTn ----------------------------------- +// SVD Line: 18300 + +// SFDITEM_FIELD__I2C0_ST_MLOSTn +// MLOSTn +// +// [Bit 3] RW (@ 0x40004804) This bit represents the result of bus arbitration in master mode +// +// ( (unsigned int) I2C0_ST ) +// MLOSTn +// +// +// + + +// -------------------------------- Field Item: I2C0_ST_BUSYn ----------------------------------- +// SVD Line: 18306 + +// SFDITEM_FIELD__I2C0_ST_BUSYn +// BUSYn +// +// [Bit 2] RW (@ 0x40004804) This bit reflects bus status +// +// ( (unsigned int) I2C0_ST ) +// BUSYn +// +// +// + + +// ------------------------------- Field Item: I2C0_ST_TMODEn ----------------------------------- +// SVD Line: 18312 + +// SFDITEM_FIELD__I2C0_ST_TMODEn +// TMODEn +// +// [Bit 1] RO (@ 0x40004804) This bit is used to indicate whether I2C is transmitter or receiver +// +// ( (unsigned int) I2C0_ST ) +// TMODEn +// +// +// + + +// ------------------------------- Field Item: I2C0_ST_RXACKn ----------------------------------- +// SVD Line: 18318 + +// SFDITEM_FIELD__I2C0_ST_RXACKn +// RXACKn +// +// [Bit 0] RW (@ 0x40004804) This bit shows the state of ACK signal +// +// ( (unsigned int) I2C0_ST ) +// RXACKn +// +// +// + + +// --------------------------------- Register RTree: I2C0_ST ------------------------------------ +// SVD Line: 18263 + +// SFDITEM_REG__I2C0_ST +// ST +// +// [Bits 31..0] RW (@ 0x40004804) I2Cn Status Register +// ( (unsigned int)((I2C0_ST >> 0) & 0xFFFFFFFF), ((I2C0_ST = (I2C0_ST & ~(0xFDUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFD) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_ST_GCALLn +// SFDITEM_FIELD__I2C0_ST_TENDn +// SFDITEM_FIELD__I2C0_ST_STOPDn +// SFDITEM_FIELD__I2C0_ST_SSELn +// SFDITEM_FIELD__I2C0_ST_MLOSTn +// SFDITEM_FIELD__I2C0_ST_BUSYn +// SFDITEM_FIELD__I2C0_ST_TMODEn +// SFDITEM_FIELD__I2C0_ST_RXACKn +// +// + + +// ---------------------------- Register Item Address: I2C0_SAR1 -------------------------------- +// SVD Line: 18326 + +unsigned int I2C0_SAR1 __AT (0x40004808); + + + +// ------------------------------- Field Item: I2C0_SAR1_SLAn ----------------------------------- +// SVD Line: 18335 + +// SFDITEM_FIELD__I2C0_SAR1_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x40004808) These bits configure the slave address 1 in slave mode +// +// ( (unsigned char)((I2C0_SAR1 >> 1) & 0x7F), ((I2C0_SAR1 = (I2C0_SAR1 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C0_SAR1_GCALLnEN --------------------------------- +// SVD Line: 18341 + +// SFDITEM_FIELD__I2C0_SAR1_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x40004808) This bit decides whether I2Cn allows general call address 1 or not in I2Cn slave mode +// +// ( (unsigned int) I2C0_SAR1 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C0_SAR1 ----------------------------------- +// SVD Line: 18326 + +// SFDITEM_REG__I2C0_SAR1 +// SAR1 +// +// [Bits 31..0] RW (@ 0x40004808) I2Cn Slave Address Register 1 +// ( (unsigned int)((I2C0_SAR1 >> 0) & 0xFFFFFFFF), ((I2C0_SAR1 = (I2C0_SAR1 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_SAR1_SLAn +// SFDITEM_FIELD__I2C0_SAR1_GCALLnEN +// +// + + +// ---------------------------- Register Item Address: I2C0_SAR2 -------------------------------- +// SVD Line: 18349 + +unsigned int I2C0_SAR2 __AT (0x4000480C); + + + +// ------------------------------- Field Item: I2C0_SAR2_SLAn ----------------------------------- +// SVD Line: 18358 + +// SFDITEM_FIELD__I2C0_SAR2_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x4000480C) These bits configure the slave address 2 in slave mode +// +// ( (unsigned char)((I2C0_SAR2 >> 1) & 0x7F), ((I2C0_SAR2 = (I2C0_SAR2 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C0_SAR2_GCALLnEN --------------------------------- +// SVD Line: 18364 + +// SFDITEM_FIELD__I2C0_SAR2_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x4000480C) This bit decides whether I2Cn allows general call address 2 or not in I2Cn slave mode +// +// ( (unsigned int) I2C0_SAR2 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C0_SAR2 ----------------------------------- +// SVD Line: 18349 + +// SFDITEM_REG__I2C0_SAR2 +// SAR2 +// +// [Bits 31..0] RW (@ 0x4000480C) I2Cn Slave Address Register 2 +// ( (unsigned int)((I2C0_SAR2 >> 0) & 0xFFFFFFFF), ((I2C0_SAR2 = (I2C0_SAR2 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_SAR2_SLAn +// SFDITEM_FIELD__I2C0_SAR2_GCALLnEN +// +// + + +// ----------------------------- Register Item Address: I2C0_DR --------------------------------- +// SVD Line: 18372 + +unsigned int I2C0_DR __AT (0x40004810); + + + +// -------------------------------- Field Item: I2C0_DR_DATA ------------------------------------ +// SVD Line: 18381 + +// SFDITEM_FIELD__I2C0_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40004810) The DR Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((I2C0_DR >> 0) & 0xFF), ((I2C0_DR = (I2C0_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: I2C0_DR ------------------------------------ +// SVD Line: 18372 + +// SFDITEM_REG__I2C0_DR +// DR +// +// [Bits 31..0] RW (@ 0x40004810) I2Cn Data Register +// ( (unsigned int)((I2C0_DR >> 0) & 0xFFFFFFFF), ((I2C0_DR = (I2C0_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_DR_DATA +// +// + + +// ---------------------------- Register Item Address: I2C0_SDHR -------------------------------- +// SVD Line: 18389 + +unsigned int I2C0_SDHR __AT (0x40004814); + + + +// ------------------------------- Field Item: I2C0_SDHR_HLDT ----------------------------------- +// SVD Line: 18398 + +// SFDITEM_FIELD__I2C0_SDHR_HLDT +// HLDT +// +// [Bits 11..0] RW (@ 0x40004814) This register is used to control SDA output timing from the falling edge of SCL +// +// ( (unsigned short)((I2C0_SDHR >> 0) & 0xFFF), ((I2C0_SDHR = (I2C0_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C0_SDHR ----------------------------------- +// SVD Line: 18389 + +// SFDITEM_REG__I2C0_SDHR +// SDHR +// +// [Bits 31..0] RW (@ 0x40004814) I2Cn SDA Hold Time Register +// ( (unsigned int)((I2C0_SDHR >> 0) & 0xFFFFFFFF), ((I2C0_SDHR = (I2C0_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_SDHR_HLDT +// +// + + +// ---------------------------- Register Item Address: I2C0_SCLR -------------------------------- +// SVD Line: 18406 + +unsigned int I2C0_SCLR __AT (0x40004818); + + + +// ------------------------------- Field Item: I2C0_SCLR_SCLL ----------------------------------- +// SVD Line: 18415 + +// SFDITEM_FIELD__I2C0_SCLR_SCLL +// SCLL +// +// [Bits 11..0] RW (@ 0x40004818) This register defines the low period of SCL in master mode +// +// ( (unsigned short)((I2C0_SCLR >> 0) & 0xFFF), ((I2C0_SCLR = (I2C0_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C0_SCLR ----------------------------------- +// SVD Line: 18406 + +// SFDITEM_REG__I2C0_SCLR +// SCLR +// +// [Bits 31..0] RW (@ 0x40004818) I2Cn SCL Low Period Register +// ( (unsigned int)((I2C0_SCLR >> 0) & 0xFFFFFFFF), ((I2C0_SCLR = (I2C0_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_SCLR_SCLL +// +// + + +// ---------------------------- Register Item Address: I2C0_SCHR -------------------------------- +// SVD Line: 18423 + +unsigned int I2C0_SCHR __AT (0x4000481C); + + + +// ------------------------------- Field Item: I2C0_SCHR_SCLH ----------------------------------- +// SVD Line: 18432 + +// SFDITEM_FIELD__I2C0_SCHR_SCLH +// SCLH +// +// [Bits 11..0] RW (@ 0x4000481C) This register defines the high period of SCL in master mode +// +// ( (unsigned short)((I2C0_SCHR >> 0) & 0xFFF), ((I2C0_SCHR = (I2C0_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C0_SCHR ----------------------------------- +// SVD Line: 18423 + +// SFDITEM_REG__I2C0_SCHR +// SCHR +// +// [Bits 31..0] RW (@ 0x4000481C) I2Cn SCL High Period Register +// ( (unsigned int)((I2C0_SCHR >> 0) & 0xFFFFFFFF), ((I2C0_SCHR = (I2C0_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C0_SCHR_SCLH +// +// + + +// ---------------------------------- Peripheral View: I2C0 ------------------------------------- +// SVD Line: 18442 + +// I2C0 +// I2C0 +// SFDITEM_REG__I2C0_CR +// SFDITEM_REG__I2C0_ST +// SFDITEM_REG__I2C0_SAR1 +// SFDITEM_REG__I2C0_SAR2 +// SFDITEM_REG__I2C0_DR +// SFDITEM_REG__I2C0_SDHR +// SFDITEM_REG__I2C0_SCLR +// SFDITEM_REG__I2C0_SCHR +// +// + + +// ----------------------------- Register Item Address: I2C1_CR --------------------------------- +// SVD Line: 18204 + +unsigned int I2C1_CR __AT (0x40004900); + + + +// ------------------------------- Field Item: I2C1_CR_I2CnEN ----------------------------------- +// SVD Line: 18213 + +// SFDITEM_FIELD__I2C1_CR_I2CnEN +// I2CnEN +// +// [Bit 7] RW (@ 0x40004900) Activate I2Cn Block by supplying +// +// ( (unsigned int) I2C1_CR ) +// I2CnEN +// +// +// + + +// ------------------------------ Field Item: I2C1_CR_TXDLYENBn --------------------------------- +// SVD Line: 18219 + +// SFDITEM_FIELD__I2C1_CR_TXDLYENBn +// TXDLYENBn +// +// [Bit 6] RW (@ 0x40004900) SDHR Register Control +// +// ( (unsigned int) I2C1_CR ) +// TXDLYENBn +// +// +// + + +// ------------------------------- Field Item: I2C1_CR_I2CnIEN ---------------------------------- +// SVD Line: 18225 + +// SFDITEM_FIELD__I2C1_CR_I2CnIEN +// I2CnIEN +// +// [Bit 5] RW (@ 0x40004900) I2Cn Interrupt Enable +// +// ( (unsigned int) I2C1_CR ) +// I2CnIEN +// +// +// + + +// ------------------------------ Field Item: I2C1_CR_I2CnIFLAG --------------------------------- +// SVD Line: 18231 + +// SFDITEM_FIELD__I2C1_CR_I2CnIFLAG +// I2CnIFLAG +// +// [Bit 4] RW (@ 0x40004900) I2Cn Interrupt Flag +// +// ( (unsigned int) I2C1_CR ) +// I2CnIFLAG +// +// +// + + +// ------------------------------- Field Item: I2C1_CR_ACKnEN ----------------------------------- +// SVD Line: 18237 + +// SFDITEM_FIELD__I2C1_CR_ACKnEN +// ACKnEN +// +// [Bit 3] RW (@ 0x40004900) Controls ACK signal generation at ninth SCL period +// +// ( (unsigned int) I2C1_CR ) +// ACKnEN +// +// +// + + +// ------------------------------ Field Item: I2C1_CR_IMASTERn ---------------------------------- +// SVD Line: 18243 + +// SFDITEM_FIELD__I2C1_CR_IMASTERn +// IMASTERn +// +// [Bit 2] RO (@ 0x40004900) Represent Operation Mode of I2Cn +// +// ( (unsigned int) I2C1_CR ) +// IMASTERn +// +// +// + + +// ------------------------------- Field Item: I2C1_CR_STOPCn ----------------------------------- +// SVD Line: 18249 + +// SFDITEM_FIELD__I2C1_CR_STOPCn +// STOPCn +// +// [Bit 1] RW (@ 0x40004900) STOP Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C1_CR ) +// STOPCn +// +// +// + + +// ------------------------------- Field Item: I2C1_CR_STARTCn ---------------------------------- +// SVD Line: 18255 + +// SFDITEM_FIELD__I2C1_CR_STARTCn +// STARTCn +// +// [Bit 0] RW (@ 0x40004900) START Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C1_CR ) +// STARTCn +// +// +// + + +// --------------------------------- Register RTree: I2C1_CR ------------------------------------ +// SVD Line: 18204 + +// SFDITEM_REG__I2C1_CR +// CR +// +// [Bits 31..0] RW (@ 0x40004900) I2Cn Control Register +// ( (unsigned int)((I2C1_CR >> 0) & 0xFFFFFFFF), ((I2C1_CR = (I2C1_CR & ~(0xFBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFB) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_CR_I2CnEN +// SFDITEM_FIELD__I2C1_CR_TXDLYENBn +// SFDITEM_FIELD__I2C1_CR_I2CnIEN +// SFDITEM_FIELD__I2C1_CR_I2CnIFLAG +// SFDITEM_FIELD__I2C1_CR_ACKnEN +// SFDITEM_FIELD__I2C1_CR_IMASTERn +// SFDITEM_FIELD__I2C1_CR_STOPCn +// SFDITEM_FIELD__I2C1_CR_STARTCn +// +// + + +// ----------------------------- Register Item Address: I2C1_ST --------------------------------- +// SVD Line: 18263 + +unsigned int I2C1_ST __AT (0x40004904); + + + +// ------------------------------- Field Item: I2C1_ST_GCALLn ----------------------------------- +// SVD Line: 18272 + +// SFDITEM_FIELD__I2C1_ST_GCALLn +// GCALLn +// +// [Bit 7] RW (@ 0x40004904) This bit has different meaning depending on whether I2C is master or slave. When I2C is a master, this bit represents whether it received AACK (address ACK) from slave. When I2C is a slave, this bit is used to indicate general call. +// +// ( (unsigned int) I2C1_ST ) +// GCALLn +// +// +// + + +// -------------------------------- Field Item: I2C1_ST_TENDn ----------------------------------- +// SVD Line: 18282 + +// SFDITEM_FIELD__I2C1_ST_TENDn +// TENDn +// +// [Bit 6] RW (@ 0x40004904) This bit is set when 1-byte of data is transferred completely +// +// ( (unsigned int) I2C1_ST ) +// TENDn +// +// +// + + +// ------------------------------- Field Item: I2C1_ST_STOPDn ----------------------------------- +// SVD Line: 18288 + +// SFDITEM_FIELD__I2C1_ST_STOPDn +// STOPDn +// +// [Bit 5] RW (@ 0x40004904) This bit is set when a STOP condition is detected +// +// ( (unsigned int) I2C1_ST ) +// STOPDn +// +// +// + + +// -------------------------------- Field Item: I2C1_ST_SSELn ----------------------------------- +// SVD Line: 18294 + +// SFDITEM_FIELD__I2C1_ST_SSELn +// SSELn +// +// [Bit 4] RW (@ 0x40004904) This bit is set when I2C is addressed by other master +// +// ( (unsigned int) I2C1_ST ) +// SSELn +// +// +// + + +// ------------------------------- Field Item: I2C1_ST_MLOSTn ----------------------------------- +// SVD Line: 18300 + +// SFDITEM_FIELD__I2C1_ST_MLOSTn +// MLOSTn +// +// [Bit 3] RW (@ 0x40004904) This bit represents the result of bus arbitration in master mode +// +// ( (unsigned int) I2C1_ST ) +// MLOSTn +// +// +// + + +// -------------------------------- Field Item: I2C1_ST_BUSYn ----------------------------------- +// SVD Line: 18306 + +// SFDITEM_FIELD__I2C1_ST_BUSYn +// BUSYn +// +// [Bit 2] RW (@ 0x40004904) This bit reflects bus status +// +// ( (unsigned int) I2C1_ST ) +// BUSYn +// +// +// + + +// ------------------------------- Field Item: I2C1_ST_TMODEn ----------------------------------- +// SVD Line: 18312 + +// SFDITEM_FIELD__I2C1_ST_TMODEn +// TMODEn +// +// [Bit 1] RO (@ 0x40004904) This bit is used to indicate whether I2C is transmitter or receiver +// +// ( (unsigned int) I2C1_ST ) +// TMODEn +// +// +// + + +// ------------------------------- Field Item: I2C1_ST_RXACKn ----------------------------------- +// SVD Line: 18318 + +// SFDITEM_FIELD__I2C1_ST_RXACKn +// RXACKn +// +// [Bit 0] RW (@ 0x40004904) This bit shows the state of ACK signal +// +// ( (unsigned int) I2C1_ST ) +// RXACKn +// +// +// + + +// --------------------------------- Register RTree: I2C1_ST ------------------------------------ +// SVD Line: 18263 + +// SFDITEM_REG__I2C1_ST +// ST +// +// [Bits 31..0] RW (@ 0x40004904) I2Cn Status Register +// ( (unsigned int)((I2C1_ST >> 0) & 0xFFFFFFFF), ((I2C1_ST = (I2C1_ST & ~(0xFDUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFD) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_ST_GCALLn +// SFDITEM_FIELD__I2C1_ST_TENDn +// SFDITEM_FIELD__I2C1_ST_STOPDn +// SFDITEM_FIELD__I2C1_ST_SSELn +// SFDITEM_FIELD__I2C1_ST_MLOSTn +// SFDITEM_FIELD__I2C1_ST_BUSYn +// SFDITEM_FIELD__I2C1_ST_TMODEn +// SFDITEM_FIELD__I2C1_ST_RXACKn +// +// + + +// ---------------------------- Register Item Address: I2C1_SAR1 -------------------------------- +// SVD Line: 18326 + +unsigned int I2C1_SAR1 __AT (0x40004908); + + + +// ------------------------------- Field Item: I2C1_SAR1_SLAn ----------------------------------- +// SVD Line: 18335 + +// SFDITEM_FIELD__I2C1_SAR1_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x40004908) These bits configure the slave address 1 in slave mode +// +// ( (unsigned char)((I2C1_SAR1 >> 1) & 0x7F), ((I2C1_SAR1 = (I2C1_SAR1 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C1_SAR1_GCALLnEN --------------------------------- +// SVD Line: 18341 + +// SFDITEM_FIELD__I2C1_SAR1_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x40004908) This bit decides whether I2Cn allows general call address 1 or not in I2Cn slave mode +// +// ( (unsigned int) I2C1_SAR1 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C1_SAR1 ----------------------------------- +// SVD Line: 18326 + +// SFDITEM_REG__I2C1_SAR1 +// SAR1 +// +// [Bits 31..0] RW (@ 0x40004908) I2Cn Slave Address Register 1 +// ( (unsigned int)((I2C1_SAR1 >> 0) & 0xFFFFFFFF), ((I2C1_SAR1 = (I2C1_SAR1 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_SAR1_SLAn +// SFDITEM_FIELD__I2C1_SAR1_GCALLnEN +// +// + + +// ---------------------------- Register Item Address: I2C1_SAR2 -------------------------------- +// SVD Line: 18349 + +unsigned int I2C1_SAR2 __AT (0x4000490C); + + + +// ------------------------------- Field Item: I2C1_SAR2_SLAn ----------------------------------- +// SVD Line: 18358 + +// SFDITEM_FIELD__I2C1_SAR2_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x4000490C) These bits configure the slave address 2 in slave mode +// +// ( (unsigned char)((I2C1_SAR2 >> 1) & 0x7F), ((I2C1_SAR2 = (I2C1_SAR2 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C1_SAR2_GCALLnEN --------------------------------- +// SVD Line: 18364 + +// SFDITEM_FIELD__I2C1_SAR2_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x4000490C) This bit decides whether I2Cn allows general call address 2 or not in I2Cn slave mode +// +// ( (unsigned int) I2C1_SAR2 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C1_SAR2 ----------------------------------- +// SVD Line: 18349 + +// SFDITEM_REG__I2C1_SAR2 +// SAR2 +// +// [Bits 31..0] RW (@ 0x4000490C) I2Cn Slave Address Register 2 +// ( (unsigned int)((I2C1_SAR2 >> 0) & 0xFFFFFFFF), ((I2C1_SAR2 = (I2C1_SAR2 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_SAR2_SLAn +// SFDITEM_FIELD__I2C1_SAR2_GCALLnEN +// +// + + +// ----------------------------- Register Item Address: I2C1_DR --------------------------------- +// SVD Line: 18372 + +unsigned int I2C1_DR __AT (0x40004910); + + + +// -------------------------------- Field Item: I2C1_DR_DATA ------------------------------------ +// SVD Line: 18381 + +// SFDITEM_FIELD__I2C1_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40004910) The DR Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((I2C1_DR >> 0) & 0xFF), ((I2C1_DR = (I2C1_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: I2C1_DR ------------------------------------ +// SVD Line: 18372 + +// SFDITEM_REG__I2C1_DR +// DR +// +// [Bits 31..0] RW (@ 0x40004910) I2Cn Data Register +// ( (unsigned int)((I2C1_DR >> 0) & 0xFFFFFFFF), ((I2C1_DR = (I2C1_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_DR_DATA +// +// + + +// ---------------------------- Register Item Address: I2C1_SDHR -------------------------------- +// SVD Line: 18389 + +unsigned int I2C1_SDHR __AT (0x40004914); + + + +// ------------------------------- Field Item: I2C1_SDHR_HLDT ----------------------------------- +// SVD Line: 18398 + +// SFDITEM_FIELD__I2C1_SDHR_HLDT +// HLDT +// +// [Bits 11..0] RW (@ 0x40004914) This register is used to control SDA output timing from the falling edge of SCL +// +// ( (unsigned short)((I2C1_SDHR >> 0) & 0xFFF), ((I2C1_SDHR = (I2C1_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C1_SDHR ----------------------------------- +// SVD Line: 18389 + +// SFDITEM_REG__I2C1_SDHR +// SDHR +// +// [Bits 31..0] RW (@ 0x40004914) I2Cn SDA Hold Time Register +// ( (unsigned int)((I2C1_SDHR >> 0) & 0xFFFFFFFF), ((I2C1_SDHR = (I2C1_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_SDHR_HLDT +// +// + + +// ---------------------------- Register Item Address: I2C1_SCLR -------------------------------- +// SVD Line: 18406 + +unsigned int I2C1_SCLR __AT (0x40004918); + + + +// ------------------------------- Field Item: I2C1_SCLR_SCLL ----------------------------------- +// SVD Line: 18415 + +// SFDITEM_FIELD__I2C1_SCLR_SCLL +// SCLL +// +// [Bits 11..0] RW (@ 0x40004918) This register defines the low period of SCL in master mode +// +// ( (unsigned short)((I2C1_SCLR >> 0) & 0xFFF), ((I2C1_SCLR = (I2C1_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C1_SCLR ----------------------------------- +// SVD Line: 18406 + +// SFDITEM_REG__I2C1_SCLR +// SCLR +// +// [Bits 31..0] RW (@ 0x40004918) I2Cn SCL Low Period Register +// ( (unsigned int)((I2C1_SCLR >> 0) & 0xFFFFFFFF), ((I2C1_SCLR = (I2C1_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_SCLR_SCLL +// +// + + +// ---------------------------- Register Item Address: I2C1_SCHR -------------------------------- +// SVD Line: 18423 + +unsigned int I2C1_SCHR __AT (0x4000491C); + + + +// ------------------------------- Field Item: I2C1_SCHR_SCLH ----------------------------------- +// SVD Line: 18432 + +// SFDITEM_FIELD__I2C1_SCHR_SCLH +// SCLH +// +// [Bits 11..0] RW (@ 0x4000491C) This register defines the high period of SCL in master mode +// +// ( (unsigned short)((I2C1_SCHR >> 0) & 0xFFF), ((I2C1_SCHR = (I2C1_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C1_SCHR ----------------------------------- +// SVD Line: 18423 + +// SFDITEM_REG__I2C1_SCHR +// SCHR +// +// [Bits 31..0] RW (@ 0x4000491C) I2Cn SCL High Period Register +// ( (unsigned int)((I2C1_SCHR >> 0) & 0xFFFFFFFF), ((I2C1_SCHR = (I2C1_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C1_SCHR_SCLH +// +// + + +// ---------------------------------- Peripheral View: I2C1 ------------------------------------- +// SVD Line: 18461 + +// I2C1 +// I2C1 +// SFDITEM_REG__I2C1_CR +// SFDITEM_REG__I2C1_ST +// SFDITEM_REG__I2C1_SAR1 +// SFDITEM_REG__I2C1_SAR2 +// SFDITEM_REG__I2C1_DR +// SFDITEM_REG__I2C1_SDHR +// SFDITEM_REG__I2C1_SCLR +// SFDITEM_REG__I2C1_SCHR +// +// + + +// ----------------------------- Register Item Address: I2C2_CR --------------------------------- +// SVD Line: 18204 + +unsigned int I2C2_CR __AT (0x40004A00); + + + +// ------------------------------- Field Item: I2C2_CR_I2CnEN ----------------------------------- +// SVD Line: 18213 + +// SFDITEM_FIELD__I2C2_CR_I2CnEN +// I2CnEN +// +// [Bit 7] RW (@ 0x40004A00) Activate I2Cn Block by supplying +// +// ( (unsigned int) I2C2_CR ) +// I2CnEN +// +// +// + + +// ------------------------------ Field Item: I2C2_CR_TXDLYENBn --------------------------------- +// SVD Line: 18219 + +// SFDITEM_FIELD__I2C2_CR_TXDLYENBn +// TXDLYENBn +// +// [Bit 6] RW (@ 0x40004A00) SDHR Register Control +// +// ( (unsigned int) I2C2_CR ) +// TXDLYENBn +// +// +// + + +// ------------------------------- Field Item: I2C2_CR_I2CnIEN ---------------------------------- +// SVD Line: 18225 + +// SFDITEM_FIELD__I2C2_CR_I2CnIEN +// I2CnIEN +// +// [Bit 5] RW (@ 0x40004A00) I2Cn Interrupt Enable +// +// ( (unsigned int) I2C2_CR ) +// I2CnIEN +// +// +// + + +// ------------------------------ Field Item: I2C2_CR_I2CnIFLAG --------------------------------- +// SVD Line: 18231 + +// SFDITEM_FIELD__I2C2_CR_I2CnIFLAG +// I2CnIFLAG +// +// [Bit 4] RW (@ 0x40004A00) I2Cn Interrupt Flag +// +// ( (unsigned int) I2C2_CR ) +// I2CnIFLAG +// +// +// + + +// ------------------------------- Field Item: I2C2_CR_ACKnEN ----------------------------------- +// SVD Line: 18237 + +// SFDITEM_FIELD__I2C2_CR_ACKnEN +// ACKnEN +// +// [Bit 3] RW (@ 0x40004A00) Controls ACK signal generation at ninth SCL period +// +// ( (unsigned int) I2C2_CR ) +// ACKnEN +// +// +// + + +// ------------------------------ Field Item: I2C2_CR_IMASTERn ---------------------------------- +// SVD Line: 18243 + +// SFDITEM_FIELD__I2C2_CR_IMASTERn +// IMASTERn +// +// [Bit 2] RO (@ 0x40004A00) Represent Operation Mode of I2Cn +// +// ( (unsigned int) I2C2_CR ) +// IMASTERn +// +// +// + + +// ------------------------------- Field Item: I2C2_CR_STOPCn ----------------------------------- +// SVD Line: 18249 + +// SFDITEM_FIELD__I2C2_CR_STOPCn +// STOPCn +// +// [Bit 1] RW (@ 0x40004A00) STOP Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C2_CR ) +// STOPCn +// +// +// + + +// ------------------------------- Field Item: I2C2_CR_STARTCn ---------------------------------- +// SVD Line: 18255 + +// SFDITEM_FIELD__I2C2_CR_STARTCn +// STARTCn +// +// [Bit 0] RW (@ 0x40004A00) START Condition Generation when I2Cn is master +// +// ( (unsigned int) I2C2_CR ) +// STARTCn +// +// +// + + +// --------------------------------- Register RTree: I2C2_CR ------------------------------------ +// SVD Line: 18204 + +// SFDITEM_REG__I2C2_CR +// CR +// +// [Bits 31..0] RW (@ 0x40004A00) I2Cn Control Register +// ( (unsigned int)((I2C2_CR >> 0) & 0xFFFFFFFF), ((I2C2_CR = (I2C2_CR & ~(0xFBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFB) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_CR_I2CnEN +// SFDITEM_FIELD__I2C2_CR_TXDLYENBn +// SFDITEM_FIELD__I2C2_CR_I2CnIEN +// SFDITEM_FIELD__I2C2_CR_I2CnIFLAG +// SFDITEM_FIELD__I2C2_CR_ACKnEN +// SFDITEM_FIELD__I2C2_CR_IMASTERn +// SFDITEM_FIELD__I2C2_CR_STOPCn +// SFDITEM_FIELD__I2C2_CR_STARTCn +// +// + + +// ----------------------------- Register Item Address: I2C2_ST --------------------------------- +// SVD Line: 18263 + +unsigned int I2C2_ST __AT (0x40004A04); + + + +// ------------------------------- Field Item: I2C2_ST_GCALLn ----------------------------------- +// SVD Line: 18272 + +// SFDITEM_FIELD__I2C2_ST_GCALLn +// GCALLn +// +// [Bit 7] RW (@ 0x40004A04) This bit has different meaning depending on whether I2C is master or slave. When I2C is a master, this bit represents whether it received AACK (address ACK) from slave. When I2C is a slave, this bit is used to indicate general call. +// +// ( (unsigned int) I2C2_ST ) +// GCALLn +// +// +// + + +// -------------------------------- Field Item: I2C2_ST_TENDn ----------------------------------- +// SVD Line: 18282 + +// SFDITEM_FIELD__I2C2_ST_TENDn +// TENDn +// +// [Bit 6] RW (@ 0x40004A04) This bit is set when 1-byte of data is transferred completely +// +// ( (unsigned int) I2C2_ST ) +// TENDn +// +// +// + + +// ------------------------------- Field Item: I2C2_ST_STOPDn ----------------------------------- +// SVD Line: 18288 + +// SFDITEM_FIELD__I2C2_ST_STOPDn +// STOPDn +// +// [Bit 5] RW (@ 0x40004A04) This bit is set when a STOP condition is detected +// +// ( (unsigned int) I2C2_ST ) +// STOPDn +// +// +// + + +// -------------------------------- Field Item: I2C2_ST_SSELn ----------------------------------- +// SVD Line: 18294 + +// SFDITEM_FIELD__I2C2_ST_SSELn +// SSELn +// +// [Bit 4] RW (@ 0x40004A04) This bit is set when I2C is addressed by other master +// +// ( (unsigned int) I2C2_ST ) +// SSELn +// +// +// + + +// ------------------------------- Field Item: I2C2_ST_MLOSTn ----------------------------------- +// SVD Line: 18300 + +// SFDITEM_FIELD__I2C2_ST_MLOSTn +// MLOSTn +// +// [Bit 3] RW (@ 0x40004A04) This bit represents the result of bus arbitration in master mode +// +// ( (unsigned int) I2C2_ST ) +// MLOSTn +// +// +// + + +// -------------------------------- Field Item: I2C2_ST_BUSYn ----------------------------------- +// SVD Line: 18306 + +// SFDITEM_FIELD__I2C2_ST_BUSYn +// BUSYn +// +// [Bit 2] RW (@ 0x40004A04) This bit reflects bus status +// +// ( (unsigned int) I2C2_ST ) +// BUSYn +// +// +// + + +// ------------------------------- Field Item: I2C2_ST_TMODEn ----------------------------------- +// SVD Line: 18312 + +// SFDITEM_FIELD__I2C2_ST_TMODEn +// TMODEn +// +// [Bit 1] RO (@ 0x40004A04) This bit is used to indicate whether I2C is transmitter or receiver +// +// ( (unsigned int) I2C2_ST ) +// TMODEn +// +// +// + + +// ------------------------------- Field Item: I2C2_ST_RXACKn ----------------------------------- +// SVD Line: 18318 + +// SFDITEM_FIELD__I2C2_ST_RXACKn +// RXACKn +// +// [Bit 0] RW (@ 0x40004A04) This bit shows the state of ACK signal +// +// ( (unsigned int) I2C2_ST ) +// RXACKn +// +// +// + + +// --------------------------------- Register RTree: I2C2_ST ------------------------------------ +// SVD Line: 18263 + +// SFDITEM_REG__I2C2_ST +// ST +// +// [Bits 31..0] RW (@ 0x40004A04) I2Cn Status Register +// ( (unsigned int)((I2C2_ST >> 0) & 0xFFFFFFFF), ((I2C2_ST = (I2C2_ST & ~(0xFDUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFD) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_ST_GCALLn +// SFDITEM_FIELD__I2C2_ST_TENDn +// SFDITEM_FIELD__I2C2_ST_STOPDn +// SFDITEM_FIELD__I2C2_ST_SSELn +// SFDITEM_FIELD__I2C2_ST_MLOSTn +// SFDITEM_FIELD__I2C2_ST_BUSYn +// SFDITEM_FIELD__I2C2_ST_TMODEn +// SFDITEM_FIELD__I2C2_ST_RXACKn +// +// + + +// ---------------------------- Register Item Address: I2C2_SAR1 -------------------------------- +// SVD Line: 18326 + +unsigned int I2C2_SAR1 __AT (0x40004A08); + + + +// ------------------------------- Field Item: I2C2_SAR1_SLAn ----------------------------------- +// SVD Line: 18335 + +// SFDITEM_FIELD__I2C2_SAR1_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x40004A08) These bits configure the slave address 1 in slave mode +// +// ( (unsigned char)((I2C2_SAR1 >> 1) & 0x7F), ((I2C2_SAR1 = (I2C2_SAR1 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C2_SAR1_GCALLnEN --------------------------------- +// SVD Line: 18341 + +// SFDITEM_FIELD__I2C2_SAR1_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x40004A08) This bit decides whether I2Cn allows general call address 1 or not in I2Cn slave mode +// +// ( (unsigned int) I2C2_SAR1 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C2_SAR1 ----------------------------------- +// SVD Line: 18326 + +// SFDITEM_REG__I2C2_SAR1 +// SAR1 +// +// [Bits 31..0] RW (@ 0x40004A08) I2Cn Slave Address Register 1 +// ( (unsigned int)((I2C2_SAR1 >> 0) & 0xFFFFFFFF), ((I2C2_SAR1 = (I2C2_SAR1 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_SAR1_SLAn +// SFDITEM_FIELD__I2C2_SAR1_GCALLnEN +// +// + + +// ---------------------------- Register Item Address: I2C2_SAR2 -------------------------------- +// SVD Line: 18349 + +unsigned int I2C2_SAR2 __AT (0x40004A0C); + + + +// ------------------------------- Field Item: I2C2_SAR2_SLAn ----------------------------------- +// SVD Line: 18358 + +// SFDITEM_FIELD__I2C2_SAR2_SLAn +// SLAn +// +// [Bits 7..1] RW (@ 0x40004A0C) These bits configure the slave address 2 in slave mode +// +// ( (unsigned char)((I2C2_SAR2 >> 1) & 0x7F), ((I2C2_SAR2 = (I2C2_SAR2 & ~(0x7FUL << 1 )) | ((unsigned long)(Gui_u8:GuiVal & 0x7F) << 1 ) ) )) +// +// +// + + +// ----------------------------- Field Item: I2C2_SAR2_GCALLnEN --------------------------------- +// SVD Line: 18364 + +// SFDITEM_FIELD__I2C2_SAR2_GCALLnEN +// GCALLnEN +// +// [Bit 0] RW (@ 0x40004A0C) This bit decides whether I2Cn allows general call address 2 or not in I2Cn slave mode +// +// ( (unsigned int) I2C2_SAR2 ) +// GCALLnEN +// +// +// + + +// -------------------------------- Register RTree: I2C2_SAR2 ----------------------------------- +// SVD Line: 18349 + +// SFDITEM_REG__I2C2_SAR2 +// SAR2 +// +// [Bits 31..0] RW (@ 0x40004A0C) I2Cn Slave Address Register 2 +// ( (unsigned int)((I2C2_SAR2 >> 0) & 0xFFFFFFFF), ((I2C2_SAR2 = (I2C2_SAR2 & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_SAR2_SLAn +// SFDITEM_FIELD__I2C2_SAR2_GCALLnEN +// +// + + +// ----------------------------- Register Item Address: I2C2_DR --------------------------------- +// SVD Line: 18372 + +unsigned int I2C2_DR __AT (0x40004A10); + + + +// -------------------------------- Field Item: I2C2_DR_DATA ------------------------------------ +// SVD Line: 18381 + +// SFDITEM_FIELD__I2C2_DR_DATA +// DATA +// +// [Bits 7..0] RW (@ 0x40004A10) The DR Transmit buffer and Receive buffer share the same I/O address with this DATA register +// +// ( (unsigned char)((I2C2_DR >> 0) & 0xFF), ((I2C2_DR = (I2C2_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: I2C2_DR ------------------------------------ +// SVD Line: 18372 + +// SFDITEM_REG__I2C2_DR +// DR +// +// [Bits 31..0] RW (@ 0x40004A10) I2Cn Data Register +// ( (unsigned int)((I2C2_DR >> 0) & 0xFFFFFFFF), ((I2C2_DR = (I2C2_DR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_DR_DATA +// +// + + +// ---------------------------- Register Item Address: I2C2_SDHR -------------------------------- +// SVD Line: 18389 + +unsigned int I2C2_SDHR __AT (0x40004A14); + + + +// ------------------------------- Field Item: I2C2_SDHR_HLDT ----------------------------------- +// SVD Line: 18398 + +// SFDITEM_FIELD__I2C2_SDHR_HLDT +// HLDT +// +// [Bits 11..0] RW (@ 0x40004A14) This register is used to control SDA output timing from the falling edge of SCL +// +// ( (unsigned short)((I2C2_SDHR >> 0) & 0xFFF), ((I2C2_SDHR = (I2C2_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C2_SDHR ----------------------------------- +// SVD Line: 18389 + +// SFDITEM_REG__I2C2_SDHR +// SDHR +// +// [Bits 31..0] RW (@ 0x40004A14) I2Cn SDA Hold Time Register +// ( (unsigned int)((I2C2_SDHR >> 0) & 0xFFFFFFFF), ((I2C2_SDHR = (I2C2_SDHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_SDHR_HLDT +// +// + + +// ---------------------------- Register Item Address: I2C2_SCLR -------------------------------- +// SVD Line: 18406 + +unsigned int I2C2_SCLR __AT (0x40004A18); + + + +// ------------------------------- Field Item: I2C2_SCLR_SCLL ----------------------------------- +// SVD Line: 18415 + +// SFDITEM_FIELD__I2C2_SCLR_SCLL +// SCLL +// +// [Bits 11..0] RW (@ 0x40004A18) This register defines the low period of SCL in master mode +// +// ( (unsigned short)((I2C2_SCLR >> 0) & 0xFFF), ((I2C2_SCLR = (I2C2_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C2_SCLR ----------------------------------- +// SVD Line: 18406 + +// SFDITEM_REG__I2C2_SCLR +// SCLR +// +// [Bits 31..0] RW (@ 0x40004A18) I2Cn SCL Low Period Register +// ( (unsigned int)((I2C2_SCLR >> 0) & 0xFFFFFFFF), ((I2C2_SCLR = (I2C2_SCLR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_SCLR_SCLL +// +// + + +// ---------------------------- Register Item Address: I2C2_SCHR -------------------------------- +// SVD Line: 18423 + +unsigned int I2C2_SCHR __AT (0x40004A1C); + + + +// ------------------------------- Field Item: I2C2_SCHR_SCLH ----------------------------------- +// SVD Line: 18432 + +// SFDITEM_FIELD__I2C2_SCHR_SCLH +// SCLH +// +// [Bits 11..0] RW (@ 0x40004A1C) This register defines the high period of SCL in master mode +// +// ( (unsigned short)((I2C2_SCHR >> 0) & 0xFFF), ((I2C2_SCHR = (I2C2_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: I2C2_SCHR ----------------------------------- +// SVD Line: 18423 + +// SFDITEM_REG__I2C2_SCHR +// SCHR +// +// [Bits 31..0] RW (@ 0x40004A1C) I2Cn SCL High Period Register +// ( (unsigned int)((I2C2_SCHR >> 0) & 0xFFFFFFFF), ((I2C2_SCHR = (I2C2_SCHR & ~(0xFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFF) << 0 ) ) )) +// SFDITEM_FIELD__I2C2_SCHR_SCLH +// +// + + +// ---------------------------------- Peripheral View: I2C2 ------------------------------------- +// SVD Line: 18480 + +// I2C2 +// I2C2 +// SFDITEM_REG__I2C2_CR +// SFDITEM_REG__I2C2_ST +// SFDITEM_REG__I2C2_SAR1 +// SFDITEM_REG__I2C2_SAR2 +// SFDITEM_REG__I2C2_DR +// SFDITEM_REG__I2C2_SDHR +// SFDITEM_REG__I2C2_SCLR +// SFDITEM_REG__I2C2_SCHR +// +// + + +// ------------------------------ Register Item Address: LCD_CR --------------------------------- +// SVD Line: 18513 + +unsigned int LCD_CR __AT (0x40005000); + + + +// -------------------------------- Field Item: LCD_CR_IRSEL ------------------------------------ +// SVD Line: 18522 + +// SFDITEM_FIELD__LCD_CR_IRSEL +// IRSEL +// +// [Bits 7..6] RW (@ 0x40005000) \nInternal LCD Bias Dividing Resistor Selection\n0 : RLCD3 = RLCD3: 105/105/80[kohm] @(1/2)/(1/3)/(1/4) bias\n1 : RLCD1 = RLCD1: 10/10/10[kohm] @(1/2)/(1/3)/(1/4) bias\n2 : RLCD2 = RLCD2: 66/66/50[kohm] @(1/2)/(1/3)/(1/4) bias\n3 : RLCD4 = RLCD4: 320/320/240[kohm] @(1/2)/(1/3)/(1/4) bias +// +// ( (unsigned int) LCD_CR ) +// IRSEL +// <0=> 0: RLCD3 = RLCD3: 105/105/80[kohm] @(1/2)/(1/3)/(1/4) bias +// <1=> 1: RLCD1 = RLCD1: 10/10/10[kohm] @(1/2)/(1/3)/(1/4) bias +// <2=> 2: RLCD2 = RLCD2: 66/66/50[kohm] @(1/2)/(1/3)/(1/4) bias +// <3=> 3: RLCD4 = RLCD4: 320/320/240[kohm] @(1/2)/(1/3)/(1/4) bias +// +// +// + + +// --------------------------------- Field Item: LCD_CR_DBS ------------------------------------- +// SVD Line: 18550 + +// SFDITEM_FIELD__LCD_CR_DBS +// DBS +// +// [Bits 5..3] RW (@ 0x40005000) \nLCD Duty and Bias Selection\n0 : Duty8Bias4 = 1/8 duty, 1/4 bias\n1 : Duty6Bias4 = 1/6 duty, 1/4 bias\n2 : Duty5Bias3 = 1/5 duty, 1/3 bias\n3 : Duty4Bias3 = 1/4 duty, 1/3 bias\n4 : Duty3Bias3 = 1/3 duty, 1/3 bias\n5 : Duty3Bias2 = 1/3 duty, 1/2 bias\n6 : Reserved - do not use\n7 : Reserved - do not use +// +// ( (unsigned int) LCD_CR ) +// DBS +// <0=> 0: Duty8Bias4 = 1/8 duty, 1/4 bias +// <1=> 1: Duty6Bias4 = 1/6 duty, 1/4 bias +// <2=> 2: Duty5Bias3 = 1/5 duty, 1/3 bias +// <3=> 3: Duty4Bias3 = 1/4 duty, 1/3 bias +// <4=> 4: Duty3Bias3 = 1/3 duty, 1/3 bias +// <5=> 5: Duty3Bias2 = 1/3 duty, 1/2 bias +// <6=> 6: +// <7=> 7: +// +// +// + + +// --------------------------------- Field Item: LCD_CR_LCLK ------------------------------------ +// SVD Line: 18588 + +// SFDITEM_FIELD__LCD_CR_LCLK +// LCLK +// +// [Bits 2..1] RW (@ 0x40005000) \nLCD Clock Selection (When fLCD = 32.768kHz)\n0 : fLCD256 = 128Hz\n1 : fLCD128 = 256Hz\n2 : fLCD64 = 512Hz\n3 : fLCD32 = 1024Hz +// +// ( (unsigned int) LCD_CR ) +// LCLK +// <0=> 0: fLCD256 = 128Hz +// <1=> 1: fLCD128 = 256Hz +// <2=> 2: fLCD64 = 512Hz +// <3=> 3: fLCD32 = 1024Hz +// +// +// + + +// --------------------------------- Field Item: LCD_CR_DISP ------------------------------------ +// SVD Line: 18616 + +// SFDITEM_FIELD__LCD_CR_DISP +// DISP +// +// [Bit 0] RW (@ 0x40005000) \nLCD Display Control\n0 : Off = Display off\n1 : On = Normal display on +// +// ( (unsigned int) LCD_CR ) +// DISP +// <0=> 0: Off = Display off +// <1=> 1: On = Normal display on +// +// +// + + +// --------------------------------- Register RTree: LCD_CR ------------------------------------- +// SVD Line: 18513 + +// SFDITEM_REG__LCD_CR +// CR +// +// [Bits 31..0] RW (@ 0x40005000) LCD Driver Control Register +// ( (unsigned int)((LCD_CR >> 0) & 0xFFFFFFFF), ((LCD_CR = (LCD_CR & ~(0xFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFF) << 0 ) ) )) +// SFDITEM_FIELD__LCD_CR_IRSEL +// SFDITEM_FIELD__LCD_CR_DBS +// SFDITEM_FIELD__LCD_CR_LCLK +// SFDITEM_FIELD__LCD_CR_DISP +// +// + + +// ----------------------------- Register Item Address: LCD_BCCR -------------------------------- +// SVD Line: 18636 + +unsigned int LCD_BCCR __AT (0x40005004); + + + +// ------------------------------- Field Item: LCD_BCCR_LCDABC ---------------------------------- +// SVD Line: 18645 + +// SFDITEM_FIELD__LCD_BCCR_LCDABC +// LCDABC +// +// [Bit 12] RW (@ 0x40005004) \nLCD Automatic Bias Control\n0 : Off = LCD automatic bias is off\n1 : On = LCD automatic bias is on +// +// ( (unsigned int) LCD_BCCR ) +// LCDABC +// <0=> 0: Off = LCD automatic bias is off +// <1=> 1: On = LCD automatic bias is on +// +// +// + + +// ------------------------------- Field Item: LCD_BCCR_BMSEL ----------------------------------- +// SVD Line: 18663 + +// SFDITEM_FIELD__LCD_BCCR_BMSEL +// BMSEL +// +// [Bits 10..8] RW (@ 0x40005004) \n'Bias Mode A' Time Selection\n0 : BMA1Clk = 'Bias Mode A' for 1-clock of fLCD\n1 : BMA2Clk = 'Bias Mode A' for 2-clock of fLCD\n2 : BMA3Clk = 'Bias Mode A' for 3-clock of fLCD\n3 : BMA4Clk = 'Bias Mode A' for 4-clock of fLCD\n4 : BMA5Clk = 'Bias Mode A' for 5-clock of fLCD\n5 : BMA6Clk = 'Bias Mode A' for 6-clock of fLCD\n6 : BMA7Clk = 'Bias Mode A' for 7-clock of fLCD\n7 : BMA8Clk = 'Bias Mode A' for 8-clock of fLCD +// +// ( (unsigned int) LCD_BCCR ) +// BMSEL +// <0=> 0: BMA1Clk = 'Bias Mode A' for 1-clock of fLCD +// <1=> 1: BMA2Clk = 'Bias Mode A' for 2-clock of fLCD +// <2=> 2: BMA3Clk = 'Bias Mode A' for 3-clock of fLCD +// <3=> 3: BMA4Clk = 'Bias Mode A' for 4-clock of fLCD +// <4=> 4: BMA5Clk = 'Bias Mode A' for 5-clock of fLCD +// <5=> 5: BMA6Clk = 'Bias Mode A' for 6-clock of fLCD +// <6=> 6: BMA7Clk = 'Bias Mode A' for 7-clock of fLCD +// <7=> 7: BMA8Clk = 'Bias Mode A' for 8-clock of fLCD +// +// +// + + +// ------------------------------- Field Item: LCD_BCCR_LCTEN ----------------------------------- +// SVD Line: 18711 + +// SFDITEM_FIELD__LCD_BCCR_LCTEN +// LCTEN +// +// [Bit 5] RW (@ 0x40005004) \nLCD Driver Contrast Control\n0 : Disable = Disable LCD driver contrast.\n1 : Enable = Enable LCD driver contrast. +// +// ( (unsigned int) LCD_BCCR ) +// LCTEN +// <0=> 0: Disable = Disable LCD driver contrast. +// <1=> 1: Enable = Enable LCD driver contrast. +// +// +// + + +// -------------------------------- Field Item: LCD_BCCR_VLCD ----------------------------------- +// SVD Line: 18729 + +// SFDITEM_FIELD__LCD_BCCR_VLCD +// VLCD +// +// [Bits 3..0] RW (@ 0x40005004) \nVLC0 Voltage Control when the contrast is enabled\n0 : Step0 = VDD x 16/31 Step\n1 : Step1 = VDD x 16/30 Step\n2 : Step2 = VDD x 16/29 Step\n3 : Step3 = VDD x 16/28 Step\n4 : Step4 = VDD x 16/27 Step\n5 : Step5 = VDD x 16/26 Step\n6 : Step6 = VDD x 16/25 Step\n7 : Step7 = VDD x 16/24 Step\n8 : Step8 = VDD x 16/23 Step\n9 : Step9 = VDD x 16/22 Step\n10 : Step10 = VDD x 16/21 Step\n11 : Step11 = VDD x 16/20 Step\n12 : Step12 = VDD x 16/19 Step\n13 : Step13 = VDD x 16/18 Step\n14 : Step14 = VDD x 16/17 Step\n15 : Step15 = VDD x 16/16 Step +// +// ( (unsigned int) LCD_BCCR ) +// VLCD +// <0=> 0: Step0 = VDD x 16/31 Step +// <1=> 1: Step1 = VDD x 16/30 Step +// <2=> 2: Step2 = VDD x 16/29 Step +// <3=> 3: Step3 = VDD x 16/28 Step +// <4=> 4: Step4 = VDD x 16/27 Step +// <5=> 5: Step5 = VDD x 16/26 Step +// <6=> 6: Step6 = VDD x 16/25 Step +// <7=> 7: Step7 = VDD x 16/24 Step +// <8=> 8: Step8 = VDD x 16/23 Step +// <9=> 9: Step9 = VDD x 16/22 Step +// <10=> 10: Step10 = VDD x 16/21 Step +// <11=> 11: Step11 = VDD x 16/20 Step +// <12=> 12: Step12 = VDD x 16/19 Step +// <13=> 13: Step13 = VDD x 16/18 Step +// <14=> 14: Step14 = VDD x 16/17 Step +// <15=> 15: Step15 = VDD x 16/16 Step +// +// +// + + +// -------------------------------- Register RTree: LCD_BCCR ------------------------------------ +// SVD Line: 18636 + +// SFDITEM_REG__LCD_BCCR +// BCCR +// +// [Bits 31..0] RW (@ 0x40005004) LCD Automatic Bias and Contrast Control Register +// ( (unsigned int)((LCD_BCCR >> 0) & 0xFFFFFFFF), ((LCD_BCCR = (LCD_BCCR & ~(0x172FUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0x172F) << 0 ) ) )) +// SFDITEM_FIELD__LCD_BCCR_LCDABC +// SFDITEM_FIELD__LCD_BCCR_BMSEL +// SFDITEM_FIELD__LCD_BCCR_LCTEN +// SFDITEM_FIELD__LCD_BCCR_VLCD +// +// + + +// ----------------------------- Register Item Address: LCD_DR0 --------------------------------- +// SVD Line: 18819 + +unsigned char LCD_DR0 __AT (0x40005010); + + + +// --------------------------------- Register Item: LCD_DR0 ------------------------------------- +// SVD Line: 18819 + +// SFDITEM_REG__LCD_DR0 +// DR0 +// [Bits 7..0] RW (@ 0x40005010) LCD Display Data Register 0 +// +// ( (unsigned char)((LCD_DR0 >> 0) & 0xFFFFFFFF), ((LCD_DR0 = (LCD_DR0 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR1 --------------------------------- +// SVD Line: 18827 + +unsigned char LCD_DR1 __AT (0x40005011); + + + +// --------------------------------- Register Item: LCD_DR1 ------------------------------------- +// SVD Line: 18827 + +// SFDITEM_REG__LCD_DR1 +// DR1 +// [Bits 7..0] RW (@ 0x40005011) LCD Display Data Register 1 +// +// ( (unsigned char)((LCD_DR1 >> 0) & 0xFFFFFFFF), ((LCD_DR1 = (LCD_DR1 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR2 --------------------------------- +// SVD Line: 18835 + +unsigned char LCD_DR2 __AT (0x40005012); + + + +// --------------------------------- Register Item: LCD_DR2 ------------------------------------- +// SVD Line: 18835 + +// SFDITEM_REG__LCD_DR2 +// DR2 +// [Bits 7..0] RW (@ 0x40005012) LCD Display Data Register 2 +// +// ( (unsigned char)((LCD_DR2 >> 0) & 0xFFFFFFFF), ((LCD_DR2 = (LCD_DR2 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR3 --------------------------------- +// SVD Line: 18843 + +unsigned char LCD_DR3 __AT (0x40005013); + + + +// --------------------------------- Register Item: LCD_DR3 ------------------------------------- +// SVD Line: 18843 + +// SFDITEM_REG__LCD_DR3 +// DR3 +// [Bits 7..0] RW (@ 0x40005013) LCD Display Data Register 3 +// +// ( (unsigned char)((LCD_DR3 >> 0) & 0xFFFFFFFF), ((LCD_DR3 = (LCD_DR3 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR4 --------------------------------- +// SVD Line: 18851 + +unsigned char LCD_DR4 __AT (0x40005014); + + + +// --------------------------------- Register Item: LCD_DR4 ------------------------------------- +// SVD Line: 18851 + +// SFDITEM_REG__LCD_DR4 +// DR4 +// [Bits 7..0] RW (@ 0x40005014) LCD Display Data Register 4 +// +// ( (unsigned char)((LCD_DR4 >> 0) & 0xFFFFFFFF), ((LCD_DR4 = (LCD_DR4 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR5 --------------------------------- +// SVD Line: 18859 + +unsigned char LCD_DR5 __AT (0x40005015); + + + +// --------------------------------- Register Item: LCD_DR5 ------------------------------------- +// SVD Line: 18859 + +// SFDITEM_REG__LCD_DR5 +// DR5 +// [Bits 7..0] RW (@ 0x40005015) LCD Display Data Register 5 +// +// ( (unsigned char)((LCD_DR5 >> 0) & 0xFFFFFFFF), ((LCD_DR5 = (LCD_DR5 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR6 --------------------------------- +// SVD Line: 18867 + +unsigned char LCD_DR6 __AT (0x40005016); + + + +// --------------------------------- Register Item: LCD_DR6 ------------------------------------- +// SVD Line: 18867 + +// SFDITEM_REG__LCD_DR6 +// DR6 +// [Bits 7..0] RW (@ 0x40005016) LCD Display Data Register 6 +// +// ( (unsigned char)((LCD_DR6 >> 0) & 0xFFFFFFFF), ((LCD_DR6 = (LCD_DR6 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR7 --------------------------------- +// SVD Line: 18875 + +unsigned char LCD_DR7 __AT (0x40005017); + + + +// --------------------------------- Register Item: LCD_DR7 ------------------------------------- +// SVD Line: 18875 + +// SFDITEM_REG__LCD_DR7 +// DR7 +// [Bits 7..0] RW (@ 0x40005017) LCD Display Data Register 7 +// +// ( (unsigned char)((LCD_DR7 >> 0) & 0xFFFFFFFF), ((LCD_DR7 = (LCD_DR7 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR8 --------------------------------- +// SVD Line: 18883 + +unsigned char LCD_DR8 __AT (0x40005018); + + + +// --------------------------------- Register Item: LCD_DR8 ------------------------------------- +// SVD Line: 18883 + +// SFDITEM_REG__LCD_DR8 +// DR8 +// [Bits 7..0] RW (@ 0x40005018) LCD Display Data Register 8 +// +// ( (unsigned char)((LCD_DR8 >> 0) & 0xFFFFFFFF), ((LCD_DR8 = (LCD_DR8 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR9 --------------------------------- +// SVD Line: 18891 + +unsigned char LCD_DR9 __AT (0x40005019); + + + +// --------------------------------- Register Item: LCD_DR9 ------------------------------------- +// SVD Line: 18891 + +// SFDITEM_REG__LCD_DR9 +// DR9 +// [Bits 7..0] RW (@ 0x40005019) LCD Display Data Register 9 +// +// ( (unsigned char)((LCD_DR9 >> 0) & 0xFFFFFFFF), ((LCD_DR9 = (LCD_DR9 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR10 -------------------------------- +// SVD Line: 18899 + +unsigned char LCD_DR10 __AT (0x4000501A); + + + +// --------------------------------- Register Item: LCD_DR10 ------------------------------------ +// SVD Line: 18899 + +// SFDITEM_REG__LCD_DR10 +// DR10 +// [Bits 7..0] RW (@ 0x4000501A) LCD Display Data Register 10 +// +// ( (unsigned char)((LCD_DR10 >> 0) & 0xFFFFFFFF), ((LCD_DR10 = (LCD_DR10 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR11 -------------------------------- +// SVD Line: 18907 + +unsigned char LCD_DR11 __AT (0x4000501B); + + + +// --------------------------------- Register Item: LCD_DR11 ------------------------------------ +// SVD Line: 18907 + +// SFDITEM_REG__LCD_DR11 +// DR11 +// [Bits 7..0] RW (@ 0x4000501B) LCD Display Data Register 11 +// +// ( (unsigned char)((LCD_DR11 >> 0) & 0xFFFFFFFF), ((LCD_DR11 = (LCD_DR11 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR12 -------------------------------- +// SVD Line: 18915 + +unsigned char LCD_DR12 __AT (0x4000501C); + + + +// --------------------------------- Register Item: LCD_DR12 ------------------------------------ +// SVD Line: 18915 + +// SFDITEM_REG__LCD_DR12 +// DR12 +// [Bits 7..0] RW (@ 0x4000501C) LCD Display Data Register 12 +// +// ( (unsigned char)((LCD_DR12 >> 0) & 0xFFFFFFFF), ((LCD_DR12 = (LCD_DR12 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR13 -------------------------------- +// SVD Line: 18923 + +unsigned char LCD_DR13 __AT (0x4000501D); + + + +// --------------------------------- Register Item: LCD_DR13 ------------------------------------ +// SVD Line: 18923 + +// SFDITEM_REG__LCD_DR13 +// DR13 +// [Bits 7..0] RW (@ 0x4000501D) LCD Display Data Register 13 +// +// ( (unsigned char)((LCD_DR13 >> 0) & 0xFFFFFFFF), ((LCD_DR13 = (LCD_DR13 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR14 -------------------------------- +// SVD Line: 18931 + +unsigned char LCD_DR14 __AT (0x4000501E); + + + +// --------------------------------- Register Item: LCD_DR14 ------------------------------------ +// SVD Line: 18931 + +// SFDITEM_REG__LCD_DR14 +// DR14 +// [Bits 7..0] RW (@ 0x4000501E) LCD Display Data Register 14 +// +// ( (unsigned char)((LCD_DR14 >> 0) & 0xFFFFFFFF), ((LCD_DR14 = (LCD_DR14 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR15 -------------------------------- +// SVD Line: 18939 + +unsigned char LCD_DR15 __AT (0x4000501F); + + + +// --------------------------------- Register Item: LCD_DR15 ------------------------------------ +// SVD Line: 18939 + +// SFDITEM_REG__LCD_DR15 +// DR15 +// [Bits 7..0] RW (@ 0x4000501F) LCD Display Data Register 15 +// +// ( (unsigned char)((LCD_DR15 >> 0) & 0xFFFFFFFF), ((LCD_DR15 = (LCD_DR15 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR16 -------------------------------- +// SVD Line: 18947 + +unsigned char LCD_DR16 __AT (0x40005020); + + + +// --------------------------------- Register Item: LCD_DR16 ------------------------------------ +// SVD Line: 18947 + +// SFDITEM_REG__LCD_DR16 +// DR16 +// [Bits 7..0] RW (@ 0x40005020) LCD Display Data Register 16 +// +// ( (unsigned char)((LCD_DR16 >> 0) & 0xFFFFFFFF), ((LCD_DR16 = (LCD_DR16 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR17 -------------------------------- +// SVD Line: 18955 + +unsigned char LCD_DR17 __AT (0x40005021); + + + +// --------------------------------- Register Item: LCD_DR17 ------------------------------------ +// SVD Line: 18955 + +// SFDITEM_REG__LCD_DR17 +// DR17 +// [Bits 7..0] RW (@ 0x40005021) LCD Display Data Register 17 +// +// ( (unsigned char)((LCD_DR17 >> 0) & 0xFFFFFFFF), ((LCD_DR17 = (LCD_DR17 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR18 -------------------------------- +// SVD Line: 18963 + +unsigned char LCD_DR18 __AT (0x40005022); + + + +// --------------------------------- Register Item: LCD_DR18 ------------------------------------ +// SVD Line: 18963 + +// SFDITEM_REG__LCD_DR18 +// DR18 +// [Bits 7..0] RW (@ 0x40005022) LCD Display Data Register 18 +// +// ( (unsigned char)((LCD_DR18 >> 0) & 0xFFFFFFFF), ((LCD_DR18 = (LCD_DR18 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR19 -------------------------------- +// SVD Line: 18971 + +unsigned char LCD_DR19 __AT (0x40005023); + + + +// --------------------------------- Register Item: LCD_DR19 ------------------------------------ +// SVD Line: 18971 + +// SFDITEM_REG__LCD_DR19 +// DR19 +// [Bits 7..0] RW (@ 0x40005023) LCD Display Data Register 19 +// +// ( (unsigned char)((LCD_DR19 >> 0) & 0xFFFFFFFF), ((LCD_DR19 = (LCD_DR19 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR20 -------------------------------- +// SVD Line: 18979 + +unsigned char LCD_DR20 __AT (0x40005024); + + + +// --------------------------------- Register Item: LCD_DR20 ------------------------------------ +// SVD Line: 18979 + +// SFDITEM_REG__LCD_DR20 +// DR20 +// [Bits 7..0] RW (@ 0x40005024) LCD Display Data Register 20 +// +// ( (unsigned char)((LCD_DR20 >> 0) & 0xFFFFFFFF), ((LCD_DR20 = (LCD_DR20 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR21 -------------------------------- +// SVD Line: 18987 + +unsigned char LCD_DR21 __AT (0x40005025); + + + +// --------------------------------- Register Item: LCD_DR21 ------------------------------------ +// SVD Line: 18987 + +// SFDITEM_REG__LCD_DR21 +// DR21 +// [Bits 7..0] RW (@ 0x40005025) LCD Display Data Register 21 +// +// ( (unsigned char)((LCD_DR21 >> 0) & 0xFFFFFFFF), ((LCD_DR21 = (LCD_DR21 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR22 -------------------------------- +// SVD Line: 18995 + +unsigned char LCD_DR22 __AT (0x40005026); + + + +// --------------------------------- Register Item: LCD_DR22 ------------------------------------ +// SVD Line: 18995 + +// SFDITEM_REG__LCD_DR22 +// DR22 +// [Bits 7..0] RW (@ 0x40005026) LCD Display Data Register 22 +// +// ( (unsigned char)((LCD_DR22 >> 0) & 0xFFFFFFFF), ((LCD_DR22 = (LCD_DR22 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR23 -------------------------------- +// SVD Line: 19003 + +unsigned char LCD_DR23 __AT (0x40005027); + + + +// --------------------------------- Register Item: LCD_DR23 ------------------------------------ +// SVD Line: 19003 + +// SFDITEM_REG__LCD_DR23 +// DR23 +// [Bits 7..0] RW (@ 0x40005027) LCD Display Data Register 23 +// +// ( (unsigned char)((LCD_DR23 >> 0) & 0xFFFFFFFF), ((LCD_DR23 = (LCD_DR23 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR24 -------------------------------- +// SVD Line: 19011 + +unsigned char LCD_DR24 __AT (0x40005028); + + + +// --------------------------------- Register Item: LCD_DR24 ------------------------------------ +// SVD Line: 19011 + +// SFDITEM_REG__LCD_DR24 +// DR24 +// [Bits 7..0] RW (@ 0x40005028) LCD Display Data Register 24 +// +// ( (unsigned char)((LCD_DR24 >> 0) & 0xFFFFFFFF), ((LCD_DR24 = (LCD_DR24 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR25 -------------------------------- +// SVD Line: 19019 + +unsigned char LCD_DR25 __AT (0x40005029); + + + +// --------------------------------- Register Item: LCD_DR25 ------------------------------------ +// SVD Line: 19019 + +// SFDITEM_REG__LCD_DR25 +// DR25 +// [Bits 7..0] RW (@ 0x40005029) LCD Display Data Register 25 +// +// ( (unsigned char)((LCD_DR25 >> 0) & 0xFFFFFFFF), ((LCD_DR25 = (LCD_DR25 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR26 -------------------------------- +// SVD Line: 19027 + +unsigned char LCD_DR26 __AT (0x4000502A); + + + +// --------------------------------- Register Item: LCD_DR26 ------------------------------------ +// SVD Line: 19027 + +// SFDITEM_REG__LCD_DR26 +// DR26 +// [Bits 7..0] RW (@ 0x4000502A) LCD Display Data Register 26 +// +// ( (unsigned char)((LCD_DR26 >> 0) & 0xFFFFFFFF), ((LCD_DR26 = (LCD_DR26 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR27 -------------------------------- +// SVD Line: 19035 + +unsigned char LCD_DR27 __AT (0x4000502B); + + + +// --------------------------------- Register Item: LCD_DR27 ------------------------------------ +// SVD Line: 19035 + +// SFDITEM_REG__LCD_DR27 +// DR27 +// [Bits 7..0] RW (@ 0x4000502B) LCD Display Data Register 27 +// +// ( (unsigned char)((LCD_DR27 >> 0) & 0xFFFFFFFF), ((LCD_DR27 = (LCD_DR27 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR28 -------------------------------- +// SVD Line: 19043 + +unsigned char LCD_DR28 __AT (0x4000502C); + + + +// --------------------------------- Register Item: LCD_DR28 ------------------------------------ +// SVD Line: 19043 + +// SFDITEM_REG__LCD_DR28 +// DR28 +// [Bits 7..0] RW (@ 0x4000502C) LCD Display Data Register 28 +// +// ( (unsigned char)((LCD_DR28 >> 0) & 0xFFFFFFFF), ((LCD_DR28 = (LCD_DR28 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR29 -------------------------------- +// SVD Line: 19051 + +unsigned char LCD_DR29 __AT (0x4000502D); + + + +// --------------------------------- Register Item: LCD_DR29 ------------------------------------ +// SVD Line: 19051 + +// SFDITEM_REG__LCD_DR29 +// DR29 +// [Bits 7..0] RW (@ 0x4000502D) LCD Display Data Register 29 +// +// ( (unsigned char)((LCD_DR29 >> 0) & 0xFFFFFFFF), ((LCD_DR29 = (LCD_DR29 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR30 -------------------------------- +// SVD Line: 19059 + +unsigned char LCD_DR30 __AT (0x4000502E); + + + +// --------------------------------- Register Item: LCD_DR30 ------------------------------------ +// SVD Line: 19059 + +// SFDITEM_REG__LCD_DR30 +// DR30 +// [Bits 7..0] RW (@ 0x4000502E) LCD Display Data Register 30 +// +// ( (unsigned char)((LCD_DR30 >> 0) & 0xFFFFFFFF), ((LCD_DR30 = (LCD_DR30 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR31 -------------------------------- +// SVD Line: 19067 + +unsigned char LCD_DR31 __AT (0x4000502F); + + + +// --------------------------------- Register Item: LCD_DR31 ------------------------------------ +// SVD Line: 19067 + +// SFDITEM_REG__LCD_DR31 +// DR31 +// [Bits 7..0] RW (@ 0x4000502F) LCD Display Data Register 31 +// +// ( (unsigned char)((LCD_DR31 >> 0) & 0xFFFFFFFF), ((LCD_DR31 = (LCD_DR31 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR32 -------------------------------- +// SVD Line: 19075 + +unsigned char LCD_DR32 __AT (0x40005030); + + + +// --------------------------------- Register Item: LCD_DR32 ------------------------------------ +// SVD Line: 19075 + +// SFDITEM_REG__LCD_DR32 +// DR32 +// [Bits 7..0] RW (@ 0x40005030) LCD Display Data Register 32 +// +// ( (unsigned char)((LCD_DR32 >> 0) & 0xFFFFFFFF), ((LCD_DR32 = (LCD_DR32 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR33 -------------------------------- +// SVD Line: 19083 + +unsigned char LCD_DR33 __AT (0x40005031); + + + +// --------------------------------- Register Item: LCD_DR33 ------------------------------------ +// SVD Line: 19083 + +// SFDITEM_REG__LCD_DR33 +// DR33 +// [Bits 7..0] RW (@ 0x40005031) LCD Display Data Register 33 +// +// ( (unsigned char)((LCD_DR33 >> 0) & 0xFFFFFFFF), ((LCD_DR33 = (LCD_DR33 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR34 -------------------------------- +// SVD Line: 19091 + +unsigned char LCD_DR34 __AT (0x40005032); + + + +// --------------------------------- Register Item: LCD_DR34 ------------------------------------ +// SVD Line: 19091 + +// SFDITEM_REG__LCD_DR34 +// DR34 +// [Bits 7..0] RW (@ 0x40005032) LCD Display Data Register 34 +// +// ( (unsigned char)((LCD_DR34 >> 0) & 0xFFFFFFFF), ((LCD_DR34 = (LCD_DR34 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR35 -------------------------------- +// SVD Line: 19099 + +unsigned char LCD_DR35 __AT (0x40005033); + + + +// --------------------------------- Register Item: LCD_DR35 ------------------------------------ +// SVD Line: 19099 + +// SFDITEM_REG__LCD_DR35 +// DR35 +// [Bits 7..0] RW (@ 0x40005033) LCD Display Data Register 35 +// +// ( (unsigned char)((LCD_DR35 >> 0) & 0xFFFFFFFF), ((LCD_DR35 = (LCD_DR35 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR36 -------------------------------- +// SVD Line: 19107 + +unsigned char LCD_DR36 __AT (0x40005034); + + + +// --------------------------------- Register Item: LCD_DR36 ------------------------------------ +// SVD Line: 19107 + +// SFDITEM_REG__LCD_DR36 +// DR36 +// [Bits 7..0] RW (@ 0x40005034) LCD Display Data Register 36 +// +// ( (unsigned char)((LCD_DR36 >> 0) & 0xFFFFFFFF), ((LCD_DR36 = (LCD_DR36 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR37 -------------------------------- +// SVD Line: 19115 + +unsigned char LCD_DR37 __AT (0x40005035); + + + +// --------------------------------- Register Item: LCD_DR37 ------------------------------------ +// SVD Line: 19115 + +// SFDITEM_REG__LCD_DR37 +// DR37 +// [Bits 7..0] RW (@ 0x40005035) LCD Display Data Register 37 +// +// ( (unsigned char)((LCD_DR37 >> 0) & 0xFFFFFFFF), ((LCD_DR37 = (LCD_DR37 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR38 -------------------------------- +// SVD Line: 19123 + +unsigned char LCD_DR38 __AT (0x40005036); + + + +// --------------------------------- Register Item: LCD_DR38 ------------------------------------ +// SVD Line: 19123 + +// SFDITEM_REG__LCD_DR38 +// DR38 +// [Bits 7..0] RW (@ 0x40005036) LCD Display Data Register 38 +// +// ( (unsigned char)((LCD_DR38 >> 0) & 0xFFFFFFFF), ((LCD_DR38 = (LCD_DR38 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR39 -------------------------------- +// SVD Line: 19131 + +unsigned char LCD_DR39 __AT (0x40005037); + + + +// --------------------------------- Register Item: LCD_DR39 ------------------------------------ +// SVD Line: 19131 + +// SFDITEM_REG__LCD_DR39 +// DR39 +// [Bits 7..0] RW (@ 0x40005037) LCD Display Data Register 39 +// +// ( (unsigned char)((LCD_DR39 >> 0) & 0xFFFFFFFF), ((LCD_DR39 = (LCD_DR39 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR40 -------------------------------- +// SVD Line: 19139 + +unsigned char LCD_DR40 __AT (0x40005038); + + + +// --------------------------------- Register Item: LCD_DR40 ------------------------------------ +// SVD Line: 19139 + +// SFDITEM_REG__LCD_DR40 +// DR40 +// [Bits 7..0] RW (@ 0x40005038) LCD Display Data Register 40 +// +// ( (unsigned char)((LCD_DR40 >> 0) & 0xFFFFFFFF), ((LCD_DR40 = (LCD_DR40 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR41 -------------------------------- +// SVD Line: 19147 + +unsigned char LCD_DR41 __AT (0x40005039); + + + +// --------------------------------- Register Item: LCD_DR41 ------------------------------------ +// SVD Line: 19147 + +// SFDITEM_REG__LCD_DR41 +// DR41 +// [Bits 7..0] RW (@ 0x40005039) LCD Display Data Register 41 +// +// ( (unsigned char)((LCD_DR41 >> 0) & 0xFFFFFFFF), ((LCD_DR41 = (LCD_DR41 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR42 -------------------------------- +// SVD Line: 19155 + +unsigned char LCD_DR42 __AT (0x4000503A); + + + +// --------------------------------- Register Item: LCD_DR42 ------------------------------------ +// SVD Line: 19155 + +// SFDITEM_REG__LCD_DR42 +// DR42 +// [Bits 7..0] RW (@ 0x4000503A) LCD Display Data Register 42 +// +// ( (unsigned char)((LCD_DR42 >> 0) & 0xFFFFFFFF), ((LCD_DR42 = (LCD_DR42 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ----------------------------- Register Item Address: LCD_DR43 -------------------------------- +// SVD Line: 19163 + +unsigned char LCD_DR43 __AT (0x4000503B); + + + +// --------------------------------- Register Item: LCD_DR43 ------------------------------------ +// SVD Line: 19163 + +// SFDITEM_REG__LCD_DR43 +// DR43 +// [Bits 7..0] RW (@ 0x4000503B) LCD Display Data Register 43 +// +// ( (unsigned char)((LCD_DR43 >> 0) & 0xFFFFFFFF), ((LCD_DR43 = (LCD_DR43 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u8:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Peripheral View: LCD -------------------------------------- +// SVD Line: 18499 + +// LCD +// LCD +// SFDITEM_REG__LCD_CR +// SFDITEM_REG__LCD_BCCR +// SFDITEM_REG__LCD_DR0 +// SFDITEM_REG__LCD_DR1 +// SFDITEM_REG__LCD_DR2 +// SFDITEM_REG__LCD_DR3 +// SFDITEM_REG__LCD_DR4 +// SFDITEM_REG__LCD_DR5 +// SFDITEM_REG__LCD_DR6 +// SFDITEM_REG__LCD_DR7 +// SFDITEM_REG__LCD_DR8 +// SFDITEM_REG__LCD_DR9 +// SFDITEM_REG__LCD_DR10 +// SFDITEM_REG__LCD_DR11 +// SFDITEM_REG__LCD_DR12 +// SFDITEM_REG__LCD_DR13 +// SFDITEM_REG__LCD_DR14 +// SFDITEM_REG__LCD_DR15 +// SFDITEM_REG__LCD_DR16 +// SFDITEM_REG__LCD_DR17 +// SFDITEM_REG__LCD_DR18 +// SFDITEM_REG__LCD_DR19 +// SFDITEM_REG__LCD_DR20 +// SFDITEM_REG__LCD_DR21 +// SFDITEM_REG__LCD_DR22 +// SFDITEM_REG__LCD_DR23 +// SFDITEM_REG__LCD_DR24 +// SFDITEM_REG__LCD_DR25 +// SFDITEM_REG__LCD_DR26 +// SFDITEM_REG__LCD_DR27 +// SFDITEM_REG__LCD_DR28 +// SFDITEM_REG__LCD_DR29 +// SFDITEM_REG__LCD_DR30 +// SFDITEM_REG__LCD_DR31 +// SFDITEM_REG__LCD_DR32 +// SFDITEM_REG__LCD_DR33 +// SFDITEM_REG__LCD_DR34 +// SFDITEM_REG__LCD_DR35 +// SFDITEM_REG__LCD_DR36 +// SFDITEM_REG__LCD_DR37 +// SFDITEM_REG__LCD_DR38 +// SFDITEM_REG__LCD_DR39 +// SFDITEM_REG__LCD_DR40 +// SFDITEM_REG__LCD_DR41 +// SFDITEM_REG__LCD_DR42 +// SFDITEM_REG__LCD_DR43 +// +// + + +// ------------------------------ Register Item Address: CRC_CR --------------------------------- +// SVD Line: 19187 + +unsigned int CRC_CR __AT (0x30001000); + + + +// --------------------------------- Field Item: CRC_CR_MODS ------------------------------------ +// SVD Line: 19196 + +// SFDITEM_FIELD__CRC_CR_MODS +// MODS +// +// [Bit 7] RW (@ 0x30001000) \nUser/Auto Mode Selection\n0 : UserMode = User Mode (Calculate every data written to the CRC_IN register)\n1 : AutoMode = Auto Mode (Calculate till CRC_SADR == CRC_EADR) +// +// ( (unsigned int) CRC_CR ) +// MODS +// <0=> 0: UserMode = User Mode (Calculate every data written to the CRC_IN register) +// <1=> 1: AutoMode = Auto Mode (Calculate till CRC_SADR == CRC_EADR) +// +// +// + + +// -------------------------------- Field Item: CRC_CR_RLTCLR ----------------------------------- +// SVD Line: 19214 + +// SFDITEM_FIELD__CRC_CR_RLTCLR +// RLTCLR +// +// [Bit 6] RW (@ 0x30001000) \nCRC/Checksum Result Data Register (CRCRLT) Initialization\n0 : NoEffect = No effect.\n1 : Init = Initialize the CRC_RLT register with the value of CRC_INIT. (This bit is automatically cleared to '0' after operation.) +// +// ( (unsigned int) CRC_CR ) +// RLTCLR +// <0=> 0: NoEffect = No effect. +// <1=> 1: Init = Initialize the CRC_RLT register with the value of CRC_INIT. (This bit is automatically cleared to '0' after operation.) +// +// +// + + +// -------------------------------- Field Item: CRC_CR_MDSEL ------------------------------------ +// SVD Line: 19232 + +// SFDITEM_FIELD__CRC_CR_MDSEL +// MDSEL +// +// [Bit 5] RW (@ 0x30001000) \nCRC/Checksum Selection\n0 : CRC = Select CRC.\n1 : Checksum = Select Checksum. +// +// ( (unsigned int) CRC_CR ) +// MDSEL +// <0=> 0: CRC = Select CRC. +// <1=> 1: Checksum = Select Checksum. +// +// +// + + +// -------------------------------- Field Item: CRC_CR_POLYS ------------------------------------ +// SVD Line: 19250 + +// SFDITEM_FIELD__CRC_CR_POLYS +// POLYS +// +// [Bit 4] RW (@ 0x30001000) \nPolynomial Selection (CRC only)\n0 : CRC16_CCITT = CRC16-CCITT (G1(x) = x16 + x12 + x5 + 1)\n1 : CRC16 = CRC16 (G2(x) = x16 + x15 + x2 + 1) +// +// ( (unsigned int) CRC_CR ) +// POLYS +// <0=> 0: CRC16_CCITT = CRC16-CCITT (G1(x) = x16 + x12 + x5 + 1) +// <1=> 1: CRC16 = CRC16 (G2(x) = x16 + x15 + x2 + 1) +// +// +// + + +// -------------------------------- Field Item: CRC_CR_SARINC ----------------------------------- +// SVD Line: 19268 + +// SFDITEM_FIELD__CRC_CR_SARINC +// SARINC +// +// [Bit 3] RW (@ 0x30001000) \nCRC/Checksum Start Address Auto Increment Control (User mode only)\n0 : Disable = No effect.\n1 : Enable = The CRC/Checksum start address register is incremented as the selected input size every writing to the CRC_IN register. +// +// ( (unsigned int) CRC_CR ) +// SARINC +// <0=> 0: Disable = No effect. +// <1=> 1: Enable = The CRC/Checksum start address register is incremented as the selected input size every writing to the CRC_IN register. +// +// +// + + +// ------------------------------- Field Item: CRC_CR_FIRSTBS ----------------------------------- +// SVD Line: 19286 + +// SFDITEM_FIELD__CRC_CR_FIRSTBS +// FIRSTBS +// +// [Bit 1] RW (@ 0x30001000) \nFirst Shifted-in Selection (CRC only)\n0 : msbFirst = msb first\n1 : lsbFirst = lsb first +// +// ( (unsigned int) CRC_CR ) +// FIRSTBS +// <0=> 0: msbFirst = msb first +// <1=> 1: lsbFirst = lsb first +// +// +// + + +// -------------------------------- Field Item: CRC_CR_CRCRUN ----------------------------------- +// SVD Line: 19304 + +// SFDITEM_FIELD__CRC_CR_CRCRUN +// CRCRUN +// +// [Bit 0] RW (@ 0x30001000) \nCRC/Checksum Start Control and Busy\n0 : Stop = Not busy. The CRC operation can be finished by writing '0' to this bit while running.\n1 : Start = Start CRC operation. This bit is automatically cleared to '0' when the value of CRC_SADR register reaches the value of CRC_EADR register. +// +// ( (unsigned int) CRC_CR ) +// CRCRUN +// <0=> 0: Stop = Not busy. The CRC operation can be finished by writing '0' to this bit while running. +// <1=> 1: Start = Start CRC operation. This bit is automatically cleared to '0' when the value of CRC_SADR register reaches the value of CRC_EADR register. +// +// +// + + +// --------------------------------- Register RTree: CRC_CR ------------------------------------- +// SVD Line: 19187 + +// SFDITEM_REG__CRC_CR +// CR +// +// [Bits 31..0] RW (@ 0x30001000) CRC/Checksum Control Register +// ( (unsigned int)((CRC_CR >> 0) & 0xFFFFFFFF), ((CRC_CR = (CRC_CR & ~(0xFBUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFB) << 0 ) ) )) +// SFDITEM_FIELD__CRC_CR_MODS +// SFDITEM_FIELD__CRC_CR_RLTCLR +// SFDITEM_FIELD__CRC_CR_MDSEL +// SFDITEM_FIELD__CRC_CR_POLYS +// SFDITEM_FIELD__CRC_CR_SARINC +// SFDITEM_FIELD__CRC_CR_FIRSTBS +// SFDITEM_FIELD__CRC_CR_CRCRUN +// +// + + +// ------------------------------ Register Item Address: CRC_IN --------------------------------- +// SVD Line: 19324 + +unsigned int CRC_IN __AT (0x30001004); + + + +// -------------------------------- Field Item: CRC_IN_INDATA ----------------------------------- +// SVD Line: 19333 + +// SFDITEM_FIELD__CRC_IN_INDATA +// INDATA +// +// [Bits 31..0] RW (@ 0x30001004) CRC Input Data +// +// ( (unsigned int)((CRC_IN >> 0) & 0xFFFFFFFF), ((CRC_IN = (CRC_IN & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------------- Register RTree: CRC_IN ------------------------------------- +// SVD Line: 19324 + +// SFDITEM_REG__CRC_IN +// IN +// +// [Bits 31..0] RW (@ 0x30001004) CRC/Checksum Input Data Register +// ( (unsigned int)((CRC_IN >> 0) & 0xFFFFFFFF), ((CRC_IN = (CRC_IN & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// SFDITEM_FIELD__CRC_IN_INDATA +// +// + + +// ----------------------------- Register Item Address: CRC_RLT --------------------------------- +// SVD Line: 19341 + +unsigned int CRC_RLT __AT (0x30001008); + + + +// ------------------------------- Field Item: CRC_RLT_RLTDATA ---------------------------------- +// SVD Line: 19350 + +// SFDITEM_FIELD__CRC_RLT_RLTDATA +// RLTDATA +// +// [Bits 15..0] RO (@ 0x30001008) CRC Result Data +// +// ( (unsigned short)((CRC_RLT >> 0) & 0xFFFF) ) +// +// +// + + +// --------------------------------- Register RTree: CRC_RLT ------------------------------------ +// SVD Line: 19341 + +// SFDITEM_REG__CRC_RLT +// RLT +// +// [Bits 31..0] RO (@ 0x30001008) CRC/Checksum Result Data Register +// ( (unsigned int)((CRC_RLT >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__CRC_RLT_RLTDATA +// +// + + +// ----------------------------- Register Item Address: CRC_INIT -------------------------------- +// SVD Line: 19358 + +unsigned int CRC_INIT __AT (0x3000100C); + + + +// ------------------------------ Field Item: CRC_INIT_INIDATA ---------------------------------- +// SVD Line: 19367 + +// SFDITEM_FIELD__CRC_INIT_INIDATA +// INIDATA +// +// [Bits 15..0] RW (@ 0x3000100C) CRC Initial Data +// +// ( (unsigned short)((CRC_INIT >> 0) & 0xFFFF), ((CRC_INIT = (CRC_INIT & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u16:GuiVal & 0xFFFF) << 0 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: CRC_INIT ------------------------------------ +// SVD Line: 19358 + +// SFDITEM_REG__CRC_INIT +// INIT +// +// [Bits 31..0] RW (@ 0x3000100C) CRC/Checksum Initial Data Register +// ( (unsigned int)((CRC_INIT >> 0) & 0xFFFFFFFF), ((CRC_INIT = (CRC_INIT & ~(0xFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFF) << 0 ) ) )) +// SFDITEM_FIELD__CRC_INIT_INIDATA +// +// + + +// ----------------------------- Register Item Address: CRC_SADR -------------------------------- +// SVD Line: 19375 + +unsigned int CRC_SADR __AT (0x30001010); + + + +// -------------------------------- Field Item: CRC_SADR_SADR ----------------------------------- +// SVD Line: 19384 + +// SFDITEM_FIELD__CRC_SADR_SADR +// SADR +// +// [Bits 31..2] RW (@ 0x30001010) CRC Start Address +// +// ( (unsigned int)((CRC_SADR >> 2) & 0x3FFFFFFF), ((CRC_SADR = (CRC_SADR & ~(0x3FFFFFFFUL << 2 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFFFFFF) << 2 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: CRC_SADR ------------------------------------ +// SVD Line: 19375 + +// SFDITEM_REG__CRC_SADR +// SADR +// +// [Bits 31..0] RW (@ 0x30001010) CRC/Checksum Start Address Register +// ( (unsigned int)((CRC_SADR >> 0) & 0xFFFFFFFF), ((CRC_SADR = (CRC_SADR & ~(0xFFFFFFFCUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFC) << 0 ) ) )) +// SFDITEM_FIELD__CRC_SADR_SADR +// +// + + +// ----------------------------- Register Item Address: CRC_EADR -------------------------------- +// SVD Line: 19392 + +unsigned int CRC_EADR __AT (0x30001014); + + + +// -------------------------------- Field Item: CRC_EADR_EADR ----------------------------------- +// SVD Line: 19401 + +// SFDITEM_FIELD__CRC_EADR_EADR +// EADR +// +// [Bits 31..2] RW (@ 0x30001014) CRC End Address +// +// ( (unsigned int)((CRC_EADR >> 2) & 0x3FFFFFFF), ((CRC_EADR = (CRC_EADR & ~(0x3FFFFFFFUL << 2 )) | ((unsigned long)(Gui_u32:GuiVal & 0x3FFFFFFF) << 2 ) ) )) +// +// +// + + +// -------------------------------- Register RTree: CRC_EADR ------------------------------------ +// SVD Line: 19392 + +// SFDITEM_REG__CRC_EADR +// EADR +// +// [Bits 31..0] RW (@ 0x30001014) CRC/Checksum End Address Register +// ( (unsigned int)((CRC_EADR >> 0) & 0xFFFFFFFF), ((CRC_EADR = (CRC_EADR & ~(0xFFFFFFFCUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFC) << 0 ) ) )) +// SFDITEM_FIELD__CRC_EADR_EADR +// +// + + +// ---------------------------------- Peripheral View: CRC -------------------------------------- +// SVD Line: 19173 + +// CRC +// CRC +// SFDITEM_REG__CRC_CR +// SFDITEM_REG__CRC_IN +// SFDITEM_REG__CRC_RLT +// SFDITEM_REG__CRC_INIT +// SFDITEM_REG__CRC_SADR +// SFDITEM_REG__CRC_EADR +// +// + + +// --------------------------- Register Item Address: COA0_TRIM00 ------------------------------- +// SVD Line: 19425 + +unsigned int COA0_TRIM00 __AT (0x1FFFF000); + + + +// ------------------------------- Register Item: COA0_TRIM00 ----------------------------------- +// SVD Line: 19425 + +// SFDITEM_REG__COA0_TRIM00 +// TRIM00 +// [Bits 31..0] RO (@ 0x1FFFF000) System Related Trim Value 00 +// +// ( (unsigned int)((COA0_TRIM00 >> 0) & 0xFFFFFFFF), ((COA0_TRIM00 = (COA0_TRIM00 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM01 ------------------------------- +// SVD Line: 19434 + +unsigned int COA0_TRIM01 __AT (0x1FFFF004); + + + +// ------------------------------- Register Item: COA0_TRIM01 ----------------------------------- +// SVD Line: 19434 + +// SFDITEM_REG__COA0_TRIM01 +// TRIM01 +// [Bits 31..0] RO (@ 0x1FFFF004) System Related Trim Value 01 +// +// ( (unsigned int)((COA0_TRIM01 >> 0) & 0xFFFFFFFF), ((COA0_TRIM01 = (COA0_TRIM01 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM02 ------------------------------- +// SVD Line: 19442 + +unsigned int COA0_TRIM02 __AT (0x1FFFF008); + + + +// ------------------------------- Register Item: COA0_TRIM02 ----------------------------------- +// SVD Line: 19442 + +// SFDITEM_REG__COA0_TRIM02 +// TRIM02 +// [Bits 31..0] RO (@ 0x1FFFF008) System Related Trim Value 02 +// +// ( (unsigned int)((COA0_TRIM02 >> 0) & 0xFFFFFFFF), ((COA0_TRIM02 = (COA0_TRIM02 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM03 ------------------------------- +// SVD Line: 19450 + +unsigned int COA0_TRIM03 __AT (0x1FFFF00C); + + + +// ------------------------------- Register Item: COA0_TRIM03 ----------------------------------- +// SVD Line: 19450 + +// SFDITEM_REG__COA0_TRIM03 +// TRIM03 +// [Bits 31..0] RO (@ 0x1FFFF00C) System Related Trim Value 03 +// +// ( (unsigned int)((COA0_TRIM03 >> 0) & 0xFFFFFFFF), ((COA0_TRIM03 = (COA0_TRIM03 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM04 ------------------------------- +// SVD Line: 19458 + +unsigned int COA0_TRIM04 __AT (0x1FFFF010); + + + +// ------------------------------- Register Item: COA0_TRIM04 ----------------------------------- +// SVD Line: 19458 + +// SFDITEM_REG__COA0_TRIM04 +// TRIM04 +// [Bits 31..0] RO (@ 0x1FFFF010) System Related Trim Value 04 +// +// ( (unsigned int)((COA0_TRIM04 >> 0) & 0xFFFFFFFF), ((COA0_TRIM04 = (COA0_TRIM04 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM05 ------------------------------- +// SVD Line: 19466 + +unsigned int COA0_TRIM05 __AT (0x1FFFF014); + + + +// ------------------------------- Register Item: COA0_TRIM05 ----------------------------------- +// SVD Line: 19466 + +// SFDITEM_REG__COA0_TRIM05 +// TRIM05 +// [Bits 31..0] RO (@ 0x1FFFF014) System Related Trim Value 05 +// +// ( (unsigned int)((COA0_TRIM05 >> 0) & 0xFFFFFFFF), ((COA0_TRIM05 = (COA0_TRIM05 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM06 ------------------------------- +// SVD Line: 19474 + +unsigned int COA0_TRIM06 __AT (0x1FFFF018); + + + +// ------------------------------- Register Item: COA0_TRIM06 ----------------------------------- +// SVD Line: 19474 + +// SFDITEM_REG__COA0_TRIM06 +// TRIM06 +// [Bits 31..0] RO (@ 0x1FFFF018) System Related Trim Value 06 +// +// ( (unsigned int)((COA0_TRIM06 >> 0) & 0xFFFFFFFF), ((COA0_TRIM06 = (COA0_TRIM06 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM07 ------------------------------- +// SVD Line: 19482 + +unsigned int COA0_TRIM07 __AT (0x1FFFF01C); + + + +// ------------------------------- Register Item: COA0_TRIM07 ----------------------------------- +// SVD Line: 19482 + +// SFDITEM_REG__COA0_TRIM07 +// TRIM07 +// [Bits 31..0] RO (@ 0x1FFFF01C) System Related Trim Value 07 +// +// ( (unsigned int)((COA0_TRIM07 >> 0) & 0xFFFFFFFF), ((COA0_TRIM07 = (COA0_TRIM07 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM08 ------------------------------- +// SVD Line: 19490 + +unsigned int COA0_TRIM08 __AT (0x1FFFF020); + + + +// ------------------------------- Register Item: COA0_TRIM08 ----------------------------------- +// SVD Line: 19490 + +// SFDITEM_REG__COA0_TRIM08 +// TRIM08 +// [Bits 31..0] RO (@ 0x1FFFF020) System Related Trim Value 08 +// +// ( (unsigned int)((COA0_TRIM08 >> 0) & 0xFFFFFFFF), ((COA0_TRIM08 = (COA0_TRIM08 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM09 ------------------------------- +// SVD Line: 19498 + +unsigned int COA0_TRIM09 __AT (0x1FFFF024); + + + +// ------------------------------- Register Item: COA0_TRIM09 ----------------------------------- +// SVD Line: 19498 + +// SFDITEM_REG__COA0_TRIM09 +// TRIM09 +// [Bits 31..0] RO (@ 0x1FFFF024) System Related Trim Value 09 +// +// ( (unsigned int)((COA0_TRIM09 >> 0) & 0xFFFFFFFF), ((COA0_TRIM09 = (COA0_TRIM09 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM10 ------------------------------- +// SVD Line: 19506 + +unsigned int COA0_TRIM10 __AT (0x1FFFF028); + + + +// ------------------------------- Register Item: COA0_TRIM10 ----------------------------------- +// SVD Line: 19506 + +// SFDITEM_REG__COA0_TRIM10 +// TRIM10 +// [Bits 31..0] RO (@ 0x1FFFF028) System Related Trim Value 10 +// +// ( (unsigned int)((COA0_TRIM10 >> 0) & 0xFFFFFFFF), ((COA0_TRIM10 = (COA0_TRIM10 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM11 ------------------------------- +// SVD Line: 19514 + +unsigned int COA0_TRIM11 __AT (0x1FFFF02C); + + + +// ------------------------------- Register Item: COA0_TRIM11 ----------------------------------- +// SVD Line: 19514 + +// SFDITEM_REG__COA0_TRIM11 +// TRIM11 +// [Bits 31..0] RO (@ 0x1FFFF02C) System Related Trim Value 11 +// +// ( (unsigned int)((COA0_TRIM11 >> 0) & 0xFFFFFFFF), ((COA0_TRIM11 = (COA0_TRIM11 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM12 ------------------------------- +// SVD Line: 19522 + +unsigned int COA0_TRIM12 __AT (0x1FFFF030); + + + +// ------------------------------- Register Item: COA0_TRIM12 ----------------------------------- +// SVD Line: 19522 + +// SFDITEM_REG__COA0_TRIM12 +// TRIM12 +// [Bits 31..0] RO (@ 0x1FFFF030) System Related Trim Value 12 +// +// ( (unsigned int)((COA0_TRIM12 >> 0) & 0xFFFFFFFF), ((COA0_TRIM12 = (COA0_TRIM12 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM13 ------------------------------- +// SVD Line: 19530 + +unsigned int COA0_TRIM13 __AT (0x1FFFF034); + + + +// ------------------------------- Register Item: COA0_TRIM13 ----------------------------------- +// SVD Line: 19530 + +// SFDITEM_REG__COA0_TRIM13 +// TRIM13 +// [Bits 31..0] RO (@ 0x1FFFF034) System Related Trim Value 13 +// +// ( (unsigned int)((COA0_TRIM13 >> 0) & 0xFFFFFFFF), ((COA0_TRIM13 = (COA0_TRIM13 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM14 ------------------------------- +// SVD Line: 19538 + +unsigned int COA0_TRIM14 __AT (0x1FFFF038); + + + +// ------------------------------- Register Item: COA0_TRIM14 ----------------------------------- +// SVD Line: 19538 + +// SFDITEM_REG__COA0_TRIM14 +// TRIM14 +// [Bits 31..0] RO (@ 0x1FFFF038) System Related Trim Value 14 +// +// ( (unsigned int)((COA0_TRIM14 >> 0) & 0xFFFFFFFF), ((COA0_TRIM14 = (COA0_TRIM14 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM15 ------------------------------- +// SVD Line: 19546 + +unsigned int COA0_TRIM15 __AT (0x1FFFF03C); + + + +// ------------------------------- Register Item: COA0_TRIM15 ----------------------------------- +// SVD Line: 19546 + +// SFDITEM_REG__COA0_TRIM15 +// TRIM15 +// [Bits 31..0] RO (@ 0x1FFFF03C) System Related Trim Value 15 +// +// ( (unsigned int)((COA0_TRIM15 >> 0) & 0xFFFFFFFF), ((COA0_TRIM15 = (COA0_TRIM15 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM16 ------------------------------- +// SVD Line: 19554 + +unsigned int COA0_TRIM16 __AT (0x1FFFF040); + + + +// ------------------------------- Register Item: COA0_TRIM16 ----------------------------------- +// SVD Line: 19554 + +// SFDITEM_REG__COA0_TRIM16 +// TRIM16 +// [Bits 31..0] RO (@ 0x1FFFF040) System Related Trim Value 16 +// +// ( (unsigned int)((COA0_TRIM16 >> 0) & 0xFFFFFFFF), ((COA0_TRIM16 = (COA0_TRIM16 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM17 ------------------------------- +// SVD Line: 19562 + +unsigned int COA0_TRIM17 __AT (0x1FFFF044); + + + +// ------------------------------- Register Item: COA0_TRIM17 ----------------------------------- +// SVD Line: 19562 + +// SFDITEM_REG__COA0_TRIM17 +// TRIM17 +// [Bits 31..0] RO (@ 0x1FFFF044) System Related Trim Value 17 +// +// ( (unsigned int)((COA0_TRIM17 >> 0) & 0xFFFFFFFF), ((COA0_TRIM17 = (COA0_TRIM17 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM18 ------------------------------- +// SVD Line: 19570 + +unsigned int COA0_TRIM18 __AT (0x1FFFF048); + + + +// ------------------------------- Register Item: COA0_TRIM18 ----------------------------------- +// SVD Line: 19570 + +// SFDITEM_REG__COA0_TRIM18 +// TRIM18 +// [Bits 31..0] RO (@ 0x1FFFF048) System Related Trim Value 18 +// +// ( (unsigned int)((COA0_TRIM18 >> 0) & 0xFFFFFFFF), ((COA0_TRIM18 = (COA0_TRIM18 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM19 ------------------------------- +// SVD Line: 19578 + +unsigned int COA0_TRIM19 __AT (0x1FFFF04C); + + + +// ------------------------------- Register Item: COA0_TRIM19 ----------------------------------- +// SVD Line: 19578 + +// SFDITEM_REG__COA0_TRIM19 +// TRIM19 +// [Bits 31..0] RO (@ 0x1FFFF04C) System Related Trim Value 19 +// +// ( (unsigned int)((COA0_TRIM19 >> 0) & 0xFFFFFFFF), ((COA0_TRIM19 = (COA0_TRIM19 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ------------------------ Register Item Address: COA0_CONF_MF1CNFIG --------------------------- +// SVD Line: 19586 + +unsigned int COA0_CONF_MF1CNFIG __AT (0x1FFFF050); + + + +// -------------------------- Field Item: COA0_CONF_MF1CNFIG_XYCDN ------------------------------ +// SVD Line: 19594 + +// SFDITEM_FIELD__COA0_CONF_MF1CNFIG_XYCDN +// XYCDN +// +// [Bits 31..0] RO (@ 0x1FFFF050) X and Y Coordinates +// +// ( (unsigned int)((COA0_CONF_MF1CNFIG >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// --------------------------- Register RTree: COA0_CONF_MF1CNFIG ------------------------------- +// SVD Line: 19586 + +// SFDITEM_REG__COA0_CONF_MF1CNFIG +// CONF_MF1CNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF050) Manufacture Information 1 +// ( (unsigned int)((COA0_CONF_MF1CNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA0_CONF_MF1CNFIG_XYCDN +// +// + + +// ------------------------ Register Item Address: COA0_CONF_MF2CNFIG --------------------------- +// SVD Line: 19602 + +unsigned int COA0_CONF_MF2CNFIG __AT (0x1FFFF054); + + + +// -------------------------- Field Item: COA0_CONF_MF2CNFIG_LOTNO ------------------------------ +// SVD Line: 19610 + +// SFDITEM_FIELD__COA0_CONF_MF2CNFIG_LOTNO +// LOTNO +// +// [Bits 31..8] RO (@ 0x1FFFF054) Lot Number [23:0] +// +// ( (unsigned int)((COA0_CONF_MF2CNFIG >> 8) & 0xFFFFFF) ) +// +// +// + + +// -------------------------- Field Item: COA0_CONF_MF2CNFIG_WAFNO ------------------------------ +// SVD Line: 19616 + +// SFDITEM_FIELD__COA0_CONF_MF2CNFIG_WAFNO +// WAFNO +// +// [Bits 7..0] RO (@ 0x1FFFF054) Wafer Number +// +// ( (unsigned char)((COA0_CONF_MF2CNFIG >> 0) & 0xFF) ) +// +// +// + + +// --------------------------- Register RTree: COA0_CONF_MF2CNFIG ------------------------------- +// SVD Line: 19602 + +// SFDITEM_REG__COA0_CONF_MF2CNFIG +// CONF_MF2CNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF054) Manufacture Information 2 +// ( (unsigned int)((COA0_CONF_MF2CNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA0_CONF_MF2CNFIG_LOTNO +// SFDITEM_FIELD__COA0_CONF_MF2CNFIG_WAFNO +// +// + + +// ------------------------ Register Item Address: COA0_CONF_MF3CNFIG --------------------------- +// SVD Line: 19624 + +unsigned int COA0_CONF_MF3CNFIG __AT (0x1FFFF058); + + + +// -------------------------- Field Item: COA0_CONF_MF3CNFIG_LOTNO ------------------------------ +// SVD Line: 19632 + +// SFDITEM_FIELD__COA0_CONF_MF3CNFIG_LOTNO +// LOTNO +// +// [Bits 31..0] RO (@ 0x1FFFF058) Lot Number [55:24] +// +// ( (unsigned int)((COA0_CONF_MF3CNFIG >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// --------------------------- Register RTree: COA0_CONF_MF3CNFIG ------------------------------- +// SVD Line: 19624 + +// SFDITEM_REG__COA0_CONF_MF3CNFIG +// CONF_MF3CNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF058) Manufacture Information 3 +// ( (unsigned int)((COA0_CONF_MF3CNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA0_CONF_MF3CNFIG_LOTNO +// +// + + +// ------------------------ Register Item Address: COA0_CONF_MF4CNFIG --------------------------- +// SVD Line: 19640 + +unsigned int COA0_CONF_MF4CNFIG __AT (0x1FFFF05C); + + + +// -------------------------- Field Item: COA0_CONF_MF4CNFIG_LOTNO ------------------------------ +// SVD Line: 19648 + +// SFDITEM_FIELD__COA0_CONF_MF4CNFIG_LOTNO +// LOTNO +// +// [Bits 31..0] RO (@ 0x1FFFF05C) Lot Number [87:56] +// +// ( (unsigned int)((COA0_CONF_MF4CNFIG >> 0) & 0xFFFFFFFF) ) +// +// +// + + +// --------------------------- Register RTree: COA0_CONF_MF4CNFIG ------------------------------- +// SVD Line: 19640 + +// SFDITEM_REG__COA0_CONF_MF4CNFIG +// CONF_MF4CNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF05C) Manufacture Information 4 +// ( (unsigned int)((COA0_CONF_MF4CNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA0_CONF_MF4CNFIG_LOTNO +// +// + + +// --------------------------- Register Item Address: COA0_TRIM24 ------------------------------- +// SVD Line: 19656 + +unsigned int COA0_TRIM24 __AT (0x1FFFF060); + + + +// ------------------------------- Register Item: COA0_TRIM24 ----------------------------------- +// SVD Line: 19656 + +// SFDITEM_REG__COA0_TRIM24 +// TRIM24 +// [Bits 31..0] RO (@ 0x1FFFF060) System Related Trim Value 24 +// +// ( (unsigned int)((COA0_TRIM24 >> 0) & 0xFFFFFFFF), ((COA0_TRIM24 = (COA0_TRIM24 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM25 ------------------------------- +// SVD Line: 19664 + +unsigned int COA0_TRIM25 __AT (0x1FFFF064); + + + +// ------------------------------- Register Item: COA0_TRIM25 ----------------------------------- +// SVD Line: 19664 + +// SFDITEM_REG__COA0_TRIM25 +// TRIM25 +// [Bits 31..0] RO (@ 0x1FFFF064) System Related Trim Value 25 +// +// ( (unsigned int)((COA0_TRIM25 >> 0) & 0xFFFFFFFF), ((COA0_TRIM25 = (COA0_TRIM25 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM26 ------------------------------- +// SVD Line: 19672 + +unsigned int COA0_TRIM26 __AT (0x1FFFF068); + + + +// ------------------------------- Register Item: COA0_TRIM26 ----------------------------------- +// SVD Line: 19672 + +// SFDITEM_REG__COA0_TRIM26 +// TRIM26 +// [Bits 31..0] RO (@ 0x1FFFF068) System Related Trim Value 26 +// +// ( (unsigned int)((COA0_TRIM26 >> 0) & 0xFFFFFFFF), ((COA0_TRIM26 = (COA0_TRIM26 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM27 ------------------------------- +// SVD Line: 19680 + +unsigned int COA0_TRIM27 __AT (0x1FFFF06C); + + + +// ------------------------------- Register Item: COA0_TRIM27 ----------------------------------- +// SVD Line: 19680 + +// SFDITEM_REG__COA0_TRIM27 +// TRIM27 +// [Bits 31..0] RO (@ 0x1FFFF06C) System Related Trim Value 27 +// +// ( (unsigned int)((COA0_TRIM27 >> 0) & 0xFFFFFFFF), ((COA0_TRIM27 = (COA0_TRIM27 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM28 ------------------------------- +// SVD Line: 19688 + +unsigned int COA0_TRIM28 __AT (0x1FFFF070); + + + +// ------------------------------- Register Item: COA0_TRIM28 ----------------------------------- +// SVD Line: 19688 + +// SFDITEM_REG__COA0_TRIM28 +// TRIM28 +// [Bits 31..0] RO (@ 0x1FFFF070) System Related Trim Value 28 +// +// ( (unsigned int)((COA0_TRIM28 >> 0) & 0xFFFFFFFF), ((COA0_TRIM28 = (COA0_TRIM28 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM29 ------------------------------- +// SVD Line: 19696 + +unsigned int COA0_TRIM29 __AT (0x1FFFF074); + + + +// ------------------------------- Register Item: COA0_TRIM29 ----------------------------------- +// SVD Line: 19696 + +// SFDITEM_REG__COA0_TRIM29 +// TRIM29 +// [Bits 31..0] RO (@ 0x1FFFF074) System Related Trim Value 29 +// +// ( (unsigned int)((COA0_TRIM29 >> 0) & 0xFFFFFFFF), ((COA0_TRIM29 = (COA0_TRIM29 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM30 ------------------------------- +// SVD Line: 19704 + +unsigned int COA0_TRIM30 __AT (0x1FFFF078); + + + +// ------------------------------- Register Item: COA0_TRIM30 ----------------------------------- +// SVD Line: 19704 + +// SFDITEM_REG__COA0_TRIM30 +// TRIM30 +// [Bits 31..0] RO (@ 0x1FFFF078) System Related Trim Value 30 +// +// ( (unsigned int)((COA0_TRIM30 >> 0) & 0xFFFFFFFF), ((COA0_TRIM30 = (COA0_TRIM30 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA0_TRIM31 ------------------------------- +// SVD Line: 19712 + +unsigned int COA0_TRIM31 __AT (0x1FFFF07C); + + + +// ------------------------------- Register Item: COA0_TRIM31 ----------------------------------- +// SVD Line: 19712 + +// SFDITEM_REG__COA0_TRIM31 +// TRIM31 +// [Bits 31..0] RO (@ 0x1FFFF07C) System Related Trim Value 31 +// +// ( (unsigned int)((COA0_TRIM31 >> 0) & 0xFFFFFFFF), ((COA0_TRIM31 = (COA0_TRIM31 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Peripheral View: COA0 ------------------------------------- +// SVD Line: 19411 + +// COA0 +// COA0 +// SFDITEM_REG__COA0_TRIM00 +// SFDITEM_REG__COA0_TRIM01 +// SFDITEM_REG__COA0_TRIM02 +// SFDITEM_REG__COA0_TRIM03 +// SFDITEM_REG__COA0_TRIM04 +// SFDITEM_REG__COA0_TRIM05 +// SFDITEM_REG__COA0_TRIM06 +// SFDITEM_REG__COA0_TRIM07 +// SFDITEM_REG__COA0_TRIM08 +// SFDITEM_REG__COA0_TRIM09 +// SFDITEM_REG__COA0_TRIM10 +// SFDITEM_REG__COA0_TRIM11 +// SFDITEM_REG__COA0_TRIM12 +// SFDITEM_REG__COA0_TRIM13 +// SFDITEM_REG__COA0_TRIM14 +// SFDITEM_REG__COA0_TRIM15 +// SFDITEM_REG__COA0_TRIM16 +// SFDITEM_REG__COA0_TRIM17 +// SFDITEM_REG__COA0_TRIM18 +// SFDITEM_REG__COA0_TRIM19 +// SFDITEM_REG__COA0_CONF_MF1CNFIG +// SFDITEM_REG__COA0_CONF_MF2CNFIG +// SFDITEM_REG__COA0_CONF_MF3CNFIG +// SFDITEM_REG__COA0_CONF_MF4CNFIG +// SFDITEM_REG__COA0_TRIM24 +// SFDITEM_REG__COA0_TRIM25 +// SFDITEM_REG__COA0_TRIM26 +// SFDITEM_REG__COA0_TRIM27 +// SFDITEM_REG__COA0_TRIM28 +// SFDITEM_REG__COA0_TRIM29 +// SFDITEM_REG__COA0_TRIM30 +// SFDITEM_REG__COA0_TRIM31 +// +// + + +// --------------------------- Register Item Address: COA1_RPCNFIG ------------------------------ +// SVD Line: 19736 + +unsigned int COA1_RPCNFIG __AT (0x1FFFF200); + + + +// ----------------------------- Field Item: COA1_RPCNFIG_WTIDKY -------------------------------- +// SVD Line: 19745 + +// SFDITEM_FIELD__COA1_RPCNFIG_WTIDKY +// WTIDKY +// +// [Bits 31..4] RO (@ 0x1FFFF200) Write Identification Key (0x69c8a27) +// +// ( (unsigned int)((COA1_RPCNFIG >> 4) & 0xFFFFFFF) ) +// +// +// + + +// ----------------------------- Field Item: COA1_RPCNFIG_READP --------------------------------- +// SVD Line: 19758 + +// SFDITEM_FIELD__COA1_RPCNFIG_READP +// READP +// +// [Bits 1..0] RO (@ 0x1FFFF200) \nRead Protection for Flash Memory Area\n0 : Level2 = 1. Not readable/erasable/writable by 'Debug' / 'Instruction from RAM' 2. Bulk erasable only by 'Instruction from RAM' / 'Debug' 3. Readable/erasable/writable by 'Instruction from Flash Memory'\n1 : Reserved - do not use\n2 : Level1 = 1. Not readable/erasable/writable by 'Debug' 2. Bulk erasable only by 'Debug' 3. Readable/erasable/writable by 'Instruction from Flash Memory and RAM'\n3 : Level0 = No restriction for read/erase/write. +// +// ( (unsigned int) COA1_RPCNFIG ) +// READP +// <0=> 0: Level2 = 1. Not readable/erasable/writable by 'Debug' / 'Instruction from RAM' 2. Bulk erasable only by 'Instruction from RAM' / 'Debug' 3. Readable/erasable/writable by 'Instruction from Flash Memory' +// <1=> 1: +// <2=> 2: Level1 = 1. Not readable/erasable/writable by 'Debug' 2. Bulk erasable only by 'Debug' 3. Readable/erasable/writable by 'Instruction from Flash Memory and RAM' +// <3=> 3: Level0 = No restriction for read/erase/write. +// +// +// + + +// ------------------------------ Register RTree: COA1_RPCNFIG ---------------------------------- +// SVD Line: 19736 + +// SFDITEM_REG__COA1_RPCNFIG +// RPCNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF200) Configuration for Read Protection +// ( (unsigned int)((COA1_RPCNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA1_RPCNFIG_WTIDKY +// SFDITEM_FIELD__COA1_RPCNFIG_READP +// +// + + +// -------------------------- Register Item Address: COA1_WDTCNFIG ------------------------------ +// SVD Line: 19791 + +unsigned int COA1_WDTCNFIG __AT (0x1FFFF20C); + + + +// ----------------------------- Field Item: COA1_WDTCNFIG_WRCMF -------------------------------- +// SVD Line: 19800 + +// SFDITEM_FIELD__COA1_WDTCNFIG_WRCMF +// WRCMF +// +// [Bits 15..4] RO (@ 0x1FFFF20C) Watch-Dog Timer RC Oscillator Master Configuration +// +// ( (unsigned short)((COA1_WDTCNFIG >> 4) & 0xFFF) ) +// +// +// + + +// ---------------------------- Field Item: COA1_WDTCNFIG_WCLKMF -------------------------------- +// SVD Line: 19823 + +// SFDITEM_FIELD__COA1_WDTCNFIG_WCLKMF +// WCLKMF +// +// [Bit 2] RO (@ 0x1FFFF20C) \nWatch-Dog Timer Clock Selection Master Configuration\n0 : BySW = By S/W (PPCLKSR Register)\n1 : AlwaysWDTRC = Always WDTRC +// +// ( (unsigned int) COA1_WDTCNFIG ) +// WCLKMF +// <0=> 0: BySW = By S/W (PPCLKSR Register) +// <1=> 1: AlwaysWDTRC = Always WDTRC +// +// +// + + +// ---------------------------- Field Item: COA1_WDTCNFIG_WRSTMF -------------------------------- +// SVD Line: 19841 + +// SFDITEM_FIELD__COA1_WDTCNFIG_WRSTMF +// WRSTMF +// +// [Bit 1] RO (@ 0x1FFFF20C) \nWatch-Dog Timer Reset Enable Master Configuration\n0 : AlwaysEnable = Always Enable\n1 : BySW = By S/W (WDTCR Register) +// +// ( (unsigned int) COA1_WDTCNFIG ) +// WRSTMF +// <0=> 0: AlwaysEnable = Always Enable +// <1=> 1: BySW = By S/W (WDTCR Register) +// +// +// + + +// ---------------------------- Field Item: COA1_WDTCNFIG_WCNTMF -------------------------------- +// SVD Line: 19859 + +// SFDITEM_FIELD__COA1_WDTCNFIG_WCNTMF +// WCNTMF +// +// [Bit 0] RO (@ 0x1FFFF20C) \nWatch-Dog Timer Counter Enable Master Configuration\n0 : AlwaysEnable = Always Enable\n1 : BySW = By S/W (WDTCR Register) +// +// ( (unsigned int) COA1_WDTCNFIG ) +// WCNTMF +// <0=> 0: AlwaysEnable = Always Enable +// <1=> 1: BySW = By S/W (WDTCR Register) +// +// +// + + +// ------------------------------ Register RTree: COA1_WDTCNFIG --------------------------------- +// SVD Line: 19791 + +// SFDITEM_REG__COA1_WDTCNFIG +// WDTCNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF20C) Configuration for Watch-Dog Timer +// ( (unsigned int)((COA1_WDTCNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA1_WDTCNFIG_WRCMF +// SFDITEM_FIELD__COA1_WDTCNFIG_WCLKMF +// SFDITEM_FIELD__COA1_WDTCNFIG_WRSTMF +// SFDITEM_FIELD__COA1_WDTCNFIG_WCNTMF +// +// + + +// -------------------------- Register Item Address: COA1_LVRCNFIG ------------------------------ +// SVD Line: 19879 + +unsigned int COA1_LVRCNFIG __AT (0x1FFFF210); + + + +// ---------------------------- Field Item: COA1_LVRCNFIG_LVRENM -------------------------------- +// SVD Line: 19888 + +// SFDITEM_FIELD__COA1_LVRCNFIG_LVRENM +// LVRENM +// +// [Bits 15..8] RO (@ 0x1FFFF210) LVR Reset Operation Control Master Configuration +// +// ( (unsigned char)((COA1_LVRCNFIG >> 8) & 0xFF) ) +// +// +// + + +// ----------------------------- Field Item: COA1_LVRCNFIG_LVRVS -------------------------------- +// SVD Line: 19906 + +// SFDITEM_FIELD__COA1_LVRCNFIG_LVRVS +// LVRVS +// +// [Bits 3..0] RO (@ 0x1FFFF210) \nLVR Voltage Selection\n0 : 4p55 = 4.55V\n1 : 4p25V = 4.25V\n2 : 3p99V = 3.99V\n3 : 3p75V = 3.75V\n4 : 3p55V = 3.55V\n5 : 3p20V = 3.20V\n6 : 3p04V = 3.04V\n7 : 2p67V = 2.67V\n8 : 2p46V = 2.46V\n9 : 2p28V = 2.28V\n10 : 2p13V = 2.13V\n11 : 2p00V = 2.00V\n12 : DNW12 = Do not write.\n13 : DNW13 = Do not write.\n14 : DNW14 = Do not write.\n15 : 1p62V = 1.62V +// +// ( (unsigned int) COA1_LVRCNFIG ) +// LVRVS +// <0=> 0: 4p55 = 4.55V +// <1=> 1: 4p25V = 4.25V +// <2=> 2: 3p99V = 3.99V +// <3=> 3: 3p75V = 3.75V +// <4=> 4: 3p55V = 3.55V +// <5=> 5: 3p20V = 3.20V +// <6=> 6: 3p04V = 3.04V +// <7=> 7: 2p67V = 2.67V +// <8=> 8: 2p46V = 2.46V +// <9=> 9: 2p28V = 2.28V +// <10=> 10: 2p13V = 2.13V +// <11=> 11: 2p00V = 2.00V +// <12=> 12: DNW12 = Do not write. +// <13=> 13: DNW13 = Do not write. +// <14=> 14: DNW14 = Do not write. +// <15=> 15: 1p62V = 1.62V +// +// +// + + +// ------------------------------ Register RTree: COA1_LVRCNFIG --------------------------------- +// SVD Line: 19879 + +// SFDITEM_REG__COA1_LVRCNFIG +// LVRCNFIG +// +// [Bits 31..0] RO (@ 0x1FFFF210) Configuration for Low Voltage Reset +// ( (unsigned int)((COA1_LVRCNFIG >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA1_LVRCNFIG_LVRENM +// SFDITEM_FIELD__COA1_LVRCNFIG_LVRVS +// +// + + +// -------------------------- Register Item Address: COA1_CNFIGWTP1 ----------------------------- +// SVD Line: 19996 + +unsigned int COA1_CNFIGWTP1 __AT (0x1FFFF214); + + + +// ---------------------------- Field Item: COA1_CNFIGWTP1_CP3WP -------------------------------- +// SVD Line: 20005 + +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP3WP +// CP3WP +// +// [Bit 2] RO (@ 0x1FFFF214) \nConfigure Option Page 3 Erase/Write Protection\n0 : Enable = Enable protection. (Not erasable/writable by instruction)\n1 : Disable = Disable protection. (Erasable/writable by instruction) +// +// ( (unsigned int) COA1_CNFIGWTP1 ) +// CP3WP +// <0=> 0: Enable = Enable protection. (Not erasable/writable by instruction) +// <1=> 1: Disable = Disable protection. (Erasable/writable by instruction) +// +// +// + + +// ---------------------------- Field Item: COA1_CNFIGWTP1_CP2WP -------------------------------- +// SVD Line: 20023 + +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP2WP +// CP2WP +// +// [Bit 1] RO (@ 0x1FFFF214) \nConfigure Option Page 2 Erase/Write Protection\n0 : Enable = Enable protection. (Not erasable/writable by instruction)\n1 : Disable = Disable protection. (Erasable/writable by instruction) +// +// ( (unsigned int) COA1_CNFIGWTP1 ) +// CP2WP +// <0=> 0: Enable = Enable protection. (Not erasable/writable by instruction) +// <1=> 1: Disable = Disable protection. (Erasable/writable by instruction) +// +// +// + + +// ---------------------------- Field Item: COA1_CNFIGWTP1_CP1WP -------------------------------- +// SVD Line: 20041 + +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP1WP +// CP1WP +// +// [Bit 0] RO (@ 0x1FFFF214) \nConfigure Option Page 1 Erase/Write Protection\n0 : Enable = Enable protection. (Not erasable/writable by instruction)\n1 : Disable = Disable protection. (Erasable/writable by instruction) +// +// ( (unsigned int) COA1_CNFIGWTP1 ) +// CP1WP +// <0=> 0: Enable = Enable protection. (Not erasable/writable by instruction) +// <1=> 1: Disable = Disable protection. (Erasable/writable by instruction) +// +// +// + + +// ----------------------------- Register RTree: COA1_CNFIGWTP1 --------------------------------- +// SVD Line: 19996 + +// SFDITEM_REG__COA1_CNFIGWTP1 +// CNFIGWTP1 +// +// [Bits 31..0] RO (@ 0x1FFFF214) Erase/Write Protection for Configure Option Page 1/2/3 +// ( (unsigned int)((COA1_CNFIGWTP1 >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP3WP +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP2WP +// SFDITEM_FIELD__COA1_CNFIGWTP1_CP1WP +// +// + + +// --------------------------- Register Item Address: COA1_FMWTP1 ------------------------------- +// SVD Line: 20061 + +unsigned int COA1_FMWTP1 __AT (0x1FFFF240); + + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP31 --------------------------------- +// SVD Line: 20069 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP31 +// SWTP31 +// +// [Bit 31] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 31\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP31 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP30 --------------------------------- +// SVD Line: 20087 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP30 +// SWTP30 +// +// [Bit 30] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 30\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP30 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP29 --------------------------------- +// SVD Line: 20105 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP29 +// SWTP29 +// +// [Bit 29] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 29\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP29 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP28 --------------------------------- +// SVD Line: 20123 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP28 +// SWTP28 +// +// [Bit 28] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 28\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP28 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP27 --------------------------------- +// SVD Line: 20141 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP27 +// SWTP27 +// +// [Bit 27] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 27\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP27 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP26 --------------------------------- +// SVD Line: 20159 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP26 +// SWTP26 +// +// [Bit 26] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 26\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP26 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP25 --------------------------------- +// SVD Line: 20177 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP25 +// SWTP25 +// +// [Bit 25] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 25\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP25 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP24 --------------------------------- +// SVD Line: 20195 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP24 +// SWTP24 +// +// [Bit 24] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 24\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP24 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP23 --------------------------------- +// SVD Line: 20213 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP23 +// SWTP23 +// +// [Bit 23] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 23\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP23 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP22 --------------------------------- +// SVD Line: 20231 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP22 +// SWTP22 +// +// [Bit 22] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 22\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP22 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP21 --------------------------------- +// SVD Line: 20249 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP21 +// SWTP21 +// +// [Bit 21] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 21\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP21 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP20 --------------------------------- +// SVD Line: 20267 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP20 +// SWTP20 +// +// [Bit 20] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 20\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP20 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP19 --------------------------------- +// SVD Line: 20285 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP19 +// SWTP19 +// +// [Bit 19] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 19\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP19 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP18 --------------------------------- +// SVD Line: 20303 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP18 +// SWTP18 +// +// [Bit 18] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 18\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP18 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP17 --------------------------------- +// SVD Line: 20321 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP17 +// SWTP17 +// +// [Bit 17] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 17\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP17 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP16 --------------------------------- +// SVD Line: 20339 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP16 +// SWTP16 +// +// [Bit 16] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 16\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP16 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP15 --------------------------------- +// SVD Line: 20357 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP15 +// SWTP15 +// +// [Bit 15] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 15\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP15 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP14 --------------------------------- +// SVD Line: 20375 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP14 +// SWTP14 +// +// [Bit 14] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 14\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP14 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP13 --------------------------------- +// SVD Line: 20393 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP13 +// SWTP13 +// +// [Bit 13] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 13\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP13 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP12 --------------------------------- +// SVD Line: 20411 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP12 +// SWTP12 +// +// [Bit 12] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 12\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP12 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP11 --------------------------------- +// SVD Line: 20429 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP11 +// SWTP11 +// +// [Bit 11] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 11\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP11 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ----------------------------- Field Item: COA1_FMWTP1_SWTP10 --------------------------------- +// SVD Line: 20447 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP10 +// SWTP10 +// +// [Bit 10] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 10\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP10 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP9 --------------------------------- +// SVD Line: 20465 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP9 +// SWTP9 +// +// [Bit 9] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 9\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP9 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP8 --------------------------------- +// SVD Line: 20483 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP8 +// SWTP8 +// +// [Bit 8] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 8\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP8 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP7 --------------------------------- +// SVD Line: 20501 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP7 +// SWTP7 +// +// [Bit 7] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 7\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP7 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP6 --------------------------------- +// SVD Line: 20519 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP6 +// SWTP6 +// +// [Bit 6] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 6\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP6 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP5 --------------------------------- +// SVD Line: 20537 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP5 +// SWTP5 +// +// [Bit 5] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 5\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP5 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP4 --------------------------------- +// SVD Line: 20555 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP4 +// SWTP4 +// +// [Bit 4] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 4\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP4 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP3 --------------------------------- +// SVD Line: 20573 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP3 +// SWTP3 +// +// [Bit 3] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 3\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP3 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP2 --------------------------------- +// SVD Line: 20591 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP2 +// SWTP2 +// +// [Bit 2] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 2\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP2 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP1 --------------------------------- +// SVD Line: 20609 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP1 +// SWTP1 +// +// [Bit 1] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 1\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP1 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------ Field Item: COA1_FMWTP1_SWTP0 --------------------------------- +// SVD Line: 20627 + +// SFDITEM_FIELD__COA1_FMWTP1_SWTP0 +// SWTP0 +// +// [Bit 0] RO (@ 0x1FFFF240) \nFlash Memory Erase/Write Protection 0\n0 : Enable = Protect 'flash memory sector n erase/write'\n1 : Disable = Permit 'flash memory sector n erase/write' +// +// ( (unsigned int) COA1_FMWTP1 ) +// SWTP0 +// <0=> 0: Enable = Protect 'flash memory sector n erase/write' +// <1=> 1: Disable = Permit 'flash memory sector n erase/write' +// +// +// + + +// ------------------------------- Register RTree: COA1_FMWTP1 ---------------------------------- +// SVD Line: 20061 + +// SFDITEM_REG__COA1_FMWTP1 +// FMWTP1 +// +// [Bits 31..0] RO (@ 0x1FFFF240) Erase/Write Protection for Flash Memory +// ( (unsigned int)((COA1_FMWTP1 >> 0) & 0xFFFFFFFF) ) +// SFDITEM_FIELD__COA1_FMWTP1_SWTP31 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP30 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP29 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP28 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP27 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP26 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP25 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP24 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP23 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP22 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP21 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP20 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP19 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP18 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP17 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP16 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP15 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP14 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP13 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP12 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP11 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP10 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP9 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP8 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP7 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP6 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP5 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP4 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP3 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP2 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP1 +// SFDITEM_FIELD__COA1_FMWTP1_SWTP0 +// +// + + +// ---------------------------------- Peripheral View: COA1 ------------------------------------- +// SVD Line: 19722 + +// COA1 +// COA1 +// SFDITEM_REG__COA1_RPCNFIG +// SFDITEM_REG__COA1_WDTCNFIG +// SFDITEM_REG__COA1_LVRCNFIG +// SFDITEM_REG__COA1_CNFIGWTP1 +// SFDITEM_REG__COA1_FMWTP1 +// +// + + +// --------------------------- Register Item Address: COA2_UDATA00 ------------------------------ +// SVD Line: 20663 + +unsigned int COA2_UDATA00 __AT (0x1FFFF400); + + + +// ------------------------------- Register Item: COA2_UDATA00 ---------------------------------- +// SVD Line: 20663 + +// SFDITEM_REG__COA2_UDATA00 +// UDATA00 +// [Bits 31..0] RO (@ 0x1FFFF400) User Data 00 +// +// ( (unsigned int)((COA2_UDATA00 >> 0) & 0xFFFFFFFF), ((COA2_UDATA00 = (COA2_UDATA00 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA01 ------------------------------ +// SVD Line: 20671 + +unsigned int COA2_UDATA01 __AT (0x1FFFF404); + + + +// ------------------------------- Register Item: COA2_UDATA01 ---------------------------------- +// SVD Line: 20671 + +// SFDITEM_REG__COA2_UDATA01 +// UDATA01 +// [Bits 31..0] RO (@ 0x1FFFF404) User Data 01 +// +// ( (unsigned int)((COA2_UDATA01 >> 0) & 0xFFFFFFFF), ((COA2_UDATA01 = (COA2_UDATA01 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA02 ------------------------------ +// SVD Line: 20679 + +unsigned int COA2_UDATA02 __AT (0x1FFFF408); + + + +// ------------------------------- Register Item: COA2_UDATA02 ---------------------------------- +// SVD Line: 20679 + +// SFDITEM_REG__COA2_UDATA02 +// UDATA02 +// [Bits 31..0] RO (@ 0x1FFFF408) User Data 02 +// +// ( (unsigned int)((COA2_UDATA02 >> 0) & 0xFFFFFFFF), ((COA2_UDATA02 = (COA2_UDATA02 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA03 ------------------------------ +// SVD Line: 20687 + +unsigned int COA2_UDATA03 __AT (0x1FFFF40C); + + + +// ------------------------------- Register Item: COA2_UDATA03 ---------------------------------- +// SVD Line: 20687 + +// SFDITEM_REG__COA2_UDATA03 +// UDATA03 +// [Bits 31..0] RO (@ 0x1FFFF40C) User Data 03 +// +// ( (unsigned int)((COA2_UDATA03 >> 0) & 0xFFFFFFFF), ((COA2_UDATA03 = (COA2_UDATA03 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA04 ------------------------------ +// SVD Line: 20695 + +unsigned int COA2_UDATA04 __AT (0x1FFFF410); + + + +// ------------------------------- Register Item: COA2_UDATA04 ---------------------------------- +// SVD Line: 20695 + +// SFDITEM_REG__COA2_UDATA04 +// UDATA04 +// [Bits 31..0] RO (@ 0x1FFFF410) User Data 04 +// +// ( (unsigned int)((COA2_UDATA04 >> 0) & 0xFFFFFFFF), ((COA2_UDATA04 = (COA2_UDATA04 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA05 ------------------------------ +// SVD Line: 20703 + +unsigned int COA2_UDATA05 __AT (0x1FFFF414); + + + +// ------------------------------- Register Item: COA2_UDATA05 ---------------------------------- +// SVD Line: 20703 + +// SFDITEM_REG__COA2_UDATA05 +// UDATA05 +// [Bits 31..0] RO (@ 0x1FFFF414) User Data 05 +// +// ( (unsigned int)((COA2_UDATA05 >> 0) & 0xFFFFFFFF), ((COA2_UDATA05 = (COA2_UDATA05 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA06 ------------------------------ +// SVD Line: 20711 + +unsigned int COA2_UDATA06 __AT (0x1FFFF418); + + + +// ------------------------------- Register Item: COA2_UDATA06 ---------------------------------- +// SVD Line: 20711 + +// SFDITEM_REG__COA2_UDATA06 +// UDATA06 +// [Bits 31..0] RO (@ 0x1FFFF418) User Data 06 +// +// ( (unsigned int)((COA2_UDATA06 >> 0) & 0xFFFFFFFF), ((COA2_UDATA06 = (COA2_UDATA06 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA07 ------------------------------ +// SVD Line: 20719 + +unsigned int COA2_UDATA07 __AT (0x1FFFF41C); + + + +// ------------------------------- Register Item: COA2_UDATA07 ---------------------------------- +// SVD Line: 20719 + +// SFDITEM_REG__COA2_UDATA07 +// UDATA07 +// [Bits 31..0] RO (@ 0x1FFFF41C) User Data 07 +// +// ( (unsigned int)((COA2_UDATA07 >> 0) & 0xFFFFFFFF), ((COA2_UDATA07 = (COA2_UDATA07 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA08 ------------------------------ +// SVD Line: 20727 + +unsigned int COA2_UDATA08 __AT (0x1FFFF420); + + + +// ------------------------------- Register Item: COA2_UDATA08 ---------------------------------- +// SVD Line: 20727 + +// SFDITEM_REG__COA2_UDATA08 +// UDATA08 +// [Bits 31..0] RO (@ 0x1FFFF420) User Data 08 +// +// ( (unsigned int)((COA2_UDATA08 >> 0) & 0xFFFFFFFF), ((COA2_UDATA08 = (COA2_UDATA08 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA09 ------------------------------ +// SVD Line: 20735 + +unsigned int COA2_UDATA09 __AT (0x1FFFF424); + + + +// ------------------------------- Register Item: COA2_UDATA09 ---------------------------------- +// SVD Line: 20735 + +// SFDITEM_REG__COA2_UDATA09 +// UDATA09 +// [Bits 31..0] RO (@ 0x1FFFF424) User Data 09 +// +// ( (unsigned int)((COA2_UDATA09 >> 0) & 0xFFFFFFFF), ((COA2_UDATA09 = (COA2_UDATA09 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA10 ------------------------------ +// SVD Line: 20743 + +unsigned int COA2_UDATA10 __AT (0x1FFFF428); + + + +// ------------------------------- Register Item: COA2_UDATA10 ---------------------------------- +// SVD Line: 20743 + +// SFDITEM_REG__COA2_UDATA10 +// UDATA10 +// [Bits 31..0] RO (@ 0x1FFFF428) User Data 10 +// +// ( (unsigned int)((COA2_UDATA10 >> 0) & 0xFFFFFFFF), ((COA2_UDATA10 = (COA2_UDATA10 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA11 ------------------------------ +// SVD Line: 20751 + +unsigned int COA2_UDATA11 __AT (0x1FFFF42C); + + + +// ------------------------------- Register Item: COA2_UDATA11 ---------------------------------- +// SVD Line: 20751 + +// SFDITEM_REG__COA2_UDATA11 +// UDATA11 +// [Bits 31..0] RO (@ 0x1FFFF42C) User Data 11 +// +// ( (unsigned int)((COA2_UDATA11 >> 0) & 0xFFFFFFFF), ((COA2_UDATA11 = (COA2_UDATA11 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA12 ------------------------------ +// SVD Line: 20759 + +unsigned int COA2_UDATA12 __AT (0x1FFFF430); + + + +// ------------------------------- Register Item: COA2_UDATA12 ---------------------------------- +// SVD Line: 20759 + +// SFDITEM_REG__COA2_UDATA12 +// UDATA12 +// [Bits 31..0] RO (@ 0x1FFFF430) User Data 12 +// +// ( (unsigned int)((COA2_UDATA12 >> 0) & 0xFFFFFFFF), ((COA2_UDATA12 = (COA2_UDATA12 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA13 ------------------------------ +// SVD Line: 20767 + +unsigned int COA2_UDATA13 __AT (0x1FFFF434); + + + +// ------------------------------- Register Item: COA2_UDATA13 ---------------------------------- +// SVD Line: 20767 + +// SFDITEM_REG__COA2_UDATA13 +// UDATA13 +// [Bits 31..0] RO (@ 0x1FFFF434) User Data 13 +// +// ( (unsigned int)((COA2_UDATA13 >> 0) & 0xFFFFFFFF), ((COA2_UDATA13 = (COA2_UDATA13 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA14 ------------------------------ +// SVD Line: 20775 + +unsigned int COA2_UDATA14 __AT (0x1FFFF438); + + + +// ------------------------------- Register Item: COA2_UDATA14 ---------------------------------- +// SVD Line: 20775 + +// SFDITEM_REG__COA2_UDATA14 +// UDATA14 +// [Bits 31..0] RO (@ 0x1FFFF438) User Data 14 +// +// ( (unsigned int)((COA2_UDATA14 >> 0) & 0xFFFFFFFF), ((COA2_UDATA14 = (COA2_UDATA14 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA15 ------------------------------ +// SVD Line: 20783 + +unsigned int COA2_UDATA15 __AT (0x1FFFF43C); + + + +// ------------------------------- Register Item: COA2_UDATA15 ---------------------------------- +// SVD Line: 20783 + +// SFDITEM_REG__COA2_UDATA15 +// UDATA15 +// [Bits 31..0] RO (@ 0x1FFFF43C) User Data 15 +// +// ( (unsigned int)((COA2_UDATA15 >> 0) & 0xFFFFFFFF), ((COA2_UDATA15 = (COA2_UDATA15 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA16 ------------------------------ +// SVD Line: 20791 + +unsigned int COA2_UDATA16 __AT (0x1FFFF440); + + + +// ------------------------------- Register Item: COA2_UDATA16 ---------------------------------- +// SVD Line: 20791 + +// SFDITEM_REG__COA2_UDATA16 +// UDATA16 +// [Bits 31..0] RO (@ 0x1FFFF440) User Data 16 +// +// ( (unsigned int)((COA2_UDATA16 >> 0) & 0xFFFFFFFF), ((COA2_UDATA16 = (COA2_UDATA16 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA17 ------------------------------ +// SVD Line: 20799 + +unsigned int COA2_UDATA17 __AT (0x1FFFF444); + + + +// ------------------------------- Register Item: COA2_UDATA17 ---------------------------------- +// SVD Line: 20799 + +// SFDITEM_REG__COA2_UDATA17 +// UDATA17 +// [Bits 31..0] RO (@ 0x1FFFF444) User Data 17 +// +// ( (unsigned int)((COA2_UDATA17 >> 0) & 0xFFFFFFFF), ((COA2_UDATA17 = (COA2_UDATA17 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA18 ------------------------------ +// SVD Line: 20807 + +unsigned int COA2_UDATA18 __AT (0x1FFFF448); + + + +// ------------------------------- Register Item: COA2_UDATA18 ---------------------------------- +// SVD Line: 20807 + +// SFDITEM_REG__COA2_UDATA18 +// UDATA18 +// [Bits 31..0] RO (@ 0x1FFFF448) User Data 18 +// +// ( (unsigned int)((COA2_UDATA18 >> 0) & 0xFFFFFFFF), ((COA2_UDATA18 = (COA2_UDATA18 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA19 ------------------------------ +// SVD Line: 20815 + +unsigned int COA2_UDATA19 __AT (0x1FFFF44C); + + + +// ------------------------------- Register Item: COA2_UDATA19 ---------------------------------- +// SVD Line: 20815 + +// SFDITEM_REG__COA2_UDATA19 +// UDATA19 +// [Bits 31..0] RO (@ 0x1FFFF44C) User Data 19 +// +// ( (unsigned int)((COA2_UDATA19 >> 0) & 0xFFFFFFFF), ((COA2_UDATA19 = (COA2_UDATA19 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA20 ------------------------------ +// SVD Line: 20823 + +unsigned int COA2_UDATA20 __AT (0x1FFFF450); + + + +// ------------------------------- Register Item: COA2_UDATA20 ---------------------------------- +// SVD Line: 20823 + +// SFDITEM_REG__COA2_UDATA20 +// UDATA20 +// [Bits 31..0] RO (@ 0x1FFFF450) User Data 20 +// +// ( (unsigned int)((COA2_UDATA20 >> 0) & 0xFFFFFFFF), ((COA2_UDATA20 = (COA2_UDATA20 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA21 ------------------------------ +// SVD Line: 20831 + +unsigned int COA2_UDATA21 __AT (0x1FFFF454); + + + +// ------------------------------- Register Item: COA2_UDATA21 ---------------------------------- +// SVD Line: 20831 + +// SFDITEM_REG__COA2_UDATA21 +// UDATA21 +// [Bits 31..0] RO (@ 0x1FFFF454) User Data 21 +// +// ( (unsigned int)((COA2_UDATA21 >> 0) & 0xFFFFFFFF), ((COA2_UDATA21 = (COA2_UDATA21 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA22 ------------------------------ +// SVD Line: 20839 + +unsigned int COA2_UDATA22 __AT (0x1FFFF458); + + + +// ------------------------------- Register Item: COA2_UDATA22 ---------------------------------- +// SVD Line: 20839 + +// SFDITEM_REG__COA2_UDATA22 +// UDATA22 +// [Bits 31..0] RO (@ 0x1FFFF458) User Data 22 +// +// ( (unsigned int)((COA2_UDATA22 >> 0) & 0xFFFFFFFF), ((COA2_UDATA22 = (COA2_UDATA22 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA23 ------------------------------ +// SVD Line: 20847 + +unsigned int COA2_UDATA23 __AT (0x1FFFF45C); + + + +// ------------------------------- Register Item: COA2_UDATA23 ---------------------------------- +// SVD Line: 20847 + +// SFDITEM_REG__COA2_UDATA23 +// UDATA23 +// [Bits 31..0] RO (@ 0x1FFFF45C) User Data 23 +// +// ( (unsigned int)((COA2_UDATA23 >> 0) & 0xFFFFFFFF), ((COA2_UDATA23 = (COA2_UDATA23 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA24 ------------------------------ +// SVD Line: 20855 + +unsigned int COA2_UDATA24 __AT (0x1FFFF460); + + + +// ------------------------------- Register Item: COA2_UDATA24 ---------------------------------- +// SVD Line: 20855 + +// SFDITEM_REG__COA2_UDATA24 +// UDATA24 +// [Bits 31..0] RO (@ 0x1FFFF460) User Data 24 +// +// ( (unsigned int)((COA2_UDATA24 >> 0) & 0xFFFFFFFF), ((COA2_UDATA24 = (COA2_UDATA24 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA25 ------------------------------ +// SVD Line: 20863 + +unsigned int COA2_UDATA25 __AT (0x1FFFF464); + + + +// ------------------------------- Register Item: COA2_UDATA25 ---------------------------------- +// SVD Line: 20863 + +// SFDITEM_REG__COA2_UDATA25 +// UDATA25 +// [Bits 31..0] RO (@ 0x1FFFF464) User Data 25 +// +// ( (unsigned int)((COA2_UDATA25 >> 0) & 0xFFFFFFFF), ((COA2_UDATA25 = (COA2_UDATA25 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA26 ------------------------------ +// SVD Line: 20871 + +unsigned int COA2_UDATA26 __AT (0x1FFFF468); + + + +// ------------------------------- Register Item: COA2_UDATA26 ---------------------------------- +// SVD Line: 20871 + +// SFDITEM_REG__COA2_UDATA26 +// UDATA26 +// [Bits 31..0] RO (@ 0x1FFFF468) User Data 26 +// +// ( (unsigned int)((COA2_UDATA26 >> 0) & 0xFFFFFFFF), ((COA2_UDATA26 = (COA2_UDATA26 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA27 ------------------------------ +// SVD Line: 20879 + +unsigned int COA2_UDATA27 __AT (0x1FFFF46C); + + + +// ------------------------------- Register Item: COA2_UDATA27 ---------------------------------- +// SVD Line: 20879 + +// SFDITEM_REG__COA2_UDATA27 +// UDATA27 +// [Bits 31..0] RO (@ 0x1FFFF46C) User Data 27 +// +// ( (unsigned int)((COA2_UDATA27 >> 0) & 0xFFFFFFFF), ((COA2_UDATA27 = (COA2_UDATA27 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA28 ------------------------------ +// SVD Line: 20887 + +unsigned int COA2_UDATA28 __AT (0x1FFFF470); + + + +// ------------------------------- Register Item: COA2_UDATA28 ---------------------------------- +// SVD Line: 20887 + +// SFDITEM_REG__COA2_UDATA28 +// UDATA28 +// [Bits 31..0] RO (@ 0x1FFFF470) User Data 28 +// +// ( (unsigned int)((COA2_UDATA28 >> 0) & 0xFFFFFFFF), ((COA2_UDATA28 = (COA2_UDATA28 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA29 ------------------------------ +// SVD Line: 20895 + +unsigned int COA2_UDATA29 __AT (0x1FFFF474); + + + +// ------------------------------- Register Item: COA2_UDATA29 ---------------------------------- +// SVD Line: 20895 + +// SFDITEM_REG__COA2_UDATA29 +// UDATA29 +// [Bits 31..0] RO (@ 0x1FFFF474) User Data 29 +// +// ( (unsigned int)((COA2_UDATA29 >> 0) & 0xFFFFFFFF), ((COA2_UDATA29 = (COA2_UDATA29 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA30 ------------------------------ +// SVD Line: 20903 + +unsigned int COA2_UDATA30 __AT (0x1FFFF478); + + + +// ------------------------------- Register Item: COA2_UDATA30 ---------------------------------- +// SVD Line: 20903 + +// SFDITEM_REG__COA2_UDATA30 +// UDATA30 +// [Bits 31..0] RO (@ 0x1FFFF478) User Data 30 +// +// ( (unsigned int)((COA2_UDATA30 >> 0) & 0xFFFFFFFF), ((COA2_UDATA30 = (COA2_UDATA30 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA2_UDATA31 ------------------------------ +// SVD Line: 20911 + +unsigned int COA2_UDATA31 __AT (0x1FFFF47C); + + + +// ------------------------------- Register Item: COA2_UDATA31 ---------------------------------- +// SVD Line: 20911 + +// SFDITEM_REG__COA2_UDATA31 +// UDATA31 +// [Bits 31..0] RO (@ 0x1FFFF47C) User Data 31 +// +// ( (unsigned int)((COA2_UDATA31 >> 0) & 0xFFFFFFFF), ((COA2_UDATA31 = (COA2_UDATA31 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Peripheral View: COA2 ------------------------------------- +// SVD Line: 20649 + +// COA2 +// COA2 +// SFDITEM_REG__COA2_UDATA00 +// SFDITEM_REG__COA2_UDATA01 +// SFDITEM_REG__COA2_UDATA02 +// SFDITEM_REG__COA2_UDATA03 +// SFDITEM_REG__COA2_UDATA04 +// SFDITEM_REG__COA2_UDATA05 +// SFDITEM_REG__COA2_UDATA06 +// SFDITEM_REG__COA2_UDATA07 +// SFDITEM_REG__COA2_UDATA08 +// SFDITEM_REG__COA2_UDATA09 +// SFDITEM_REG__COA2_UDATA10 +// SFDITEM_REG__COA2_UDATA11 +// SFDITEM_REG__COA2_UDATA12 +// SFDITEM_REG__COA2_UDATA13 +// SFDITEM_REG__COA2_UDATA14 +// SFDITEM_REG__COA2_UDATA15 +// SFDITEM_REG__COA2_UDATA16 +// SFDITEM_REG__COA2_UDATA17 +// SFDITEM_REG__COA2_UDATA18 +// SFDITEM_REG__COA2_UDATA19 +// SFDITEM_REG__COA2_UDATA20 +// SFDITEM_REG__COA2_UDATA21 +// SFDITEM_REG__COA2_UDATA22 +// SFDITEM_REG__COA2_UDATA23 +// SFDITEM_REG__COA2_UDATA24 +// SFDITEM_REG__COA2_UDATA25 +// SFDITEM_REG__COA2_UDATA26 +// SFDITEM_REG__COA2_UDATA27 +// SFDITEM_REG__COA2_UDATA28 +// SFDITEM_REG__COA2_UDATA29 +// SFDITEM_REG__COA2_UDATA30 +// SFDITEM_REG__COA2_UDATA31 +// +// + + +// --------------------------- Register Item Address: COA3_UDATA00 ------------------------------ +// SVD Line: 20935 + +unsigned int COA3_UDATA00 __AT (0x1FFFF600); + + + +// ------------------------------- Register Item: COA3_UDATA00 ---------------------------------- +// SVD Line: 20935 + +// SFDITEM_REG__COA3_UDATA00 +// UDATA00 +// [Bits 31..0] RO (@ 0x1FFFF600) User Data 00 +// +// ( (unsigned int)((COA3_UDATA00 >> 0) & 0xFFFFFFFF), ((COA3_UDATA00 = (COA3_UDATA00 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA01 ------------------------------ +// SVD Line: 20943 + +unsigned int COA3_UDATA01 __AT (0x1FFFF604); + + + +// ------------------------------- Register Item: COA3_UDATA01 ---------------------------------- +// SVD Line: 20943 + +// SFDITEM_REG__COA3_UDATA01 +// UDATA01 +// [Bits 31..0] RO (@ 0x1FFFF604) User Data 01 +// +// ( (unsigned int)((COA3_UDATA01 >> 0) & 0xFFFFFFFF), ((COA3_UDATA01 = (COA3_UDATA01 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA02 ------------------------------ +// SVD Line: 20951 + +unsigned int COA3_UDATA02 __AT (0x1FFFF608); + + + +// ------------------------------- Register Item: COA3_UDATA02 ---------------------------------- +// SVD Line: 20951 + +// SFDITEM_REG__COA3_UDATA02 +// UDATA02 +// [Bits 31..0] RO (@ 0x1FFFF608) User Data 02 +// +// ( (unsigned int)((COA3_UDATA02 >> 0) & 0xFFFFFFFF), ((COA3_UDATA02 = (COA3_UDATA02 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA03 ------------------------------ +// SVD Line: 20959 + +unsigned int COA3_UDATA03 __AT (0x1FFFF60C); + + + +// ------------------------------- Register Item: COA3_UDATA03 ---------------------------------- +// SVD Line: 20959 + +// SFDITEM_REG__COA3_UDATA03 +// UDATA03 +// [Bits 31..0] RO (@ 0x1FFFF60C) User Data 03 +// +// ( (unsigned int)((COA3_UDATA03 >> 0) & 0xFFFFFFFF), ((COA3_UDATA03 = (COA3_UDATA03 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA04 ------------------------------ +// SVD Line: 20967 + +unsigned int COA3_UDATA04 __AT (0x1FFFF610); + + + +// ------------------------------- Register Item: COA3_UDATA04 ---------------------------------- +// SVD Line: 20967 + +// SFDITEM_REG__COA3_UDATA04 +// UDATA04 +// [Bits 31..0] RO (@ 0x1FFFF610) User Data 04 +// +// ( (unsigned int)((COA3_UDATA04 >> 0) & 0xFFFFFFFF), ((COA3_UDATA04 = (COA3_UDATA04 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA05 ------------------------------ +// SVD Line: 20975 + +unsigned int COA3_UDATA05 __AT (0x1FFFF614); + + + +// ------------------------------- Register Item: COA3_UDATA05 ---------------------------------- +// SVD Line: 20975 + +// SFDITEM_REG__COA3_UDATA05 +// UDATA05 +// [Bits 31..0] RO (@ 0x1FFFF614) User Data 05 +// +// ( (unsigned int)((COA3_UDATA05 >> 0) & 0xFFFFFFFF), ((COA3_UDATA05 = (COA3_UDATA05 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA06 ------------------------------ +// SVD Line: 20983 + +unsigned int COA3_UDATA06 __AT (0x1FFFF618); + + + +// ------------------------------- Register Item: COA3_UDATA06 ---------------------------------- +// SVD Line: 20983 + +// SFDITEM_REG__COA3_UDATA06 +// UDATA06 +// [Bits 31..0] RO (@ 0x1FFFF618) User Data 06 +// +// ( (unsigned int)((COA3_UDATA06 >> 0) & 0xFFFFFFFF), ((COA3_UDATA06 = (COA3_UDATA06 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA07 ------------------------------ +// SVD Line: 20991 + +unsigned int COA3_UDATA07 __AT (0x1FFFF61C); + + + +// ------------------------------- Register Item: COA3_UDATA07 ---------------------------------- +// SVD Line: 20991 + +// SFDITEM_REG__COA3_UDATA07 +// UDATA07 +// [Bits 31..0] RO (@ 0x1FFFF61C) User Data 07 +// +// ( (unsigned int)((COA3_UDATA07 >> 0) & 0xFFFFFFFF), ((COA3_UDATA07 = (COA3_UDATA07 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA08 ------------------------------ +// SVD Line: 20999 + +unsigned int COA3_UDATA08 __AT (0x1FFFF620); + + + +// ------------------------------- Register Item: COA3_UDATA08 ---------------------------------- +// SVD Line: 20999 + +// SFDITEM_REG__COA3_UDATA08 +// UDATA08 +// [Bits 31..0] RO (@ 0x1FFFF620) User Data 08 +// +// ( (unsigned int)((COA3_UDATA08 >> 0) & 0xFFFFFFFF), ((COA3_UDATA08 = (COA3_UDATA08 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA09 ------------------------------ +// SVD Line: 21007 + +unsigned int COA3_UDATA09 __AT (0x1FFFF624); + + + +// ------------------------------- Register Item: COA3_UDATA09 ---------------------------------- +// SVD Line: 21007 + +// SFDITEM_REG__COA3_UDATA09 +// UDATA09 +// [Bits 31..0] RO (@ 0x1FFFF624) User Data 09 +// +// ( (unsigned int)((COA3_UDATA09 >> 0) & 0xFFFFFFFF), ((COA3_UDATA09 = (COA3_UDATA09 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA10 ------------------------------ +// SVD Line: 21015 + +unsigned int COA3_UDATA10 __AT (0x1FFFF628); + + + +// ------------------------------- Register Item: COA3_UDATA10 ---------------------------------- +// SVD Line: 21015 + +// SFDITEM_REG__COA3_UDATA10 +// UDATA10 +// [Bits 31..0] RO (@ 0x1FFFF628) User Data 10 +// +// ( (unsigned int)((COA3_UDATA10 >> 0) & 0xFFFFFFFF), ((COA3_UDATA10 = (COA3_UDATA10 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA11 ------------------------------ +// SVD Line: 21023 + +unsigned int COA3_UDATA11 __AT (0x1FFFF62C); + + + +// ------------------------------- Register Item: COA3_UDATA11 ---------------------------------- +// SVD Line: 21023 + +// SFDITEM_REG__COA3_UDATA11 +// UDATA11 +// [Bits 31..0] RO (@ 0x1FFFF62C) User Data 11 +// +// ( (unsigned int)((COA3_UDATA11 >> 0) & 0xFFFFFFFF), ((COA3_UDATA11 = (COA3_UDATA11 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA12 ------------------------------ +// SVD Line: 21031 + +unsigned int COA3_UDATA12 __AT (0x1FFFF630); + + + +// ------------------------------- Register Item: COA3_UDATA12 ---------------------------------- +// SVD Line: 21031 + +// SFDITEM_REG__COA3_UDATA12 +// UDATA12 +// [Bits 31..0] RO (@ 0x1FFFF630) User Data 12 +// +// ( (unsigned int)((COA3_UDATA12 >> 0) & 0xFFFFFFFF), ((COA3_UDATA12 = (COA3_UDATA12 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA13 ------------------------------ +// SVD Line: 21039 + +unsigned int COA3_UDATA13 __AT (0x1FFFF634); + + + +// ------------------------------- Register Item: COA3_UDATA13 ---------------------------------- +// SVD Line: 21039 + +// SFDITEM_REG__COA3_UDATA13 +// UDATA13 +// [Bits 31..0] RO (@ 0x1FFFF634) User Data 13 +// +// ( (unsigned int)((COA3_UDATA13 >> 0) & 0xFFFFFFFF), ((COA3_UDATA13 = (COA3_UDATA13 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA14 ------------------------------ +// SVD Line: 21047 + +unsigned int COA3_UDATA14 __AT (0x1FFFF638); + + + +// ------------------------------- Register Item: COA3_UDATA14 ---------------------------------- +// SVD Line: 21047 + +// SFDITEM_REG__COA3_UDATA14 +// UDATA14 +// [Bits 31..0] RO (@ 0x1FFFF638) User Data 14 +// +// ( (unsigned int)((COA3_UDATA14 >> 0) & 0xFFFFFFFF), ((COA3_UDATA14 = (COA3_UDATA14 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA15 ------------------------------ +// SVD Line: 21055 + +unsigned int COA3_UDATA15 __AT (0x1FFFF63C); + + + +// ------------------------------- Register Item: COA3_UDATA15 ---------------------------------- +// SVD Line: 21055 + +// SFDITEM_REG__COA3_UDATA15 +// UDATA15 +// [Bits 31..0] RO (@ 0x1FFFF63C) User Data 15 +// +// ( (unsigned int)((COA3_UDATA15 >> 0) & 0xFFFFFFFF), ((COA3_UDATA15 = (COA3_UDATA15 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA16 ------------------------------ +// SVD Line: 21063 + +unsigned int COA3_UDATA16 __AT (0x1FFFF640); + + + +// ------------------------------- Register Item: COA3_UDATA16 ---------------------------------- +// SVD Line: 21063 + +// SFDITEM_REG__COA3_UDATA16 +// UDATA16 +// [Bits 31..0] RO (@ 0x1FFFF640) User Data 16 +// +// ( (unsigned int)((COA3_UDATA16 >> 0) & 0xFFFFFFFF), ((COA3_UDATA16 = (COA3_UDATA16 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA17 ------------------------------ +// SVD Line: 21071 + +unsigned int COA3_UDATA17 __AT (0x1FFFF644); + + + +// ------------------------------- Register Item: COA3_UDATA17 ---------------------------------- +// SVD Line: 21071 + +// SFDITEM_REG__COA3_UDATA17 +// UDATA17 +// [Bits 31..0] RO (@ 0x1FFFF644) User Data 17 +// +// ( (unsigned int)((COA3_UDATA17 >> 0) & 0xFFFFFFFF), ((COA3_UDATA17 = (COA3_UDATA17 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA18 ------------------------------ +// SVD Line: 21079 + +unsigned int COA3_UDATA18 __AT (0x1FFFF648); + + + +// ------------------------------- Register Item: COA3_UDATA18 ---------------------------------- +// SVD Line: 21079 + +// SFDITEM_REG__COA3_UDATA18 +// UDATA18 +// [Bits 31..0] RO (@ 0x1FFFF648) User Data 18 +// +// ( (unsigned int)((COA3_UDATA18 >> 0) & 0xFFFFFFFF), ((COA3_UDATA18 = (COA3_UDATA18 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA19 ------------------------------ +// SVD Line: 21087 + +unsigned int COA3_UDATA19 __AT (0x1FFFF64C); + + + +// ------------------------------- Register Item: COA3_UDATA19 ---------------------------------- +// SVD Line: 21087 + +// SFDITEM_REG__COA3_UDATA19 +// UDATA19 +// [Bits 31..0] RO (@ 0x1FFFF64C) User Data 19 +// +// ( (unsigned int)((COA3_UDATA19 >> 0) & 0xFFFFFFFF), ((COA3_UDATA19 = (COA3_UDATA19 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA20 ------------------------------ +// SVD Line: 21095 + +unsigned int COA3_UDATA20 __AT (0x1FFFF650); + + + +// ------------------------------- Register Item: COA3_UDATA20 ---------------------------------- +// SVD Line: 21095 + +// SFDITEM_REG__COA3_UDATA20 +// UDATA20 +// [Bits 31..0] RO (@ 0x1FFFF650) User Data 20 +// +// ( (unsigned int)((COA3_UDATA20 >> 0) & 0xFFFFFFFF), ((COA3_UDATA20 = (COA3_UDATA20 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA21 ------------------------------ +// SVD Line: 21103 + +unsigned int COA3_UDATA21 __AT (0x1FFFF654); + + + +// ------------------------------- Register Item: COA3_UDATA21 ---------------------------------- +// SVD Line: 21103 + +// SFDITEM_REG__COA3_UDATA21 +// UDATA21 +// [Bits 31..0] RO (@ 0x1FFFF654) User Data 21 +// +// ( (unsigned int)((COA3_UDATA21 >> 0) & 0xFFFFFFFF), ((COA3_UDATA21 = (COA3_UDATA21 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA22 ------------------------------ +// SVD Line: 21111 + +unsigned int COA3_UDATA22 __AT (0x1FFFF658); + + + +// ------------------------------- Register Item: COA3_UDATA22 ---------------------------------- +// SVD Line: 21111 + +// SFDITEM_REG__COA3_UDATA22 +// UDATA22 +// [Bits 31..0] RO (@ 0x1FFFF658) User Data 22 +// +// ( (unsigned int)((COA3_UDATA22 >> 0) & 0xFFFFFFFF), ((COA3_UDATA22 = (COA3_UDATA22 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA23 ------------------------------ +// SVD Line: 21119 + +unsigned int COA3_UDATA23 __AT (0x1FFFF65C); + + + +// ------------------------------- Register Item: COA3_UDATA23 ---------------------------------- +// SVD Line: 21119 + +// SFDITEM_REG__COA3_UDATA23 +// UDATA23 +// [Bits 31..0] RO (@ 0x1FFFF65C) User Data 23 +// +// ( (unsigned int)((COA3_UDATA23 >> 0) & 0xFFFFFFFF), ((COA3_UDATA23 = (COA3_UDATA23 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA24 ------------------------------ +// SVD Line: 21127 + +unsigned int COA3_UDATA24 __AT (0x1FFFF660); + + + +// ------------------------------- Register Item: COA3_UDATA24 ---------------------------------- +// SVD Line: 21127 + +// SFDITEM_REG__COA3_UDATA24 +// UDATA24 +// [Bits 31..0] RO (@ 0x1FFFF660) User Data 24 +// +// ( (unsigned int)((COA3_UDATA24 >> 0) & 0xFFFFFFFF), ((COA3_UDATA24 = (COA3_UDATA24 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA25 ------------------------------ +// SVD Line: 21135 + +unsigned int COA3_UDATA25 __AT (0x1FFFF664); + + + +// ------------------------------- Register Item: COA3_UDATA25 ---------------------------------- +// SVD Line: 21135 + +// SFDITEM_REG__COA3_UDATA25 +// UDATA25 +// [Bits 31..0] RO (@ 0x1FFFF664) User Data 25 +// +// ( (unsigned int)((COA3_UDATA25 >> 0) & 0xFFFFFFFF), ((COA3_UDATA25 = (COA3_UDATA25 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA26 ------------------------------ +// SVD Line: 21143 + +unsigned int COA3_UDATA26 __AT (0x1FFFF668); + + + +// ------------------------------- Register Item: COA3_UDATA26 ---------------------------------- +// SVD Line: 21143 + +// SFDITEM_REG__COA3_UDATA26 +// UDATA26 +// [Bits 31..0] RO (@ 0x1FFFF668) User Data 26 +// +// ( (unsigned int)((COA3_UDATA26 >> 0) & 0xFFFFFFFF), ((COA3_UDATA26 = (COA3_UDATA26 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA27 ------------------------------ +// SVD Line: 21151 + +unsigned int COA3_UDATA27 __AT (0x1FFFF66C); + + + +// ------------------------------- Register Item: COA3_UDATA27 ---------------------------------- +// SVD Line: 21151 + +// SFDITEM_REG__COA3_UDATA27 +// UDATA27 +// [Bits 31..0] RO (@ 0x1FFFF66C) User Data 27 +// +// ( (unsigned int)((COA3_UDATA27 >> 0) & 0xFFFFFFFF), ((COA3_UDATA27 = (COA3_UDATA27 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA28 ------------------------------ +// SVD Line: 21159 + +unsigned int COA3_UDATA28 __AT (0x1FFFF670); + + + +// ------------------------------- Register Item: COA3_UDATA28 ---------------------------------- +// SVD Line: 21159 + +// SFDITEM_REG__COA3_UDATA28 +// UDATA28 +// [Bits 31..0] RO (@ 0x1FFFF670) User Data 28 +// +// ( (unsigned int)((COA3_UDATA28 >> 0) & 0xFFFFFFFF), ((COA3_UDATA28 = (COA3_UDATA28 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA29 ------------------------------ +// SVD Line: 21167 + +unsigned int COA3_UDATA29 __AT (0x1FFFF674); + + + +// ------------------------------- Register Item: COA3_UDATA29 ---------------------------------- +// SVD Line: 21167 + +// SFDITEM_REG__COA3_UDATA29 +// UDATA29 +// [Bits 31..0] RO (@ 0x1FFFF674) User Data 29 +// +// ( (unsigned int)((COA3_UDATA29 >> 0) & 0xFFFFFFFF), ((COA3_UDATA29 = (COA3_UDATA29 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA30 ------------------------------ +// SVD Line: 21175 + +unsigned int COA3_UDATA30 __AT (0x1FFFF678); + + + +// ------------------------------- Register Item: COA3_UDATA30 ---------------------------------- +// SVD Line: 21175 + +// SFDITEM_REG__COA3_UDATA30 +// UDATA30 +// [Bits 31..0] RO (@ 0x1FFFF678) User Data 30 +// +// ( (unsigned int)((COA3_UDATA30 >> 0) & 0xFFFFFFFF), ((COA3_UDATA30 = (COA3_UDATA30 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// --------------------------- Register Item Address: COA3_UDATA31 ------------------------------ +// SVD Line: 21183 + +unsigned int COA3_UDATA31 __AT (0x1FFFF67C); + + + +// ------------------------------- Register Item: COA3_UDATA31 ---------------------------------- +// SVD Line: 21183 + +// SFDITEM_REG__COA3_UDATA31 +// UDATA31 +// [Bits 31..0] RO (@ 0x1FFFF67C) User Data 31 +// +// ( (unsigned int)((COA3_UDATA31 >> 0) & 0xFFFFFFFF), ((COA3_UDATA31 = (COA3_UDATA31 & ~(0xFFFFFFFFUL << 0 )) | ((unsigned long)(Gui_u32:GuiVal & 0xFFFFFFFF) << 0 ) ) )) +// +// +// + + +// ---------------------------------- Peripheral View: COA3 ------------------------------------- +// SVD Line: 20921 + +// COA3 +// COA3 +// SFDITEM_REG__COA3_UDATA00 +// SFDITEM_REG__COA3_UDATA01 +// SFDITEM_REG__COA3_UDATA02 +// SFDITEM_REG__COA3_UDATA03 +// SFDITEM_REG__COA3_UDATA04 +// SFDITEM_REG__COA3_UDATA05 +// SFDITEM_REG__COA3_UDATA06 +// SFDITEM_REG__COA3_UDATA07 +// SFDITEM_REG__COA3_UDATA08 +// SFDITEM_REG__COA3_UDATA09 +// SFDITEM_REG__COA3_UDATA10 +// SFDITEM_REG__COA3_UDATA11 +// SFDITEM_REG__COA3_UDATA12 +// SFDITEM_REG__COA3_UDATA13 +// SFDITEM_REG__COA3_UDATA14 +// SFDITEM_REG__COA3_UDATA15 +// SFDITEM_REG__COA3_UDATA16 +// SFDITEM_REG__COA3_UDATA17 +// SFDITEM_REG__COA3_UDATA18 +// SFDITEM_REG__COA3_UDATA19 +// SFDITEM_REG__COA3_UDATA20 +// SFDITEM_REG__COA3_UDATA21 +// SFDITEM_REG__COA3_UDATA22 +// SFDITEM_REG__COA3_UDATA23 +// SFDITEM_REG__COA3_UDATA24 +// SFDITEM_REG__COA3_UDATA25 +// SFDITEM_REG__COA3_UDATA26 +// SFDITEM_REG__COA3_UDATA27 +// SFDITEM_REG__COA3_UDATA28 +// SFDITEM_REG__COA3_UDATA29 +// SFDITEM_REG__COA3_UDATA30 +// SFDITEM_REG__COA3_UDATA31 +// +// + + +// ------------------------------ IRQ Num definition: A31G12x ---------------------------------- +// SVD Line: 33 + + + +// ------------------------------------------------------------------------------------------------ +// ----- Interrupt Number Definition ----- +// ------------------------------------------------------------------------------------------------ + + + +// ------------------------ ARM Cortex-M0+ Specific Interrupt Numbers --------------------------- + +// Reset_IRQ +// Reset +// Reset Vector, invoked on Power up and warm reset +// 1 +// +// +// NonMaskableInt_IRQ +// NonMaskableInt +// Non maskable Interrupt, cannot be stopped or preempted +// 2 +// +// +// HardFault_IRQ +// HardFault +// Hard Fault, all classes of Fault +// 3 +// +// +// SVCall_IRQ +// SVCall +// System Service Call via SVC instruction +// 11 +// +// +// PendSV_IRQ +// PendSV +// Pendable request for system service +// 14 +// +// +// SysTick_IRQ +// SysTick +// System Tick Timer +// 15 +// +// + + +// --------------------------- A31G12x Specific Interrupt Numbers ------------------------------- + +// LVI_IRQ +// LVI +// LVI Interrupt +// 16 +// +// +// WUT_IRQ +// WUT +// WUT Interrupt +// 17 +// +// +// WDT_IRQ +// WDT +// WDT Interrupt +// 18 +// +// +// EINT0_IRQ +// EINT0 +// EINT0 Interrupt +// 19 +// +// +// EINT1_IRQ +// EINT1 +// EINT1 Interrupt +// 20 +// +// +// EINT2_IRQ +// EINT2 +// EINT2 Interrupt +// 21 +// +// +// EINT3_IRQ +// EINT3 +// EINT3 Interrupt +// 22 +// +// +// TIMER10_IRQ +// TIMER10 +// TIMER10 Interrupt +// 23 +// +// +// TIMER11_IRQ +// TIMER11 +// TIMER11 Interrupt +// 24 +// +// +// TIMER12_IRQ +// TIMER12 +// TIMER12 Interrupt +// 25 +// +// +// I2C0_IRQ +// I2C0 +// I2C0 Interrupt +// 26 +// +// +// USART10_IRQ +// USART10 +// USART10 Interrupt +// 27 +// +// +// WT_IRQ +// WT +// WT Interrupt +// 28 +// +// +// TIMER30_IRQ +// TIMER30 +// TIMER30 Interrupt +// 29 +// +// +// I2C1_IRQ +// I2C1 +// I2C1 Interrupt +// 30 +// +// +// TIMER20_IRQ +// TIMER20 +// TIMER20 Interrupt +// 31 +// +// +// TIMER21_IRQ +// TIMER21 +// TIMER21 Interrupt +// 32 +// +// +// USART11_IRQ +// USART11 +// USART11 Interrupt +// 33 +// +// +// ADC_IRQ +// ADC +// ADC Interrupt +// 34 +// +// +// UART0_IRQ +// UART0 +// UART0 Interrupt +// 35 +// +// +// UART1_IRQ +// UART1 +// UART1 Interrupt +// 36 +// +// +// TIMER13_IRQ +// TIMER13 +// TIMER13 Interrupt +// 37 +// +// +// TIMER14_IRQ +// TIMER14 +// TIMER14 Interrupt +// 38 +// +// +// TIMER15_IRQ +// TIMER15 +// TIMER15 Interrupt +// 39 +// +// +// TIMER16_IRQ +// TIMER16 +// TIMER16 Interrupt +// 40 +// +// +// I2C2_IRQ +// I2C2 +// I2C2 Interrupt +// 41 +// +// +// USART12_IRQ +// USART12 +// USART12 Interrupt +// 42 +// +// +// USART13_IRQ +// USART13 +// USART13 Interrupt +// 43 +// +// +// A31G12x_IRQTable +// A31G12x Interrupt Table +// 2 +// Reset_IRQ +// NonMaskableInt_IRQ +// HardFault_IRQ +// SVCall_IRQ +// PendSV_IRQ +// SysTick_IRQ +// LVI_IRQ +// WUT_IRQ +// WDT_IRQ +// EINT0_IRQ +// EINT1_IRQ +// EINT2_IRQ +// EINT3_IRQ +// TIMER10_IRQ +// TIMER11_IRQ +// TIMER12_IRQ +// I2C0_IRQ +// USART10_IRQ +// WT_IRQ +// TIMER30_IRQ +// I2C1_IRQ +// TIMER20_IRQ +// TIMER21_IRQ +// USART11_IRQ +// ADC_IRQ +// UART0_IRQ +// UART1_IRQ +// TIMER13_IRQ +// TIMER14_IRQ +// TIMER15_IRQ +// TIMER16_IRQ +// I2C2_IRQ +// USART12_IRQ +// USART13_IRQ +// + + +// ------------------------------------- Menu: A31G12x ----------------------------------------- +// SVD Line: 33 + + + +// ------------------------------- Peripheral Menu: 'A31G12x' ----------------------------------- + + + +// ------------------------------------------------------------------------------------------------ +// ----- Main Menu ----- +// ------------------------------------------------------------------------------------------------ + +// A/D Converter +// ADC +// +// +// CRC & Checksum +// CRC +// +// +// Configuration Option Area +// COA0 +// COA1 +// COA2 +// COA3 +// +// +// Flash Memory Controller +// FMC +// +// +// I2C +// I2C0 +// I2C1 +// I2C2 +// I2Cn +// +// +// Interrupt Controller +// INTC +// +// +// LCD Driver +// LCD +// +// +// PCU & GPIO +// PA +// PB +// PC +// PD +// PE +// PF +// Pn +// +// +// SCU +// SCUCC +// SCUCG +// SCULV +// +// +// Timer/Counter +// TIMER1n +// TIMER2n +// TIMER3n +// TIMER10 +// TIMER11 +// TIMER12 +// TIMER13 +// TIMER14 +// TIMER15 +// TIMER16 +// TIMER20 +// TIMER21 +// TIMER30 +// +// +// UART +// UART0 +// UART1 +// UARTn +// +// +// USART & SPI +// USART1n +// USART10 +// USART11 +// USART12 +// USART13 +// +// +// Watch Timer +// WT +// +// +// Watch-Dog Timer +// WDT +// +// diff --git a/Project/SDK_V2_5_0/Debugging_Info/A31G12x.svd b/Project/SDK_V2_5_0/Debugging_Info/A31G12x.svd new file mode 100644 index 0000000..45b89d4 --- /dev/null +++ b/Project/SDK_V2_5_0/Debugging_Info/A31G12x.svd @@ -0,0 +1,21194 @@ + + + + + VENDOR ABOV Semiconductor Co., Ltd. + + ABOV + + A31G12x + + A31G12x + + 1.0 + + ARM 32-bit Cortex-M0+ Microcontroller based device, CPU clock up to 40MHz, etc. + + + ARM Limited (ARM) is supplying this software for use with Cortex-M\n + processor based microcontroller, but can be equally used for other\n + suitable processor architectures. This file can be freely distributed.\n + Modifications to this file shall be clearly marked.\n + \n + THIS SOFTWARE IS PROVIDED "AS IS". NO WARRANTIES, WHETHER EXPRESS, IMPLIED\n + OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF\n + MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE.\n + ARM SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR\n + CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER. + + + + CM0+ + r0p1 + little + false + false + true + 2 + false + + 8 + + 32 + + + 32 + + read-write + + 0x0 + + 0xffffffff + + + + INTC + 1.0 + Interrupt Controller + Interrupt Controller + 0x40001000 + 32 + read-write + + 0 + 0x500 + registers + + + EINT0 + EINT0 Interrupt + 03 + + + EINT1 + EINT1 Interrupt + 04 + + + EINT2 + EINT2 Interrupt + 05 + + + EINT3 + EINT3 Interrupt + 06 + + + + PBTRIG + Port B Interrupt Trigger Selection Register + 0x004 + 32 + read-write + 0x0 + 0xffffffff + + + ITRIG11 + Port B Interrupt Trigger Selection 11 + [11:11] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG10 + Port B Interrupt Trigger Selection 10 + [10:10] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG9 + Port B Interrupt Trigger Selection 9 + [09:09] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG8 + Port B Interrupt Trigger Selection 8 + [08:08] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG7 + Port B Interrupt Trigger Selection 7 + [07:07] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG6 + Port B Interrupt Trigger Selection 6 + [06:06] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG5 + Port B Interrupt Trigger Selection 5 + [05:05] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG4 + Port B Interrupt Trigger Selection 4 + [04:04] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG3 + Port B Interrupt Trigger Selection 3 + [03:03] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG2 + Port B Interrupt Trigger Selection 2 + [02:02] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG1 + Port B Interrupt Trigger Selection 1 + [01:01] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG0 + Port B Interrupt Trigger Selection 0 + [00:00] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + + + PCTRIG + Port C Interrupt Trigger Selection Register + 0x008 + 32 + read-write + 0x0 + 0xffffffff + + + ITRIG3 + Port C Interrupt Trigger Selection 3 + [03:03] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG2 + Port C Interrupt Trigger Selection 2 + [02:02] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG1 + Port C Interrupt Trigger Selection 1 + [01:01] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG0 + Port C Interrupt Trigger Selection 0 + [00:00] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + + + PETRIG + Port E Interrupt Trigger Selection Register + 0x010 + 32 + read-write + 0x0 + 0xffffffff + + + ITRIG3 + Port E Interrupt Trigger Selection 3 + [03:03] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG2 + Port E Interrupt Trigger Selection 2 + [02:02] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG1 + Port E Interrupt Trigger Selection 1 + [01:01] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + ITRIG0 + Port E Interrupt Trigger Selection 0 + [00:00] + read-write + + + Edge + Edge trigger interrupt + 0 + + + Level + Level trigger interrupt + 1 + + + + + + + PBCR + Port B Interrupt Control Register + 0x104 + 32 + read-write + 0x0 + 0xffffffff + + + INTCTL11 + Port B Interrupt Control 11 + [23:22] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL10 + Port B Interrupt Control 10 + [21:20] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL9 + Port B Interrupt Control 9 + [19:18] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL8 + Port B Interrupt Control 8 + [17:16] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL7 + Port B Interrupt Control 7 + [15:14] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL6 + Port B Interrupt Control 6 + [13:12] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL5 + Port B Interrupt Control 5 + [11:10] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL4 + Port B Interrupt Control 4 + [09:08] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL3 + Port B Interrupt Control 3 + [07:06] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL2 + Port B Interrupt Control 2 + [05:04] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL1 + Port B Interrupt Control 1 + [03:02] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL0 + Port B Interrupt Control 0 + [01:00] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + + + PCCR + Port C Interrupt Control Register + 0x108 + 32 + read-write + 0x0 + 0xffffffff + + + INTCTL3 + Port C Interrupt Control 3 + [07:06] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL2 + Port C Interrupt Control 2 + [05:04] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL1 + Port C Interrupt Control 1 + [03:02] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL0 + Port C Interrupt Control 0 + [01:00] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + + + PECR + Port E Interrupt Control Register + 0x110 + 32 + read-write + 0x0 + 0xffffffff + + + INTCTL3 + Port E Interrupt Control 3 + [07:06] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL2 + Port E Interrupt Control 2 + [05:04] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL1 + Port E Interrupt Control 1 + [03:02] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + INTCTL0 + Port E Interrupt Control 0 + [01:00] + read-write + + + Disable + Disable external interrupt. + 0 + + + FallingEdgeLowLevel + Interrupt on falling edge or on low level + 1 + + + RisingEdgeHighLevel + Interrupt on rising edge or on high level + 2 + + + BothEdgeNoLevel + Interrupt on both falling and rising edge, No level interrupt + 3 + + + + + + + PBFLAG + Port B Interrupt Flag Register + 0x204 + 32 + read-write + 0x0 + 0xffffffff + + + FLAG11 + Port B Interrupt Flag 11 + [11:11] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG10 + Port B Interrupt Flag 10 + [10:10] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG9 + Port B Interrupt Flag 9 + [09:09] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG8 + Port B Interrupt Flag 8 + [08:08] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG7 + Port B Interrupt Flag 7 + [07:07] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG6 + Port B Interrupt Flag 6 + [06:06] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG5 + Port B Interrupt Flag 5 + [05:05] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG4 + Port B Interrupt Flag 4 + [04:04] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG3 + Port B Interrupt Flag 3 + [03:03] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG2 + Port B Interrupt Flag 2 + [02:02] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG1 + Port B Interrupt Flag 1 + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG0 + Port B Interrupt Flag 0 + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + + + PCFLAG + Port C Interrupt Flag Register + 0x208 + 32 + read-write + 0x0 + 0xffffffff + + + FLAG3 + Port C Interrupt Flag 3 + [03:03] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG2 + Port C Interrupt Flag 2 + [02:02] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG1 + Port C Interrupt Flag 1 + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG0 + Port C Interrupt Flag 0 + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + + + PEFLAG + Port E Interrupt Flag Register + 0x210 + 32 + read-write + 0x0 + 0xffffffff + + + FLAG3 + Port E Interrupt Flag 3 + [03:03] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG2 + Port E Interrupt Flag 2 + [02:02] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG1 + Port E Interrupt Flag 1 + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + FLAG0 + Port E Interrupt Flag 0 + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + + + EINT0CONF1 + External Interrupt 0 Configuration Register 1 + 0x300 + 32 + read-write + 0x0 + 0xffffffff + + + CONF7 + External Interrupt 0 Configuration 7 + [31:28] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF6 + External Interrupt 0 Configuration 6 + [27:24] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF5 + External Interrupt 0 Configuration 5 + [23:20] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF4 + External Interrupt 0 Configuration 4 + [19:16] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF3 + External Interrupt 0 Configuration 3 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF2 + External Interrupt 0 Configuration 2 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF1 + External Interrupt 0 Configuration 1 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF0 + External Interrupt 0 Configuration 0 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT1CONF1 + External Interrupt 1 Configuration Register 1 + 0x304 + 32 + read-write + 0x0 + 0xffffffff + + + CONF7 + External Interrupt 1 Configuration 7 + [31:28] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF6 + External Interrupt 1 Configuration 6 + [27:24] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF5 + External Interrupt 1 Configuration 5 + [23:20] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF4 + External Interrupt 1 Configuration 4 + [19:16] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF3 + External Interrupt 1 Configuration 3 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF2 + External Interrupt 1 Configuration 2 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF1 + External Interrupt 1 Configuration 1 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF0 + External Interrupt 1 Configuration 0 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT2CONF1 + External Interrupt 2 Configuration Register 1 + 0x308 + 32 + read-write + 0x0 + 0xffffffff + + + CONF7 + External Interrupt 2 Configuration 7 + [31:28] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF6 + External Interrupt 2 Configuration 6 + [27:24] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF5 + External Interrupt 2 Configuration 5 + [23:20] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF4 + External Interrupt 2 Configuration 4 + [19:16] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF3 + External Interrupt 2 Configuration 3 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF2 + External Interrupt 2 Configuration 2 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF1 + External Interrupt 2 Configuration 1 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF0 + External Interrupt 2 Configuration 0 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT3CONF1 + External Interrupt 3 Configuration Register 1 + 0x30c + 32 + read-write + 0x0 + 0xffffffff + + + CONF7 + External Interrupt 3 Configuration 7 + [31:28] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF6 + External Interrupt 3 Configuration 6 + [27:24] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF5 + External Interrupt 3 Configuration 5 + [23:20] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF4 + External Interrupt 3 Configuration 4 + [19:16] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF3 + External Interrupt 3 Configuration 3 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF2 + External Interrupt 3 Configuration 2 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF1 + External Interrupt 3 Configuration 1 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF0 + External Interrupt 3 Configuration 0 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT0CONF2 + External Interrupt 0 Configuration Register 2 + 0x310 + 32 + read-write + 0x0 + 0xffffffff + + + CONF11 + External Interrupt 0 Configuration 11 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF10 + External Interrupt 0 Configuration 10 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF9 + External Interrupt 0 Configuration 9 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF8 + External Interrupt 0 Configuration 8 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT1CONF2 + External Interrupt 1 Configuration Register 2 + 0x314 + 32 + read-write + 0x0 + 0xffffffff + + + CONF11 + External Interrupt 1 Configuration 11 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF10 + External Interrupt 1 Configuration 10 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF9 + External Interrupt 1 Configuration 9 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF8 + External Interrupt 1 Configuration 8 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT2CONF2 + External Interrupt 2 Configuration Register 2 + 0x318 + 32 + read-write + 0x0 + 0xffffffff + + + CONF11 + External Interrupt 2 Configuration 11 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF10 + External Interrupt 2 Configuration 10 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF9 + External Interrupt 2 Configuration 9 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF8 + External Interrupt 2 Configuration 8 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + EINT3CONF2 + External Interrupt 3 Configuration Register 2 + 0x31c + 32 + read-write + 0x0 + 0xffffffff + + + CONF11 + External Interrupt 3 Configuration 11 + [15:12] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF10 + External Interrupt 3 Configuration 10 + [11:08] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF9 + External Interrupt 3 Configuration 9 + [07:04] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + CONF8 + External Interrupt 3 Configuration 8 + [03:00] + read-write + + + PA + Select PA. + 0 + + + PB + Select PB. + 1 + + + PC + Select PC. + 2 + + + PD + Select PD. + 3 + + + PE + Select PE. + 4 + + + PF + Select PF. + 5 + + + + + + + MSK + Interrupt Source Mask Register + 0x400 + 32 + read-write + 0x0 + 0xffffffff + + + IMSK31_NULL + Interrupt Source Mask 31 (RSVD) + [31:31] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK30_NULL + Interrupt Source Mask 30 (RSVD) + [30:30] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK29_NULL + Interrupt Source Mask 29 (RSVD) + [29:29] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK28_NULL + Interrupt Source Mask 28 (RSVD) + [28:28] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK27_USART13 + Interrupt Source Mask 27 (USART13) + [27:27] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK26_USART12 + Interrupt Source Mask 26 (USART12) + [26:26] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK25_I2C2 + Interrupt Source Mask 25 (I2C2) + [25:25] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK24_TIMER16 + Interrupt Source Mask 24 (TIMER16) + [24:24] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK23_TIMER15 + Interrupt Source Mask 23 (TIMER15) + [23:23] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK22_TIMER14 + Interrupt Source Mask 22 (TIMER14) + [22:22] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK21_TIMER13 + Interrupt Source Mask 21 (TIMER13) + [21:21] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK20_UART1 + Interrupt Source Mask 20 (UART1) + [20:20] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK19_UART0 + Interrupt Source Mask 19 (UART0) + [19:19] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK18_ADC + Interrupt Source Mask 18 (ADC) + [18:18] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK17_USART11 + Interrupt Source Mask 17 (USART11) + [17:17] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK16_TIMER21 + Interrupt Source Mask 16 (TIMER21) + [16:16] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK15_TIMER20 + Interrupt Source Mask 15 (TIMER20) + [15:15] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK14_I2C1 + Interrupt Source Mask 14 (I2C1) + [14:14] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK13_TIMER30 + Interrupt Source Mask 13 (TIMER30) + [13:13] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK12_WT + Interrupt Source Mask 12 (WT) + [12:12] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK11_USART10 + Interrupt Source Mask 11 (USART10) + [11:11] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK10_I2C0 + Interrupt Source Mask 10 (I2C0) + [10:10] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK9_TIMER12 + Interrupt Source Mask 9 (TIMER12) + [09:09] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK8_TIMER11 + Interrupt Source Mask 8 (TIMER11) + [08:08] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK7_TIMER10 + Interrupt Source Mask 7 (TIMER10) + [07:07] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK6_EINT3 + Interrupt Source Mask 6 (EINT3) + [06:06] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK5_EINT2 + Interrupt Source Mask 5 (EINT2) + [05:05] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK4_EINT1 + Interrupt Source Mask 4 (EINT1) + [04:04] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK3_EINT0 + Interrupt Source Mask 3 (EINT0) + [03:03] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK2_WDT + Interrupt Source Mask 2 (WDT) + [02:02] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK1_WUT + Interrupt Source Mask 1 (WUT) + [01:01] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + IMSK0_LVI + Interrupt Source Mask 0 (LVI) + [00:00] + read-write + + + Mask + Mask Interrupt Source + 0 + + + Unmask + Unmask Interrupt Source + 1 + + + + + + + + + SCUCC + 1.0 + System Control Unit: Chip Configuration + SCU + 0x4000f000 + 32 + read-write + + 0 + 0x100 + registers + + + WUT + WUT Interrupt + 01 + + + + VENDORID + Vendor Identification Register + 0x00 + 32 + read-only + 0x41424f56 + 0xffffffff + + + VENDID + Vendor Identification + [31:00] + read-only + + + + + CHIPID + Chip Identification Register + 0x04 + 32 + read-only + 0x4d31f002 + 0xfffffff0 + + + CHIPID + Chip Identification + [31:00] + read-only + + + + + REVNR + Revision Number Register + 0x08 + 32 + read-only + 0x0 + 0xffffff00 + + + REVNO + Chip Revision Number + [07:00] + read-only + + + + + PMREMAP + Program Memory Remap Register + 0x14 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0xe2f1) + [31:16] + write-only + + + Value + Key Value (0xe2f1) + 0xe2f1 + + + + + nPMREM + Write Complement Key + [15:08] + write-only + + + BootROM + Boot ROM is re-mapped to address 0x0. (0x96) + 0x96 + + + FlashMemory + Flash Memory is re-mapped to address 0x0. + 0x00 + + + + + PMREM + Program Memory Remap + [07:00] + read-write + + + BootROM + Boot ROM is re-mapped to address 0x0. (0x69) + 0x69 + + + FlashMemory + Flash Memory is re-mapped to address 0x0. + 0x00 + + + + + + + BTPSCR + Boot Pin Status and Control Register + 0x18 + 32 + read-write + 0x40 + 0xffffffde + + + BFIND + BOOT Pin Function Indicator + [06:05] + read-write + + + PORorEXTR + Check the BOOT pin when a system reset occurs by nRESET including POR. + 2 + + + POR + Check the BOOT pin when a system reset occurs only by POR. + 3 + + + + + BTPSTA + BOOT Pin Status + [00:00] + read-only + + + Low + The BOOT pin is low level. + 0 + + + High + The BOOT pin is high level. + 1 + + + + + + + RSTSSR + Reset Source Status Register + 0x1c + 32 + read-write + 0x0 + 0xffffffc0 + + + MONSTA + Clock Monitoring Reset Status + [05:05] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + CMR was detected. + 1 + + + + + SWSTA + Software Reset Status + [04:04] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + SWR was detected. + 1 + + + + + EXTSTA + External Pin Reset Status + [03:03] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + EXTR was detected. + 1 + + + + + WDTSTA + Watch-Dog Timer Reset Status + [02:02] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + WDTR was detected. + 1 + + + + + LVRSTA + LVR Reset Status + [01:01] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + LVR was detected. + 1 + + + + + PORSTA + POR Reset Status + [00:00] + read-write + + + NotDetected + Not detected. + 0 + + + Detected + POR was detected. + 1 + + + + + + + NMISRCR + NMI Source Selection Register + 0x20 + 32 + read-write + 0x0 + 0xffffffff + + + NMICON + Non-Maskable Interrupt (NMI) Control + [07:07] + read-write + + + Disable + Disable NMI. + 0 + + + Enable + Enable NMI. + 1 + + + + + MONINT + Clock Monitoring Interrupt Selection + [06:06] + read-write + + + NotSelect + Non-select clock monitoring interrupt for NMI source. + 0 + + + Select + Select clock monitoring interrupt for NMI source. + 1 + + + + + NMISRC + Non-Maskable Interrupt Source Selection + [04:00] + read-write + + + + + SWRSTR + Software Reset Register + 0x24 + 32 + write-only + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0x9eb3) + [31:16] + write-only + + + Value + Key Value (0x9eb3) + 0x9eb3 + + + + + SWRST + Software Reset (System Reset) + [07:00] + write-only + + + Generate + A software reset will be generated for all peripheral and core. (0x2d) + 0x2d + + + NoEffect + No effect. + 0x00 + + + + + + + SRSTVR + System Reset Validation Register + 0x28 + 32 + read-only + 0x55 + 0xffffffff + + + VALID + System Reset Validation + [07:00] + read-only + + + + + WUTCR + Wake-Up Timer Control Register + 0x2c + 32 + read-write + 0x0 + 0xffffffff + + + WUTIEN + Wake-Up Timer Interrupt Enable + [07:07] + read-write + + + Disable + Disable Wake-Up Timer interrupt. + 0 + + + Enable + Enable Wake-Up Timer interrupt. + 1 + + + + + CNTRLD + Counter Reload + [01:01] + read-write + + + NoEffect + No effect. + 0 + + + Reload + Reload data to counter. + 1 + + + + + WUTIFLAG + Wake-Up Timer Interrupt Flag + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + + + WUTDR + Wake-Up Timer Data Register + 0x30 + 32 + read-write + 0x138 + 0xffffffff + + + WUTDATA + Wake-Up Timer Data + [15:00] + read-write + + + + + HIRCTRM + High Frequency Internal RC Trim Register (HIRCNFIG) + 0xa8 + 32 + read-write + 0x0 + 0xffffff00 + + + WTIDKY + Write Identification Key (0xa6b5) + [31:16] + write-only + + + Value + Key Value (0xa6b5) + 0xa6b5 + + + + + nTRMH + Write Complement Key + [15:08] + write-only + + + CTRMH + Factory HIRC Coarse Trim + [07:05] + read-only + + + FTRMH + Factory HIRC Fine Trim + [04:00] + read-write + + + + + WDTRCTRM + Watch-Dog Timer RC Trim Register (WDTRCNFIG) + 0xac + 32 + read-write + 0x0 + 0xffffff08 + + + WTIDKY + Write Identification Key (0x4c3d) + [31:16] + write-only + + + Value + Key Value (0x4c3d) + 0x4c3d + + + + + nTRMW + Write Complement Key + [15:08] + write-only + + + CTRMW + Factory WDTRC Coarse Trim + [07:04] + read-write + + + FTRMW + Factory WDTRC Fine Trim + [02:00] + read-write + + + + + + + SCUCG + 1.0 + System Control Unit: Clock Generation + SCU + 0x40001800 + 32 + read-write + + 0 + 0x100 + registers + + + + SCCR + System Clock Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0x570a) + [31:16] + write-only + + + Value + Key Value (0x570a) + 0x570a + + + + + MCLKSEL + Main Clock Selection, MCLK + [01:00] + read-write + + + HIRC + High Frequency Internal RC Oscillator (40MHz), HIRC + 0 + + + XMOSC + External Main Oscillator (2 - 40MHz), XMOSC + 1 + + + XSOSC + External Sub Oscillator (32.768kHz), XSOSC + 2 + + + WDTRC + Internal Watch-Dog Timer RC Oscillator (40kHz), WDTRC + 3 + + + + + + + CLKSRCR + Clock Source Control Register + 0x04 + 32 + read-write + 0xc + 0xffffffff + + + WTIDKY + Write Identification Key (0xa507) + [31:16] + write-only + + + Value + Key Value (0xa507) + 0xa507 + + + + + HIRCSEL + HIRC Frequency Selection + [13:12] + read-write + + + HIRC1 + 40MHz HIRC + 0 + + + HIRC2 + 20MHz HIRC + 1 + + + HIRC4 + 10MHz HIRC + 2 + + + HIRC8 + 5MHz HIRC + 3 + + + + + XMFRNG + Main Oscillator Type and Frequency Range Selection + [08:08] + read-write + + + Xtal + X-tal for XMOSC, 2 to 16MHz + 0 + + + Clock + External Clock for XMOSC, 2MHz to 40MHz + 1 + + + + + WDTRCEN + WDTRC Enable + [03:03] + read-write + + + Disable + Disable WDTRC. + 0 + + + Enable + Enable WDTRC. + 1 + + + + + HIRCEN + HIRC Enable + [02:02] + read-write + + + Disable + Disable HIRC. + 0 + + + Enable + Enable HIRC. + 1 + + + + + XMOSCEN + XMOSC Enable + [01:01] + read-write + + + Disable + Disable XMOSC. + 0 + + + Enable + Enable XMOSC. + 1 + + + + + XSOSCEN + XSOSC Enable + [00:00] + read-write + + + Disable + Disable XSOSC. + 0 + + + Enable + Enable XSOSC. + 1 + + + + + + + SCDIVR1 + System Clock Divide Register 1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + WLDIV + Clock Divide for Watch Timer and LCD Driver, Divider 2 + [06:04] + read-write + + + MCLK64 + MCLK/64 + 0 + + + MCLK128 + MCLK/128 + 1 + + + MCLK256 + MCLK/256 + 2 + + + MCLK512 + MCLK/512 + 3 + + + MCLK1024 + MCLK/1024 + 4 + + + + + HDIV + Clock Divide for HCLK, Divider 0 + [02:00] + read-write + + + MCLK16 + MCLK/16 + 0 + + + MCLK8 + MCLK/8 + 1 + + + MCLK4 + MCLK/4 + 2 + + + MCLK2 + MCLK/2 + 3 + + + MCLK1 + MCLK/1 + 4 + + + + + + + SCDIVR2 + System Clock Divide Register 2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + SYSTDIV + Clock Divide for SysTick Timer, Divider 3 + [05:04] + read-write + + + HCLK1 + HCLK/1 + 0 + + + HCLK2 + HCLK/2 + 1 + + + HCLK4 + HCLK/4 + 2 + + + HCLK8 + HCLK/8 + 3 + + + + + PDIV + Clock Divide for PCLK, Divider 1 + [01:00] + read-write + + + HCLK1 + HCLK/1 + 0 + + + HCLK2 + HCLK/2 + 1 + + + HCLK4 + HCLK/4 + 2 + + + HCLK8 + HCLK/8 + 3 + + + + + + + CLKOCR + Clock Output Control Register + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + CLKOEN + Clock Output Enable + [07:07] + read-write + + + Disable + Disable clock output. + 0 + + + Enable + Enable clock output. + 1 + + + + + POLSEL + Clock Output Polarity Selection when Disable + [06:06] + read-write + + + Low + Low level during disable + 0 + + + High + High level during disable + 1 + + + + + CLKODIV + Output Clock Divide, Divider 4 + [05:03] + read-write + + + SelectedClock1 + Selected Clock/1 + 0 + + + SelectedClock2 + Selected Clock/2 + 1 + + + SelectedClock4 + Selected Clock/4 + 2 + + + SelectedClock8 + Selected Clock/8 + 3 + + + SelectedClock16 + Selected Clock/16 + 4 + + + SelectedClock32 + Selected Clock/32 + 5 + + + SelectedClock64 + Selected Clock/64 + 6 + + + SelectedClock128 + Selected Clock/128 + 7 + + + + + CLKOS + Clock Output Selection + [02:00] + read-write + + + MCLK + Select MCLK. + 0 + + + WDTRC + Select WDTRC. + 1 + + + HIRC + Select HIRC. + 2 + + + HCLK + Select HCLK. + 3 + + + PCLK + Select PCLK. + 4 + + + + + + + CMONCR + Clock Monitoring Control Register + 0x14 + 32 + read-write + 0x0 + 0xffffffff + + + MONEN + Clock Monitoring Enable + [07:07] + read-write + + + Disable + Disable clock monitoring. + 0 + + + Enable + Enable clock monitoring. + 1 + + + + + MACTS + Clock Monitoring Action Selection + [06:05] + read-write + + + FlagChk + No action by clock monitoring, but flags will be set/cleared on condition + 0 + + + RstGen + Reset generation by clock monitoring + 1 + + + SysClkChg + The system clock will be changed to the WDTRC regardless of MCLKSEL[1:0] bits of system clock control register (SCU_SCCR) only when the MCLK is selected for monitoring + 2 + + + + + MONFLAG + Clock Monitoring Result Flag + [03:03] + read-write + + + NotReady + The clock to be monitored is not ready + 0 + + + Ready + The clock to be monitored is ready + 1 + + + + + NMINTFG + Clock Monitoring Interrupt Flag + [02:02] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + MONCS + Monitored Clock Selection + [01:00] + read-write + + + MCLK + Select MCLK. + 0 + + + HIRC + Select HIRC. + 1 + + + XMOSC + Select XMOSC. + 2 + + + XSOSC + Select XSOSC. + 3 + + + + + + + PPCLKEN1 + Peripheral Clock Enable Register 1 + 0x20 + 32 + read-write + 0x0 + 0xffffffff + + + T21CLKE + TIMER21 Clock Enable + [21:21] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T20CLKE + TIMER20 Clock Enable + [20:20] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T30CLKE + TIMER30 Clock Enable + [19:19] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T12CLKE + TIMER12 Clock Enable + [18:18] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T11CLKE + TIMER11 Clock Enable + [17:17] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T10CLKE + TIMER10 Clock Enable + [16:16] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T16CLKE + TIMER16 Clock Enable + [11:11] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T15CLKE + TIMER15 Clock Enable + [10:10] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T14CLKE + TIMER14 Clock Enable + [09:09] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + T13CLKE + TIMER13 Clock Enable + [08:08] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PFCLKE + Port F Clock Enable + [05:05] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PECLKE + Port E Clock Enable + [04:04] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PDCLKE + Port D Clock Enable + [03:03] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PCCLKE + Port C Clock Enable + [02:02] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PBCLKE + Port B Clock Enable + [01:01] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + PACLKE + Port A Clock Enable + [00:00] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + + + PPCLKEN2 + Peripheral Clock Enable Register 2 + 0x24 + 32 + read-write + 0x20000 + 0xffffffff + + + FMCLKE + FMC (Flash Memory Controller) Clock Enable + [19:19] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + LVICLKE + LVI (Low Voltage Indicator) Clock Enable + [18:18] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + WDTCLKE + WDT (Watch-Dog Timer) Clock Enable + [17:17] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + WTCLKE + WT (Watch Timer) Clock Enable + [16:16] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + LCDCLKE + LCD (LCD Driver) Clock Enable + [13:13] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + CRCLKE + CRC (Cyclic Redundancy Check) Clock Enable + [12:12] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + ADCLKE + ADC (Analog to Digital Converter) Clock Enable + [10:10] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + I2C2CLKE + I2C2 (Inter-IC) Clock Enable + [08:08] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + I2C1CLKE + I2C1 (Inter-IC) Clock Enable + [07:07] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + I2C0CLKE + I2C0 (Inter-IC) Clock Enable + [06:06] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UST13CLKE + USART13 Clock Enable + [05:05] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UST12CLKE + USART12 Clock Enable + [04:04] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UT1CLKE + UART1 Clock Enable + [03:03] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UT0CLKE + UART0 Clock Enable + [02:02] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UST11CLKE + USART11 Clock Enable + [01:01] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + UST10CLKE + USART10 Clock Enable + [00:00] + read-write + + + Disable + Disable clock. + 0 + + + Enable + Enable clock. + 1 + + + + + + + PPCLKSR + Peripheral Clock Selection Register + 0x40 + 32 + read-write + 0x0 + 0xffffffff + + + T20CLK + TIMER20 Clock Selection + [20:20] + read-write + + + XSOSC + XSOSC clock + 0 + + + PCLK + PCLK clock + 1 + + + + + T30CLK + TIMER30 Clock Selection + [17:17] + read-write + + + MCLK + MCLK clock + 0 + + + PCLK + PCLK clock + 1 + + + + + LCDCLK + LCD (LCD Driver) Clock Selection + [07:06] + read-write + + + DividedMCLK + A clock of the MCLK which is divided by divider 2 + 0 + + + XSOSC + XSOSC clock + 1 + + + WDTRC + WDTRC clock + 2 + + + + + WTCLK + WT (Watch Timer) Clock Selection + [04:03] + read-write + + + DividedMCLK + A clock of the MCLK which is divided by divider 2 + 0 + + + XSOSC + XSOSC clock + 1 + + + WDTRC + WDTRC clock + 2 + + + + + WDTCLK + WDT (Watch-Dog Timer) Clock Selection + [00:00] + read-write + + + WDTRC + WDTRC clock + 0 + + + PCLK + PCLK clock + 1 + + + + + + + PPRST1 + Peripheral Reset Register 1 + 0x60 + 32 + read-write + 0x0 + 0xffffffff + + + T21RST + TIMER21 Reset + [21:21] + read-write + + + T20RST + TIMER20 Reset + [20:20] + read-write + + + T30RST + TIMER30 Reset + [19:19] + read-write + + + T12RST + TIMER12 Reset + [18:18] + read-write + + + T11RST + TIMER11 Reset + [17:17] + read-write + + + T10RST + TIMER10 Reset + [16:16] + read-write + + + T16RST + TIMER16 Reset + [11:11] + read-write + + + T15RST + TIMER15 Reset + [10:10] + read-write + + + T14RST + TIMER14 Reset + [09:09] + read-write + + + T13RST + TIMER13 Reset + [08:08] + read-write + + + PFRST + Port F Reset + [05:05] + read-write + + + PERST + Port E Reset + [04:04] + read-write + + + PDRST + Port D Reset + [03:03] + read-write + + + PCRST + Port C Reset + [02:02] + read-write + + + PBRST + Port B Reset + [01:01] + read-write + + + PARST + Port A Reset + [00:00] + read-write + + + + + PPRST2 + Peripheral Reset Register 2 + 0x64 + 32 + read-write + 0x0 + 0xffffffff + + + FMCRST + FMC (Flash Memory Controller) Reset + [19:19] + read-write + + + LVIRST + LVI (Low Voltage Indicator) Reset + [18:18] + read-write + + + WTRST + WT (Watch Timer) Reset + [16:16] + read-write + + + LCDRST + LCD (LCD Driver) Reset + [13:13] + read-write + + + CRRST + CRC (Cyclic Redundancy Check) Reset + [12:12] + read-write + + + ADRST + ADC (Analog to Digital Converter) Reset + [10:10] + read-write + + + I2C2RST + I2C2 (Inter-IC) Reset + [08:08] + read-write + + + I2C1RST + I2C1 (Inter-IC) Reset + [07:07] + read-write + + + I2C0RST + I2C0 (Inter-IC) Reset + [06:06] + read-write + + + UST13RST + USART13 Reset + [05:05] + read-write + + + UST12RST + USART12 Reset + [04:04] + read-write + + + UT1RST + UART1 Reset + [03:03] + read-write + + + UT0RST + UART0 Reset + [02:02] + read-write + + + UST11RST + USART11 Reset + [01:01] + read-write + + + UST10RST + USART10 Reset + [00:00] + + + + + XTFLSR + X-tal Filter Selection Register + 0x80 + 32 + read-write + 0x5 + 0xffffffff + + + WTIDKY + Write Identification Key (0x9b37) + [31:16] + write-only + + + Value + Key Value (0x9b37) + 0x9b37 + + + + + XRNS + External Main Oscillator Filter Selection + [02:00] + read-write + + + LE4p5MHz + x-tal LE 4.5MHz + 0 + + + LE6p5MHz + 4.5MHz GT x-tal LE 6.5MHz + 1 + + + LE8p5MHz + 6.5MHz GT x-tal LE 8.5MHz + 2 + + + LE10p5MHz + 8.5MHz GT x-tal LE 10.5MHz + 3 + + + LE12p5MHz + 10.5MHz GT x-tal LE 12.5MHz + 4 + + + LE16p5MHz + 12.5MHz GT x-tal LE 16.5MHz + 5 + + + + + + + + + SCULV + 1.0 + System Control Unit: LVI and LVR + SCU + 0x40005100 + 32 + read-write + + 0 + 0x100 + registers + + + LVI + LVI Interrupt + 00 + + + + LVICR + Low Voltage Indicator Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + LVIEN + LVI Enable + [07:07] + read-write + + + Disable + Disable low voltage indicator. + 0 + + + Enable + Enable low voltage indicator. + 1 + + + + + LVINTEN + LVI Interrupt Enable + [05:05] + read-write + + + Disable + Disable low voltage indicator interrupt. + 0 + + + Enable + Enable low voltage indicator interrupt. + 1 + + + + + LVIFLAG + LVI Interrupt Flag + [04:04] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + LVIVS + LVI Voltage Selection + [03:00] + read-write + + + DNW3 + Do not write. + 3 + + + 2p00V + 2.00V + 4 + + + 2p13V + 2.13V + 5 + + + 2p28V + 2.28V + 6 + + + 2p46V + 2.46V + 7 + + + 2p67V + 2.67V + 8 + + + 3p04V + 3.04V + 9 + + + 3p20V + 3.20V + 10 + + + 3p55V + 3.55V + 11 + + + 3p75V + 3.75V + 12 + + + 3p99V + 3.99V + 13 + + + 4p25V + 4.25V + 14 + + + 4p55V + 4.55V + 15 + + + + + + + LVRCR + Low Voltage Reset Control Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + LVREN + LVR Enable + [07:00] + read-write + + + Disable + Disable low voltage reset. (0x55) + 0x55 + + + Enable + Enable low voltage reset. + 0x00 + + + + + + + + + Pn + 1.0 + Port Control Unit & GPIO Port n + PCU & GPIO + 0x50000000 + 32 + read-write + + 0 + 0x100 + registers + + + + MOD + Port n Mode Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE15 + Port n Mode Selection 15 + [31:30] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE14 + Port n Mode Selection 14 + [29:28] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE13 + Port n Mode Selection 13 + [27:26] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE12 + Port n Mode Selection 12 + [25:24] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + Input + Input Mode + 0 + + + Output + Output Mode + 1 + + + Alternative + Alternative Function Mode + 2 + + + + + + + TYP + Port n Output Type Selection Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP15 + Port n Output Type Selection 15 + [15:15] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP14 + Port n Output Type Selection 14 + [14:14] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP13 + Port n Output Type Selection 13 + [13:13] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP12 + Port n Output Type Selection 12 + [12:12] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + PushPull + Push-Pull Output + 0 + + + OpenDrain + Open-Drain Output + 1 + + + + + + + AFSR1 + Port n Alternative Function Selection Register 1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + + + AFSR2 + Port n Alternative Function Selection Register 2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR15 + Port n Alternative Function Selection 15 + [31:28] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR14 + Port n Alternative Function Selection 14 + [27:24] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR13 + Port n Alternative Function Selection 13 + [23:20] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR12 + Port n Alternative Function Selection 12 + [19:16] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + AF0 + Alternative Function 0 (AF0) + 0 + + + AF1 + Alternative Function 1 (AF1) + 1 + + + AF2 + Alternative Function 2 (AF2) + 2 + + + AF3 + Alternative Function 3 (AF3) + 3 + + + AF4 + Alternative Function 4 (AF4) + 4 + + + + + + + PUPD + Port n Pull-Up/Down Resistor Selection Register + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD15 + Port n Pull-Up/Down Resistor Selection 15 + [31:30] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD14 + Port n Pull-Up/Down Resistor Selection 14 + [29:28] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD13 + Port n Pull-Up/Down Resistor Selection 13 + [27:26] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD12 + Port n Pull-Up/Down Resistor Selection 12 + [25:24] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + Disable + Disable pull-up/down resistor. + 0 + + + EnablePU + Enable pull-up resistor. + 1 + + + EnablePD + Enable pull-down resistor. + 2 + + + + + + + INDR + Port n Input Data Register + 0x14 + 32 + read-only + 0x0 + 0xffff0000 + + + INDR15 + Port n Input Data 15 + [15:15] + read-only + + + INDR14 + Port n Input Data 14 + [14:14] + read-only + + + INDR13 + Port n Input Data 13 + [13:13] + read-only + + + INDR12 + Port n Input Data 12 + [12:12] + read-only + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + OUTDR + Port n Output Data Register + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR15 + Port n Output Data 15 + [15:15] + read-write + + + OUTDR14 + Port n Output Data 14 + [14:14] + read-write + + + OUTDR13 + Port n Output Data 13 + [13:13] + read-write + + + OUTDR12 + Port n Output Data 12 + [12:12] + read-write + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + BSR + Port n Output Bit Set Register + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR15 + Port n Output Bit Set 15 + [15:15] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR14 + Port n Output Bit Set 14 + [14:14] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR13 + Port n Output Bit Set 13 + [13:13] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR12 + Port n Output Bit Set 12 + [12:12] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + NoEffect + No effect. + 0 + + + Set + Set the corresponding OUTDRx bit (Automatically cleared to 0.) + 1 + + + + + + + BCR + Port n Output Bit Clear Register + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR15 + Port n Output Bit Clear 15 + [15:15] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR14 + Port n Output Bit Clear 14 + [14:14] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR13 + Port n Output Bit Clear 13 + [13:13] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR12 + Port n Output Bit Clear 12 + [12:12] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + NoEffect + No effect. + 0 + + + Clear + Clear the corresponding OUTDRx bit. (Automatically cleared to 0.) + 1 + + + + + + + OUTDMSK + Port n Output Data Mask Register + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK15 + Port n Output Data Mask 15 + [15:15] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK14 + Port n Output Data Mask 14 + [14:14] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK13 + Port n Output Data Mask 13 + [13:13] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK12 + Port n Output Data Mask 12 + [12:12] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + Unmask + Unmask. The corresponding OUTDRx bit can be changed. + 0 + + + Mask + Mask. The corresponding OUTDRx bit is protected. + 1 + + + + + + + DBCR + Port n Debounce Control Register + 0x28 + 32 + read-write + 0x0 + 0xffffffff + + + DBCLK + Port n Debounce Filter Sampling Clock Selection + [18:16] + read-write + + + HCLK1 + HCLK/1 + 0 + + + HCLK4 + HCLK/4 + 1 + + + HCLK16 + HCLK/16 + 2 + + + HCLK64 + HCLK/64 + 3 + + + HCLK256 + HCLK/256 + 4 + + + HCLK1024 + HCLK/1024 + 5 + + + + + DBEN11 + Port n Debounce Enable 11 + [11:11] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN10 + Port n Debounce Enable 10 + [10:10] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN9 + Port n Debounce Enable 9 + [09:09] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN8 + Port n Debounce Enable 8 + [08:08] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN7 + Port n Debounce Enable 7 + [07:07] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN6 + Port n Debounce Enable 6 + [06:06] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN5 + Port n Debounce Enable 5 + [05:05] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN4 + Port n Debounce Enable 4 + [04:04] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN3 + Port n Debounce Enable 3 + [03:03] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN2 + Port n Debounce Enable 2 + [02:02] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN1 + Port n Debounce Enable 1 + [01:01] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + DBEN0 + Port n Debounce Enable 0 + [00:00] + read-write + + + Disable + Disable debounce filter. + 0 + + + Enable + Enable debounce filter. + 1 + + + + + + + + + PA + 1.0 + Port Control Unit & GPIO Port A + PCU & GPIO + 0x30000000 + 32 + read-write + + 0 + 0x100 + registers + + + + PA_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PA_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PA_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PA_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + + + PA_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PA_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xfffff000 + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PA_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PA_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PA_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PA_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + + + PB + 1.0 + Port Control Unit & GPIO Port B + PCU & GPIO + 0x30000100 + 32 + read-write + + 0 + 0x100 + registers + + + + PB_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE15 + Port n Mode Selection 15 + [31:30] + read-write + + + MODE14 + Port n Mode Selection 14 + [29:28] + read-write + + + MODE13 + Port n Mode Selection 13 + [27:26] + read-write + + + MODE12 + Port n Mode Selection 12 + [25:24] + read-write + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PB_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP15 + Port n Output Type Selection 15 + [15:15] + read-write + + + TYP14 + Port n Output Type Selection 14 + [14:14] + read-write + + + TYP13 + Port n Output Type Selection 13 + [13:13] + read-write + + + TYP12 + Port n Output Type Selection 12 + [12:12] + read-write + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PB_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PB_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR15 + Port n Alternative Function Selection 15 + [31:28] + read-write + + + AFSR14 + Port n Alternative Function Selection 14 + [27:24] + read-write + + + AFSR13 + Port n Alternative Function Selection 13 + [23:20] + read-write + + + AFSR12 + Port n Alternative Function Selection 12 + [19:16] + read-write + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + + + PB_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD15 + Port n Pull-Up/Down Resistor Selection 15 + [31:30] + read-write + + + PUPD14 + Port n Pull-Up/Down Resistor Selection 14 + [29:28] + read-write + + + PUPD13 + Port n Pull-Up/Down Resistor Selection 13 + [27:26] + read-write + + + PUPD12 + Port n Pull-Up/Down Resistor Selection 12 + [25:24] + read-write + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PB_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xffff0000 + + + INDR15 + Port n Input Data 15 + [15:15] + read-only + + + INDR14 + Port n Input Data 14 + [14:14] + read-only + + + INDR13 + Port n Input Data 13 + [13:13] + read-only + + + INDR12 + Port n Input Data 12 + [12:12] + read-only + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PB_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR15 + Port n Output Data 15 + [15:15] + read-write + + + OUTDR14 + Port n Output Data 14 + [14:14] + read-write + + + OUTDR13 + Port n Output Data 13 + [13:13] + read-write + + + OUTDR12 + Port n Output Data 12 + [12:12] + read-write + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PB_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR15 + Port n Output Bit Set 15 + [15:15] + write-only + + + BSR14 + Port n Output Bit Set 14 + [14:14] + write-only + + + BSR13 + Port n Output Bit Set 13 + [13:13] + write-only + + + BSR12 + Port n Output Bit Set 12 + [12:12] + write-only + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PB_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR15 + Port n Output Bit Clear 15 + [15:15] + write-only + + + BCR14 + Port n Output Bit Clear 14 + [14:14] + write-only + + + BCR13 + Port n Output Bit Clear 13 + [13:13] + write-only + + + BCR12 + Port n Output Bit Clear 12 + [12:12] + write-only + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PB_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK15 + Port n Output Data Mask 15 + [15:15] + read-write + + + OUTDMSK14 + Port n Output Data Mask 14 + [14:14] + read-write + + + OUTDMSK13 + Port n Output Data Mask 13 + [13:13] + read-write + + + OUTDMSK12 + Port n Output Data Mask 12 + [12:12] + read-write + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + PB_DBCR + Port n Debounce Control Register + DBCR + 0x28 + 32 + read-write + 0x0 + 0xffffffff + + + DBCLK + Port n Debounce Filter Sampling Clock Selection + [18:16] + read-write + + + DBEN11 + Port n Debounce Enable 11 + [11:11] + read-write + + + DBEN10 + Port n Debounce Enable 10 + [10:10] + read-write + + + DBEN9 + Port n Debounce Enable 9 + [09:09] + read-write + + + DBEN8 + Port n Debounce Enable 8 + [08:08] + read-write + + + DBEN7 + Port n Debounce Enable 7 + [07:07] + read-write + + + DBEN6 + Port n Debounce Enable 6 + [06:06] + read-write + + + DBEN5 + Port n Debounce Enable 5 + [05:05] + read-write + + + DBEN4 + Port n Debounce Enable 4 + [04:04] + read-write + + + DBEN3 + Port n Debounce Enable 3 + [03:03] + read-write + + + DBEN2 + Port n Debounce Enable 2 + [02:02] + read-write + + + DBEN1 + Port n Debounce Enable 1 + [01:01] + read-write + + + DBEN0 + Port n Debounce Enable 0 + [00:00] + read-write + + + + + + + PC + 1.0 + Port Control Unit & GPIO Port C + PCU & GPIO + 0x30000200 + 32 + read-write + + 0 + 0x100 + registers + + + + PC_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE12 + Port n Mode Selection 12 + [25:24] + read-write + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PC_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP12 + Port n Output Type Selection 12 + [12:12] + read-write + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PC_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PC_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR12 + Port n Alternative Function Selection 12 + [19:16] + read-write + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + + + PC_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD12 + Port n Pull-Up/Down Resistor Selection 12 + [25:24] + read-write + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PC_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xffffe000 + + + INDR12 + Port n Input Data 12 + [12:12] + read-only + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PC_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR12 + Port n Output Data 12 + [12:12] + read-write + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PC_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR12 + Port n Output Bit Set 12 + [12:12] + write-only + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PC_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR12 + Port n Output Bit Clear 12 + [12:12] + write-only + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PC_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK12 + Port n Output Data Mask 12 + [12:12] + read-write + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + PC_DBCR + Port n Debounce Control Register + DBCR + 0x28 + 32 + read-write + 0x0 + 0xffffffff + + + DBCLK + Port n Debounce Filter Sampling Clock Selection + [18:16] + read-write + + + DBEN3 + Port n Debounce Enable 3 + [03:03] + read-write + + + DBEN2 + Port n Debounce Enable 2 + [02:02] + read-write + + + DBEN1 + Port n Debounce Enable 1 + [01:01] + read-write + + + DBEN0 + Port n Debounce Enable 0 + [00:00] + read-write + + + + + + + PD + 1.0 + Port Control Unit & GPIO Port D + PCU & GPIO + 0x30000300 + 32 + read-write + + 0 + 0x100 + registers + + + + PD_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PD_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PD_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PD_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + PD_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PD_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xffffff00 + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PD_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PD_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PD_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PD_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + + + PE + 1.0 + Port Control Unit & GPIO Port E + PCU & GPIO + 0x30000400 + 32 + read-write + + 0 + 0x100 + registers + + + + PE_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE15 + Port n Mode Selection 15 + [31:30] + read-write + + + MODE14 + Port n Mode Selection 14 + [29:28] + read-write + + + MODE13 + Port n Mode Selection 13 + [27:26] + read-write + + + MODE12 + Port n Mode Selection 12 + [25:24] + read-write + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PE_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP15 + Port n Output Type Selection 15 + [15:15] + read-write + + + TYP14 + Port n Output Type Selection 14 + [14:14] + read-write + + + TYP13 + Port n Output Type Selection 13 + [13:13] + read-write + + + TYP12 + Port n Output Type Selection 12 + [12:12] + read-write + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PE_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PE_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR15 + Port n Alternative Function Selection 15 + [31:28] + read-write + + + AFSR14 + Port n Alternative Function Selection 14 + [27:24] + read-write + + + AFSR13 + Port n Alternative Function Selection 13 + [23:20] + read-write + + + AFSR12 + Port n Alternative Function Selection 12 + [19:16] + read-write + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + + + PE_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD15 + Port n Pull-Up/Down Resistor Selection 15 + [31:30] + read-write + + + PUPD14 + Port n Pull-Up/Down Resistor Selection 14 + [29:28] + read-write + + + PUPD13 + Port n Pull-Up/Down Resistor Selection 13 + [27:26] + read-write + + + PUPD12 + Port n Pull-Up/Down Resistor Selection 12 + [25:24] + read-write + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PE_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xffff0000 + + + INDR15 + Port n Input Data 15 + [15:15] + read-only + + + INDR14 + Port n Input Data 14 + [14:14] + read-only + + + INDR13 + Port n Input Data 13 + [13:13] + read-only + + + INDR12 + Port n Input Data 12 + [12:12] + read-only + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PE_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR15 + Port n Output Data 15 + [15:15] + read-write + + + OUTDR14 + Port n Output Data 14 + [14:14] + read-write + + + OUTDR13 + Port n Output Data 13 + [13:13] + read-write + + + OUTDR12 + Port n Output Data 12 + [12:12] + read-write + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PE_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR15 + Port n Output Bit Set 15 + [15:15] + write-only + + + BSR14 + Port n Output Bit Set 14 + [14:14] + write-only + + + BSR13 + Port n Output Bit Set 13 + [13:13] + write-only + + + BSR12 + Port n Output Bit Set 12 + [12:12] + write-only + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PE_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR15 + Port n Output Bit Clear 15 + [15:15] + write-only + + + BCR14 + Port n Output Bit Clear 14 + [14:14] + write-only + + + BCR13 + Port n Output Bit Clear 13 + [13:13] + write-only + + + BCR12 + Port n Output Bit Clear 12 + [12:12] + write-only + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PE_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK15 + Port n Output Data Mask 15 + [15:15] + read-write + + + OUTDMSK14 + Port n Output Data Mask 14 + [14:14] + read-write + + + OUTDMSK13 + Port n Output Data Mask 13 + [13:13] + read-write + + + OUTDMSK12 + Port n Output Data Mask 12 + [12:12] + read-write + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + PE_DBCR + Port n Debounce Control Register + DBCR + 0x28 + 32 + read-write + 0x0 + 0xffffffff + + + DBCLK + Port n Debounce Filter Sampling Clock Selection + [18:16] + read-write + + + DBEN3 + Port n Debounce Enable 3 + [03:03] + read-write + + + DBEN2 + Port n Debounce Enable 2 + [02:02] + read-write + + + DBEN1 + Port n Debounce Enable 1 + [01:01] + read-write + + + DBEN0 + Port n Debounce Enable 0 + [00:00] + read-write + + + + + + + PF + 1.0 + Port Control Unit & GPIO Port F + PCU & GPIO + 0x30000500 + 32 + read-write + + 0 + 0x100 + registers + + + + PF_MOD + Port n Mode Register + MOD + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODE11 + Port n Mode Selection 11 + [23:22] + read-write + + + MODE10 + Port n Mode Selection 10 + [21:20] + read-write + + + MODE9 + Port n Mode Selection 9 + [19:18] + read-write + + + MODE8 + Port n Mode Selection 8 + [17:16] + read-write + + + MODE7 + Port n Mode Selection 7 + [15:14] + read-write + + + MODE6 + Port n Mode Selection 6 + [13:12] + read-write + + + MODE5 + Port n Mode Selection 5 + [11:10] + read-write + + + MODE4 + Port n Mode Selection 4 + [09:08] + read-write + + + MODE3 + Port n Mode Selection 3 + [07:06] + read-write + + + MODE2 + Port n Mode Selection 2 + [05:04] + read-write + + + MODE1 + Port n Mode Selection 1 + [03:02] + read-write + + + MODE0 + Port n Mode Selection 0 + [01:00] + read-write + + + + + PF_TYP + Port n Output Type Selection Register + TYP + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TYP11 + Port n Output Type Selection 11 + [11:11] + read-write + + + TYP10 + Port n Output Type Selection 10 + [10:10] + read-write + + + TYP9 + Port n Output Type Selection 9 + [09:09] + read-write + + + TYP8 + Port n Output Type Selection 8 + [08:08] + read-write + + + TYP7 + Port n Output Type Selection 7 + [07:07] + read-write + + + TYP6 + Port n Output Type Selection 6 + [06:06] + read-write + + + TYP5 + Port n Output Type Selection 5 + [05:05] + read-write + + + TYP4 + Port n Output Type Selection 4 + [04:04] + read-write + + + TYP3 + Port n Output Type Selection 3 + [03:03] + read-write + + + TYP2 + Port n Output Type Selection 2 + [02:02] + read-write + + + TYP1 + Port n Output Type Selection 1 + [01:01] + read-write + + + TYP0 + Port n Output Type Selection 0 + [00:00] + read-write + + + + + PF_AFSR1 + Port n Alternative Function Selection Register 1 + AFSR1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + AFSR7 + Port n Alternative Function Selection 7 + [31:28] + read-write + + + AFSR6 + Port n Alternative Function Selection 6 + [27:24] + read-write + + + AFSR5 + Port n Alternative Function Selection 5 + [23:20] + read-write + + + AFSR4 + Port n Alternative Function Selection 4 + [19:16] + read-write + + + AFSR3 + Port n Alternative Function Selection 3 + [15:12] + read-write + + + AFSR2 + Port n Alternative Function Selection 2 + [11:08] + read-write + + + AFSR1 + Port n Alternative Function Selection 1 + [07:04] + read-write + + + AFSR0 + Port n Alternative Function Selection 0 + [03:00] + read-write + + + + + PF_AFSR2 + Port n Alternative Function Selection Register 2 + AFSR2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + AFSR11 + Port n Alternative Function Selection 11 + [15:12] + read-write + + + AFSR10 + Port n Alternative Function Selection 10 + [11:08] + read-write + + + AFSR9 + Port n Alternative Function Selection 9 + [07:04] + read-write + + + AFSR8 + Port n Alternative Function Selection 8 + [03:00] + read-write + + + + + PF_PUPD + Port n Pull-Up/Down Resistor Selection Register + PUPD + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + PUPD11 + Port n Pull-Up/Down Resistor Selection 11 + [23:22] + read-write + + + PUPD10 + Port n Pull-Up/Down Resistor Selection 10 + [21:20] + read-write + + + PUPD9 + Port n Pull-Up/Down Resistor Selection 9 + [19:18] + read-write + + + PUPD8 + Port n Pull-Up/Down Resistor Selection 8 + [17:16] + read-write + + + PUPD7 + Port n Pull-Up/Down Resistor Selection 7 + [15:14] + read-write + + + PUPD6 + Port n Pull-Up/Down Resistor Selection 6 + [13:12] + read-write + + + PUPD5 + Port n Pull-Up/Down Resistor Selection 5 + [11:10] + read-write + + + PUPD4 + Port n Pull-Up/Down Resistor Selection 4 + [09:08] + read-write + + + PUPD3 + Port n Pull-Up/Down Resistor Selection 3 + [07:06] + read-write + + + PUPD2 + Port n Pull-Up/Down Resistor Selection 2 + [05:04] + read-write + + + PUPD1 + Port n Pull-Up/Down Resistor Selection 1 + [03:02] + read-write + + + PUPD0 + Port n Pull-Up/Down Resistor Selection 0 + [01:00] + read-write + + + + + PF_INDR + Port n Input Data Register + INDR + 0x14 + 32 + read-only + 0x0 + 0xfffff000 + + + INDR11 + Port n Input Data 11 + [11:11] + read-only + + + INDR10 + Port n Input Data 10 + [10:10] + read-only + + + INDR9 + Port n Input Data 9 + [09:09] + read-only + + + INDR8 + Port n Input Data 8 + [08:08] + read-only + + + INDR7 + Port n Input Data 7 + [07:07] + read-only + + + INDR6 + Port n Input Data 6 + [06:06] + read-only + + + INDR5 + Port n Input Data 5 + [05:05] + read-only + + + INDR4 + Port n Input Data 4 + [04:04] + read-only + + + INDR3 + Port n Input Data 3 + [03:03] + read-only + + + INDR2 + Port n Input Data 2 + [02:02] + read-only + + + INDR1 + Port n Input Data 1 + [01:01] + read-only + + + INDR0 + Port n Input Data 0 + [00:00] + read-only + + + + + PF_OUTDR + Port n Output Data Register + OUTDR + 0x18 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDR11 + Port n Output Data 11 + [11:11] + read-write + + + OUTDR10 + Port n Output Data 10 + [10:10] + read-write + + + OUTDR9 + Port n Output Data 9 + [09:09] + read-write + + + OUTDR8 + Port n Output Data 8 + [08:08] + read-write + + + OUTDR7 + Port n Output Data 7 + [07:07] + read-write + + + OUTDR6 + Port n Output Data 6 + [06:06] + read-write + + + OUTDR5 + Port n Output Data 5 + [05:05] + read-write + + + OUTDR4 + Port n Output Data 4 + [04:04] + read-write + + + OUTDR3 + Port n Output Data 3 + [03:03] + read-write + + + OUTDR2 + Port n Output Data 2 + [02:02] + read-write + + + OUTDR1 + Port n Output Data 1 + [01:01] + read-write + + + OUTDR0 + Port n Output Data 0 + [00:00] + read-write + + + + + PF_BSR + Port n Output Bit Set Register + BSR + 0x1c + 32 + write-only + 0x0 + 0xffffffff + + + BSR11 + Port n Output Bit Set 11 + [11:11] + write-only + + + BSR10 + Port n Output Bit Set 10 + [10:10] + write-only + + + BSR9 + Port n Output Bit Set 9 + [09:09] + write-only + + + BSR8 + Port n Output Bit Set 8 + [08:08] + write-only + + + BSR7 + Port n Output Bit Set 7 + [07:07] + write-only + + + BSR6 + Port n Output Bit Set 6 + [06:06] + write-only + + + BSR5 + Port n Output Bit Set 5 + [05:05] + write-only + + + BSR4 + Port n Output Bit Set 4 + [04:04] + write-only + + + BSR3 + Port n Output Bit Set 3 + [03:03] + write-only + + + BSR2 + Port n Output Bit Set 2 + [02:02] + write-only + + + BSR1 + Port n Output Bit Set 1 + [01:01] + write-only + + + BSR0 + Port n Output Bit Set 0 + [00:00] + write-only + + + + + PF_BCR + Port n Output Bit Clear Register + BCR + 0x20 + 32 + write-only + 0x0 + 0xffffffff + + + BCR11 + Port n Output Bit Clear 11 + [11:11] + write-only + + + BCR10 + Port n Output Bit Clear 10 + [10:10] + write-only + + + BCR9 + Port n Output Bit Clear 9 + [09:09] + write-only + + + BCR8 + Port n Output Bit Clear 8 + [08:08] + write-only + + + BCR7 + Port n Output Bit Clear 7 + [07:07] + write-only + + + BCR6 + Port n Output Bit Clear 6 + [06:06] + write-only + + + BCR5 + Port n Output Bit Clear 5 + [05:05] + write-only + + + BCR4 + Port n Output Bit Clear 4 + [04:04] + write-only + + + BCR3 + Port n Output Bit Clear 3 + [03:03] + write-only + + + BCR2 + Port n Output Bit Clear 2 + [02:02] + write-only + + + BCR1 + Port n Output Bit Clear 1 + [01:01] + write-only + + + BCR0 + Port n Output Bit Clear 0 + [00:00] + write-only + + + + + PF_OUTDMSK + Port n Output Data Mask Register + OUTDMSK + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + OUTDMSK11 + Port n Output Data Mask 11 + [11:11] + read-write + + + OUTDMSK10 + Port n Output Data Mask 10 + [10:10] + read-write + + + OUTDMSK9 + Port n Output Data Mask 9 + [09:09] + read-write + + + OUTDMSK8 + Port n Output Data Mask 8 + [08:08] + read-write + + + OUTDMSK7 + Port n Output Data Mask 7 + [07:07] + read-write + + + OUTDMSK6 + Port n Output Data Mask 6 + [06:06] + read-write + + + OUTDMSK5 + Port n Output Data Mask 5 + [05:05] + read-write + + + OUTDMSK4 + Port n Output Data Mask 4 + [04:04] + read-write + + + OUTDMSK3 + Port n Output Data Mask 3 + [03:03] + read-write + + + OUTDMSK2 + Port n Output Data Mask 2 + [02:02] + read-write + + + OUTDMSK1 + Port n Output Data Mask 1 + [01:01] + read-write + + + OUTDMSK0 + Port n Output Data Mask 0 + [00:00] + read-write + + + + + + + FMC + 1.0 + Flash Memory Controller + Flash Memory Controller + 0x40001b00 + 32 + read-write + + 0 + 0x200 + registers + + + + ADR + Flash Memory Address Register + 0x000 + 32 + read-write + 0x5fffff80 + 0xffffffff + + + ADDR + Flash Memory Address Pointer + [31:00] + read-write + + + + + IDR1 + Flash Memory Identification Register 1 + 0x004 + 32 + read-write + 0x0 + 0xffffffff + + + ID1 + Flash Memory Identification 1 + [31:00] + read-write + + + + + IDR2 + Flash Memory Identification Register 2 + 0x008 + 32 + read-write + 0x0 + 0xffffffff + + + ID2 + Flash Memory Identification 2 + [31:00] + read-write + + + + + CR + Flash Memory Control Register + 0x00c + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0x6c93) + [31:16] + write-only + + + Value + Key Value (0x6c93) + 0x6c93 + + + + + FMKEY + Flash Memory Operation Area Selection + [15:08] + read-write + + + FMBUSY + Flash Memory Operation Mode Busy + [07:07] + read-only + + + FMOD + Flash Memory Operation Mode Selection + [03:00] + read-write + + + + + BCR + Flash Memory Configure Area Bulk Erase Control Register + 0x010 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0xc1be) + [31:16] + write-only + + + Value + Key Value (0xc1be) + 0xc1be + + + + + CNF3BEN + Configure Option Page 3 Bulk Erase Enable + [11:08] + read-write + + + CNF2BEN + Configure Option Page 2 Bulk Erase Enable + [07:04] + read-write + + + CNF1BEN + Configure Option Page 1 Bulk Erase Enable + [03:00] + read-write + + + + + ERFLAG + Flash Memory Error Flag + 0x014 + 32 + read-write + 0x0 + 0xffffffff + + + INSTFLAG + Don't care + [01:01] + read-write + + + FMOPFLAG + Error bit of Flash Memory Operation Procedure + [00:00] + read-write + + + + + PAGEBUF + Flash Memory Page Buffer Area (128bytes/Accessed by 32bit Word Only) + 0x100 + 32 + write-only + 0x0 + 0xffffffff + + + + + WDT + 1.0 + Watch-Dog Timer + Watch-Dog Timer + 0x40001a00 + 32 + read-write + + 0 + 0x100 + registers + + + WDT + WDT Interrupt + 02 + + + + CR + Watch-Dog Timer Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0x5a69) + [31:16] + write-only + + + Value + Key Value (0x5a69) + 0x5a69 + + + + + RSTEN + Watch-Dog Timer Reset Enable + [15:10] + read-write + + + Disable + Disable Watch-Dog Timer reset. (0x25) + 0x25 + + + Enable + Enable Watch-Dog Timer reset. + 0x00 + + + + + CNTEN + Watch-Dog Timer Counter Enable + [09:04] + read-write + + + Disable + Disable Watch-Dog Timer counter. (0x1a) + 0x1a + + + Enable + Enable Watch-Dog Timer counter. + 0x00 + + + + + WINMIEN + Watch-Dog Timer Window Match Interrupt Enable + [03:03] + read-write + + + Disable + Disable window data match interrupt. + 0 + + + Enable + Enable window data match interrupt. + 1 + + + + + UNFIEN + Watch-Dog Timer Underflow Interrupt Enable + [02:02] + read-write + + + Disable + Disable Watch-Dog Timer underflow interrupt. + 0 + + + Enable + Enable Watch-Dog Timer underflow interrupt. + 1 + + + + + CLKDIV + Watch-Dog Timer Clock Divider + [01:00] + read-write + + + fWDT4 + fWDT/4 + 0 + + + fWDT16 + fWDT/16 + 1 + + + fWDT64 + fWDT/64 + 2 + + + fWDT256 + fWDT/256 + 3 + + + + + + + SR + Watch-Dog Timer Status Register + 0x04 + 32 + read-write + 0x80 + 0xffffffff + + + DBGCNTEN + Watch-Dog Timer Counter Enable when the core is halted in debug mode + [07:07] + read-write + + + Run + The Watch-Dog Timer counter continues even if the core is halted + 0 + + + Stop + The Watch-Dog Timer counter is stopped when the core is halted + 1 + + + + + WINMIFLAG + Watch-Dog Timer Window Match Interrupt Flag + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + UNFIFLAG + Watch-Dog Timer Underflow Interrupt Flag + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + + + DR + Watch-Dog Timer Data Register + 0x08 + 32 + read-write + 0xfff + 0xffffffff + + + DATA + Watch-Dog Timer Data + [23:00] + read-write + + + + + CNT + Watch-Dog Timer Counter Register + 0x0c + 32 + read-only + 0xfff + 0xffffffff + + + CNT + Watch-Dog Timer Counter + [23:00] + read-only + + + + + WINDR + Watch-Dog Timer Window Data Register + 0x10 + 32 + read-write + 0x1fff + 0xffffffff + + + WDATA + Watch-Dog Timer Window Data + [23:00] + read-write + + + + + CNTR + Watch-Dog Timer Counter Reload Register + 0x14 + 32 + write-only + 0x0 + 0xffffffff + + + CNTR + Watch-Dog Timer Counter Reload + [07:00] + write-only + + + Reload + Reload the WDTDR value to Watch-Dog Timer counter and re-start. (0x6a) (Automatically cleared to '0x00' after operation.) + 0x6a + + + NoEffect + No effect. + 0x00 + + + + + + + + + WT + 1.0 + Watch Timer + Watch Timer + 0x40002000 + 32 + read-write + + 0 + 0x100 + registers + + + WT + WT Interrupt + 12 + + + + CR + Watch Timer Control Register + 0x0 + 32 + read-write + 0x0 + 0xffffffff + + + WTEN + Watch Timer Operation Enable + [07:07] + read-write + + + Disable + Disable watch timer operation. + 0 + + + Enable + Enable watch timer operation. + 1 + + + + + WTINTV + Watch Timer Interval Selection + [05:04] + read-write + + + fWT2Pow7 + fWT/2^7 + 0 + + + fWT2Pow13 + fWT/2^13 + 1 + + + fWT2Pow14 + fWT/2^14 + 2 + + + fWT2Pow14DR + fWT/(2^14x(WTDR value + 1)) + 3 + + + + + WTIEN + Watch Timer Interrupt Enable + [03:03] + read-write + + + Disable + Disable watch timer interrupt. + 0 + + + Enable + Enable watch timer interrupt. + 1 + + + + + WTIFLAG + Watch Timer Interrupt Flag + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + WTCLR + Watch Timer Counter and Divider Clear + [00:00] + read-write + + + NoEffect + No effect. + 0 + + + Clear + Clear the counter and divider. (Automatically cleared to '0b' after operation) + 1 + + + + + + + DR + Watch Timer Data Register + 0x4 + 32 + read-write + 0xfff + 0xffffffff + + + WTDATA + Watch Timer Data + [11:00] + read-write + + + + + CNT + Watch Timer Counter Register + 0x8 + 32 + read-only + 0x0 + 0xffffffff + + + CNT + Watch Timer Counter + [11:00] + read-only + + + + + + + TIMER1n + 1.0 + Timer/Counter 1n + Timer/Counter + 0x51000000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + TIMER1n Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + T1nEN + TIMER1n Operation Enable + [15:15] + read-write + + + T1nCLK + TIMER1n Clock Selection + [14:14] + read-write + + + T1nMS + TIMER1n Operation Mode Selection + [13:12] + read-write + + + T1nECE + TIMER1n External Clock Edge Selection + [11:11] + read-write + + + T1nOPOL + TIMER1n Output Polarity Selection + [08:08] + read-write + + + T1nCPOL + TIMER1n Capture Polarity Selection + [07:06] + read-write + + + T1nMIEN + TIMER1n Match Interrupt Enable + [05:05] + read-write + + + T1nCIEN + TIMER1n Capture Interrupt Enable + [04:04] + read-write + + + T1nMIFLAG + TIMER1n Match Interrupt Flag + [03:03] + read-write + + + T1nCIFLAG + TIMER1n Capture Interrupt Flag + [02:02] + read-write + + + T1nPAU + TIMER1n Counter Temporary Pause Control + [01:01] + read-write + + + T1nCLR + TIMER1n Counter and Prescaler Clear + [00:00] + read-write + + + + + ADR + TIMER1n A Data Register + 0x04 + 32 + read-write + 0xffff + 0xffffffff + + + ADATA + TIMER1n A Data + [15:00] + read-write + + + + + BDR + TIMER1n B Data Register + 0x08 + 32 + read-write + 0xffff + 0xffffffff + + + BDATA + TIMER1n B Data + [15:00] + read-write + + + + + CAPDR + TIMER1n Capture Data Register + 0x0c + 32 + read-only + 0x0 + 0xffffffff + + + CAPD + TIMER1n Capture Data + [15:00] + read-only + + + + + PREDR + TIMER1n Prescaler Data Register + 0x10 + 32 + read-write + 0xfff + 0xffffffff + + + PRED + TIMER1n Prescaler Data + [11:00] + read-write + + + + + CNT + TIMER1n Counter Register + 0x14 + 32 + read-only + 0x0 + 0xffffffff + + + CNT + TIMER1n Counter + [15:00] + read-only + + + + + + + TIMER10 + 1.0 + Timer/Counter 10 + Timer/Counter + 0x40002100 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER10 + TIMER10 Interrupt + 07 + + + + TIMER11 + 1.0 + Timer/Counter 11 + Timer/Counter + 0x40002200 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER11 + TIMER11 Interrupt + 08 + + + + TIMER12 + 1.0 + Timer/Counter 12 + Timer/Counter + 0x40002300 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER12 + TIMER12 Interrupt + 09 + + + + TIMER13 + 1.0 + Timer/Counter 13 + Timer/Counter + 0x40002700 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER13 + TIMER13 Interrupt + 21 + + + + TIMER14 + 1.0 + Timer/Counter 14 + Timer/Counter + 0x40002800 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER14 + TIMER14 Interrupt + 22 + + + + TIMER15 + 1.0 + Timer/Counter 15 + Timer/Counter + 0x40002900 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER15 + TIMER15 Interrupt + 23 + + + + TIMER16 + 1.0 + Timer/Counter 16 + Timer/Counter + 0x40002a00 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER16 + TIMER16 Interrupt + 24 + + + + TIMER2n + 1.0 + Timer/Counter 2n + Timer/Counter + 0x52000000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + TIMER2n Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + T2nEN + TIMER2n Operation Enable + [15:15] + read-write + + + T2nCLK + TIMER2n Clock Selection + [14:14] + read-write + + + T2nMS + TIMER2n Operation Mode Selection + [13:12] + read-write + + + T2nECE + TIMER2n External Clock Edge Selection + [11:11] + read-write + + + CAPSEL + TIMER2n Capture Signal Selection + [10:09] + read-write + + + T2nOPOL + TIMER2n Output Polarity Selection + [08:08] + read-write + + + T2nCPOL + TIMER2n Capture Polarity Selection + [07:06] + read-write + + + T2nMIEN + TIMER2n Match Interrupt Enable + [05:05] + read-write + + + T2nCIEN + TIMER2n Capture Interrupt Enable + [04:04] + read-write + + + T2nMIFLAG + TIMER2n Match Interrupt Flag + [03:03] + read-write + + + T2nCIFLAG + TIMER2n Capture Interrupt Flag + [02:02] + read-write + + + T2nPAU + TIMER2n Counter Temporary Pause Control + [01:01] + read-write + + + T2nCLR + TIMER2n Counter and Prescaler Clear + [00:00] + read-write + + + + + ADR + TIMER2n A Data Register + 0x04 + 32 + read-write + 0xffffffff + 0xffffffff + + + ADATA + TIMER2n A Data + [31:00] + read-write + + + + + BDR + TIMER2n B Data Register + 0x08 + 32 + read-write + 0xffffffff + 0xffffffff + + + BDATA + TIMER2n B Data + [31:00] + read-write + + + + + CAPDR + TIMER2n Capture Data Register + 0x0c + 32 + read-only + 0x0 + 0xffffffff + + + CAPD + TIMER2n Capture Data + [31:00] + read-only + + + + + PREDR + TIMER2n Prescaler Data Register + 0x10 + 32 + read-write + 0xfff + 0xffffffff + + + PRED + TIMER2n Prescaler Data + [11:00] + read-write + + + + + CNT + TIMER2n Counter Register + 0x14 + 32 + read-only + 0x0 + 0xffffffff + + + CNT + TIMER2n Counter + [31:00] + read-only + + + + + + + TIMER20 + 1.0 + Timer/Counter 20 + Timer/Counter + 0x40002500 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER20 + TIMER20 Interrupt + 15 + + + + TIMER20_CR + TIMER2n Control Register + CR + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + T2nEN + TIMER2n Operation Enable + [15:15] + read-write + + + T2nCLK + TIMER2n Clock Selection + [14:14] + read-write + + + T2nMS + TIMER2n Operation Mode Selection + [13:12] + read-write + + + T2nECE + TIMER2n External Clock Edge Selection + [11:11] + read-write + + + CAPSEL + TIMER2n Capture Signal Selection + [10:09] + read-write + + + T2nOPOL + TIMER2n Output Polarity Selection + [08:08] + read-write + + + T2nCPOL + TIMER2n Capture Polarity Selection + [07:06] + read-write + + + T2nMIEN + TIMER2n Match Interrupt Enable + [05:05] + read-write + + + T2nCIEN + TIMER2n Capture Interrupt Enable + [04:04] + read-write + + + T2nMIFLAG + TIMER2n Match Interrupt Flag + [03:03] + read-write + + + T2nCIFLAG + TIMER2n Capture Interrupt Flag + [02:02] + read-write + + + T2nPAU + TIMER2n Counter Temporary Pause Control + [01:01] + read-write + + + T2nCLR + TIMER2n Counter and Prescaler Clear + [00:00] + read-write + + + + + + + TIMER21 + 1.0 + Timer/Counter 21 + Timer/Counter + 0x40002600 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER21 + TIMER21 Interrupt + 16 + + + + TIMER21_CR + TIMER2n Control Register + CR + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + T2nEN + TIMER2n Operation Enable + [15:15] + read-write + + + T2nCLK + TIMER2n Clock Selection + [14:14] + read-write + + + T2nMS + TIMER2n Operation Mode Selection + [13:12] + read-write + + + T2nECE + TIMER2n External Clock Edge Selection + [11:11] + read-write + + + T2nOPOL + TIMER2n Output Polarity Selection + [08:08] + read-write + + + T2nCPOL + TIMER2n Capture Polarity Selection + [07:06] + read-write + + + T2nMIEN + TIMER2n Match Interrupt Enable + [05:05] + read-write + + + T2nCIEN + TIMER2n Capture Interrupt Enable + [04:04] + read-write + + + T2nMIFLAG + TIMER2n Match Interrupt Flag + [03:03] + read-write + + + T2nCIFLAG + TIMER2n Capture Interrupt Flag + [02:02] + read-write + + + T2nPAU + TIMER2n Counter Temporary Pause Control + [01:01] + read-write + + + T2nCLR + TIMER2n Counter and Prescaler Clear + [00:00] + read-write + + + + + + + TIMER3n + 1.0 + Timer/Counter 3n + Timer/Counter + 0x53000000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + TIMER3n Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + T3nEN + TIMER3n Operation Enable + [15:15] + read-write + + + Disable + Disable TIMER3n Operation. + 0 + + + Enable + Enable TIMER3n Operation. (Counter Clear and Start) + 1 + + + + + T3nCLK + TIMER3n Clock Selection + [14:14] + read-write + + + IntPrescaledClock + Select an Internal Prescaler Clock. + 0 + + + ExtClock + Select an External Clock. + 1 + + + + + T3nMS + TIMER3n Operation Mode Selection + [13:12] + read-write + + + IntervalMode + Interval mode. (All match interrupts can occur) + 0 + + + CaptureMode + Capture mode. (The Period-match interrupt can occur) + 1 + + + BackToBackMode + Back-to-back mode. (All interrupts can occur) + 2 + + + + + T3nECE + TIMER3n External Clock Edge Selection + [11:11] + read-write + + + FallingEdge + Select falling edge of external clock. + 0 + + + RisingEdge + Select rising edge of external clock. + 1 + + + + + FORCA + TIMER3n Output Mode Selection + [10:10] + read-write + + + AllChannelMode + 6-Channel mode. (The PWM3nxA/PWM3nxB pins are outputs according to the TIMER30_xDR registers, respectively.) + 0 + + + AChannelMode + Force A-Channel mode. (All PWM3nxA/PWM3nxB pins are outputs according only to the TIMER30_ADR register.) + 1 + + + + + DLYEN + Delay Time Insertion Enable + [09:09] + read-write + + + Disable + Disable delay time insertion to the PWM3nxA/PWM3nxB. + 0 + + + Enable + Enable delay time insertion to the PWM3nxA/PWM3nxB. + 1 + + + + + DLYPOS + Delay Time Insertion Position + [08:08] + read-write + + + FrontABehindB + Insert in front of PWM3nxA and behind PWM3nxB pins. + 0 + + + BehindAFrontB + Insert behind PWM3nxA and in front of PWM3nxB pins. + 1 + + + + + T3nCPOL + TIMER3n Capture Polarity Selection + [07:06] + read-write + + + FallingEdge + Capture on falling edge. + 0 + + + RisingEdge + Capture on rising edge. + 1 + + + BothEdge + Capture on both falling and rising edge. + 2 + + + + + UPDT + Data Reload Time Selection + [05:04] + read-write + + + AtWriting + Update data to buffer at the time of writing. + 0 + + + AtPeriodMatch + Update data to buffer at period match. + 1 + + + AtBottom + Update data to buffer at bottom. + 2 + + + + + PMOC + Period Match Interrupt Occurrence Selection + [03:01] + read-write + + + Every1PeriodMatch + Once every 1 period match. + 0 + + + Every2PeriodMatch + Once every 2 period match. + 1 + + + Every3PeriodMatch + Once every 3 period match. + 2 + + + Every4PeriodMatch + Once every 4 period match. + 3 + + + Every5PeriodMatch + Once every 5 period match. + 4 + + + Every6PeriodMatch + Once every 6 period match. + 5 + + + Every7PeriodMatch + Once every 7 period match. + 6 + + + Every8PeriodMatch + Once every 8 period match. + 7 + + + + + T3nCLR + TIMER3n Counter and Prescaler Clear + [00:00] + read-write + + + NoEffect + No effect. + 0 + + + Clear + Clear TIMER3n counter and prescaler. (Automatically cleared to '0b' after operation) + 1 + + + + + + + PDR + TIMER3n Period Data Register + 0x04 + 32 + read-write + 0xffff + 0xffffffff + + + PDATA + TIMER3n Period Data + [15:00] + read-write + + + + + ADR + TIMER3n A Data Register + 0x08 + 32 + read-write + 0xffff + 0xffffffff + + + ADATA + TIMER3n A Data + [15:00] + read-write + + + + + BDR + TIMER3n B Data Register + 0x0c + 32 + read-write + 0xffff + 0xffffffff + + + BDATA + TIMER3n B Data + [15:00] + read-write + + + + + CDR + TIMER3n C Data Register + 0x10 + 32 + read-write + 0xffff + 0xffffffff + + + CDATA + TIMER3n C Data + [15:00] + read-write + + + + + CAPDR + TIMER3n Capture Data Register + 0x14 + 32 + read-only + 0x0 + 0xffffffff + + + CAPD + TIMER3n Capture Data + [15:00] + read-only + + + + + PREDR + TIMER3n Prescaler Data Register + 0x18 + 32 + read-write + 0xfff + 0xffffffff + + + PRED + TIMER3n Prescaler Data + [11:00] + read-write + + + + + CNT + TIMER3n Counter Register + 0x1c + 32 + read-only + 0x0 + 0xffffffff + + + CNT + TIMER3n Counter + [15:00] + read-only + + + + + OUTCR + TIMER3n Output Control Register + 0x20 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key + [31:16] + write-only + + + POLB + PWM3nxB Output Polarity Selection + [15:15] + read-write + + + StartLow + Low level start. (The PWM3nxB pins are started with low level after counting.) + 0 + + + StartHigh + High level start. (The PWM3nxB pins are started with high level after counting) + 1 + + + + + POLA + PWM3nxA Output Polarity Selection + [14:14] + read-write + + + StartLow + Low level start. (The PWM3nxA pins are started with low level after counting.) + 0 + + + StartHigh + High level start. (The PWM3nxA pins are started with high level after counting) + 1 + + + + + PABOE + PWM3nAB Output Enable + [13:13] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + PBBOE + PWM3nBB Output Enable + [12:12] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + PCBOE + PWM3nCB Output Enable + [11:11] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + PAAOE + PWM3nAA Output Enable + [10:10] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + PBAOE + PWM3nBA Output Enable + [09:09] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + PCAOE + PWM3nCA Output Enable + [08:08] + read-write + + + Disable + Disable output. + 0 + + + Enable + Enable output. + 1 + + + + + LVLAB + Configure PWM3nAB Output when Disable + [06:06] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + LVLBB + Configure PWM3nBB Output when Disable + [05:05] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + LVLCB + Configure PWM3nCB Output when Disable + [04:04] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + LVLAA + Configure PWM3nAA Output when Disable + [02:02] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + LVLBA + Configure PWM3nBA Output when Disable + [01:01] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + LVLCA + Configure PWM3nCA Output when Disable + [00:00] + read-write + + + Low + Low level. + 0 + + + High + High level. + 1 + + + + + + + DLY + TIMER3n PWM Output Delay Data Register + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + DLY + TIMER3n PWM Delay Data + [09:00] + read-write + + + + + INTCR + TIMER3n Interrupt Control Register + 0x28 + 32 + read-write + 0x0 + 0xffffffff + + + HIZIEN + TIMER3n Output High-Impedance Interrupt Enable + [06:06] + read-write + + + Disable + Disable TIMER3n output high-impedance interrupt. + 0 + + + Enable + Enable TIMER3n output high-impedance interrupt. + 1 + + + + + T3nCIEN + TIMER3n Capture Interrupt Enable + [05:05] + read-write + + + Disable + Disable TIMER3n capture interrupt. + 0 + + + Enable + Enable TIMER3n capture interrupt. + 1 + + + + + T3nBTIEN + TIMER3n Bottom Interrupt Enable + [04:04] + read-write + + + Disable + Disable TIMER3n bottom interrupt. + 0 + + + Enable + Enable TIMER3n bottom interrupt. + 1 + + + + + T3nPMIEN + TIMER3n Period Match Interrupt Enable + [03:03] + read-write + + + Disable + Disable TIMER3n period interrupt. + 0 + + + Enable + Enable TIMER3n period interrupt. + 1 + + + + + T3nAMIEN + TIMER3n A-ch Match Interrupt Enable + [02:02] + read-write + + + Disable + Disable TIMER3n A-ch match interrupt. + 0 + + + Enable + Enable TIMER3n A-ch match interrupt. + 1 + + + + + T3nBMIEN + TIMER3n B-ch Match Interrupt Enable + [01:01] + read-write + + + Disable + Disable TIMER3n B-ch match interrupt. + 0 + + + Enable + Enable TIMER3n B-ch match interrupt. + 1 + + + + + T3nCMIEN + TIMER3n C-ch Match Interrupt Enable + [00:00] + read-write + + + Disable + Disable TIMER3n C-ch match interrupt. + 0 + + + Enable + Enable TIMER3n C-ch match interrupt. + 1 + + + + + + + INTFLAG + TIMER3n Interrupt Flag Register + 0x2c + 32 + read-write + 0x0 + 0xffffffff + + + HIZIFLAG + TIMER3n Output High-Impedance Interrupt Flag + [06:06] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nCIFLAG + TIMER3n Capture Interrupt Flag + [05:05] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nBTIFLAG + TIMER3n Bottom Interrupt Flag + [04:04] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nPMIFLAG + TIMER3n Period Match Interrupt Flag + [03:03] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nAMIFLAG + TIMER3n A-ch Match Interrupt Flag + [02:02] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nBMIFLAG + TIMER3n B-ch Match Interrupt Flag + [01:01] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + T3nCMIFLAG + TIMER3n C-ch Match Interrupt Flag + [00:00] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. The bit will be cleared to '0' when '1' is written to this bit. + 1 + + + + + + + HIZCR + TIMER3n High-Impedance Control Register + 0x30 + 32 + read-write + 0x0 + 0xffffffff + + + HIZEN + PWM3nxA/PWM3nxB Output High-Impedance Enable + [07:07] + read-write + + + Disable + Disable to control the output high-impedance. + 0 + + + Enable + Enable to control the output high-impedance. + 1 + + + + + HIZSW + High-Impedance Output Software Setting + [04:04] + read-write + + + NoEffect + No effect. + 0 + + + HiZ + PWM3nxA/PWM3nxB pins go into high impedance. (Automatically cleared to '0' after operation) + 1 + + + + + HEDGE + High-Impedance Edge Selection + [02:02] + read-write + + + FallingEdge + Falling edge of the BLNK pin. + 0 + + + RisingEdge + Rising edge of the BLNK pin. + 1 + + + + + HIZSTA + High-Impedance Status + [01:01] + read-only + + + NoHiZ + Indicates that the pins are not under a Hi-Z state. + 0 + + + HiZ + Indicates that the pins are under a Hi-Z state. + 1 + + + + + HIZCLR + High-Impedance Output Clear + [00:00] + read-write + + + NoEffect + No effect. + 0 + + + Clear + Clear high-impedance output. (The PWM3nxA/PWM3nxB pins returns as output and this bit is automatically cleared to '0' after operation.) + 1 + + + + + + + ADTCR + TIMER3n ADC Trigger Control Register + 0x34 + 32 + read-write + 0x0 + 0xffffffff + + + T3nBTTG + Select TIMER3n Bottom for ADC Trigger Signal Generator. + [04:04] + read-write + + + Disable + Disable ADC trigger signal generator by bottom. + 0 + + + Enable + Enable ADC trigger signal generator by bottom. + 1 + + + + + T3nPMTG + Select TIMER3n Period Match for ADC Trigger Signal Generator. + [03:03] + read-write + + + Disable + Disable ADC trigger signal generator by period match. + 0 + + + Enable + Enable ADC trigger signal generator by period match. + 1 + + + + + T3nAMTG + Select TIMER3n A-ch Match for ADC Trigger Signal Generator. + [02:02] + read-write + + + Disable + Disable ADC trigger signal generator by A-ch match. + 0 + + + Enable + Enable ADC trigger signal generator by A-ch match. + 1 + + + + + T3nBMTG + Select TIMER3n B-ch Match for ADC Trigger Signal Generator. + [01:01] + read-write + + + Disable + Disable ADC trigger signal generator by B-ch match. + 0 + + + Enable + Enable ADC trigger signal generator by B-ch match. + 1 + + + + + T3nCMTG + Select TIMER3n C-ch Match for ADC Trigger Signal Generator. + [00:00] + read-write + + + Disable + Disable ADC trigger signal generator by C-ch match. + 0 + + + Enable + Enable ADC trigger signal generator by C-ch match. + 1 + + + + + + + ADTDR + TIMER3n ADC Trigger Generator Data Register + 0x38 + 32 + read-write + 0x0 + 0xffffffff + + + ADTDATA + TIMER3n ADC Trigger Generation Data + [13:00] + read-write + + + + + + + TIMER30 + 1.0 + Timer/Counter 30 + Timer/Counter + 0x40002400 + 32 + read-write + + 0 + 0x100 + registers + + + TIMER30 + TIMER30 Interrupt + 13 + + + + T30_OUTCR + TIMER3n Output Control Register + OUTCR + 0x20 + 32 + read-write + 0x0 + 0xffffffff + + + WTIDKY + Write Identification Key (0xe06c) + [31:16] + write-only + + + Value + Key Value (0xe06c) + 0xe06c + + + + + POLB + PWM3nxB Output Polarity Selection + [15:15] + read-write + + + POLA + PWM3nxA Output Polarity Selection + [14:14] + read-write + + + PABOE + PWM3nAB Output Enable + [13:13] + read-write + + + PBBOE + PWM3nBB Output Enable + [12:12] + read-write + + + PCBOE + PWM3nCB Output Enable + [11:11] + read-write + + + PAAOE + PWM3nAA Output Enable + [10:10] + read-write + + + PBAOE + PWM3nBA Output Enable + [09:09] + read-write + + + PCAOE + PWM3nCA Output Enable + [08:08] + read-write + + + LVLAB + Configure PWM3nAB Output when Disable + [06:06] + read-write + + + LVLBB + Configure PWM3nBB Output when Disable + [05:05] + read-write + + + LVLCB + Configure PWM3nCB Output when Disable + [04:04] + read-write + + + LVLAA + Configure PWM3nAA Output when Disable + [02:02] + read-write + + + LVLBA + Configure PWM3nBA Output when Disable + [01:01] + read-write + + + LVLCA + Configure PWM3nCA Output when Disable + [00:00] + read-write + + + + + + + ADC + 1.0 + 12 Bit A/D Converter + A/D Converter + 0x40003000 + 32 + read-write + + 0 + 0x100 + registers + + + ADC + ADC Interrupt + 18 + + + + CR + A/D Converter Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + ADCEN + ADC Module Enable + [15:15] + read-write + + + Disable + Disable ADC module operation. + 0 + + + Enable + Enable ADC module operation. + 1 + + + + + TRIG + ADC Trigger Signal Selection + [13:11] + read-write + + + ADST + Select ADST. + 0 + + + TIMER10 + Select TIMER10 A-Match Signal. + 1 + + + TIMER11 + Select TIMER11 A-Match Signal. + 2 + + + TIMER12 + Select TIMER12 A-Match Signal. + 3 + + + TIMER30 + Select ADC Trigger Signal from TIMER30. + 4 + + + + + REFSEL + ADC Reference Selection + [10:10] + read-write + + + Vdd + Select analog power (VDD). + 0 + + + AVref + Select external reference (AVREF). + 1 + + + + + ADST + ADC Conversion Start + [08:08] + read-write + + + NoEffect + No effect. + 0 + + + Start + Trigger signal generation for conversion start. + 1 + + + + + ADCIEN + ADC Interrupt Enable + [05:05] + read-write + + + Disable + Disable ADC interrupt. + 0 + + + Enable + Enable ADC interrupt. + 1 + + + + + ADCIFLAG + ADC Interrupt Flag + [04:04] + read-write + + + NoRequest + No request occurred. + 0 + + + Request + Request occurred. + 1 + + + + + ADSEL + A/D Converter Channel Selection + [03:00] + read-write + + + AN0 + Select AN0. + 0 + + + AN1 + Select AN1. + 1 + + + AN2 + Select AN2. + 2 + + + AN3 + Select AN3. + 3 + + + AN4 + Select AN4. + 4 + + + AN5 + Select AN5. + 5 + + + AN6 + Select AN6. + 6 + + + AN7 + Select AN7. + 7 + + + AN8 + Select AN8. + 8 + + + AN9 + Select AN9. + 9 + + + AN10 + Select AN10. + 10 + + + AN11 + Select AN11 + 11 + + + AN12 + Select AN12 + 12 + + + AN13 + Select AN13 + 13 + + + + + + + DR + A/D Converter Data Register + 0x04 + 32 + read-only + 0x0 + 0xfffff000 + + + ADDATA + A/D Converter Result Data + [11:00] + read-only + + + + + PREDR + A/D Converter Prescaler Data Register + 0x08 + 32 + read-write + 0xf + 0xffffffff + + + PRED + A/D Converter Prescaler Data + [04:00] + read-write + + + + + + + USART1n + 1.0 + USART 1n (USART + SPI) + USART & SPI + 0x54000000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR1 + USART1n Control Register 1 + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + USTnMS + USART1n Operation Mode Selection + [15:14] + read-write + + + Async + Asynchronous Mode (UART) + 0 + + + Sync + Synchronous Mode (USRT) + 1 + + + SPI + SPI Mode + 3 + + + + + USTnP + Selects Parity Generation and Check method (only UART mode) + [13:12] + read-write + + + No + No Parity + 0 + + + Even + Even Parity + 2 + + + Odd + Odd Parity + 3 + + + + + USTnS + Selects the length of data bit in a frame when Asynchronous or Synchronous mode + [11:09] + read-write + + + 5bit + 5 bit + 0 + + + 6bit + 6 bit + 1 + + + 7bit + 7 bit + 2 + + + 8bit + 8 bit + 3 + + + 9bit + 9 bit + 7 + + + + + ORDn + Selects the first data bit to be transmitted (only SPI mode) + [08:08] + read-write + + + lsbFirst + LSB First + 0 + + + msbFirst + MSB First + 1 + + + + + CPOLn + Selects the Clock Polarity of ACK in Synchronous or SPI mode + [07:07] + read-write + + + IdleLow + TXD Change @Rising Edge, RXD Change @Falling Edge + 0 + + + IdleHigh + TXD Change @Falling Edge, RXD Change @Rising Edge + 1 + + + + + CPHAn + The CPOLn and this bit determine if data are sampled on the leading or trailing edge of SCK (only SPI mode) + [06:06] + read-write + + + StartIdle + Start with idle state. + 0 + + + StartInverted + Start with inverted idle state. + 1 + + + + + DRIEn + Transmit Data Register Empty Interrupt Enable + [05:05] + read-write + + + TXCIEn + Transmit Complete Interrupt Enable + [04:04] + read-write + + + RXCIEn + Receive Complete Interrupt Enable + [03:03] + read-write + + + WAKEIEn + Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode + [02:02] + read-write + + + TXEn + Enable the transmitter unit. + [01:01] + read-write + + + RXEn + Enable the receiver unit. + [00:00] + read-write + + + + + CR2 + USART1n Control Register 2 + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + USTnEN + Activate USART1n Block + [09:09] + read-write + + + DBLSn + Selects receiver sampling rate (only UART mode) + [08:08] + read-write + + + MASTERn + Selects master or slave in SPI1n or Synchronous mode and controls the direction of SCK1n pin + [07:07] + read-write + + + LOOPSn + Control the Loop Back mode of USART1n for test mode + [06:06] + read-write + + + DISSCKn + In synchronous mode operation, selects the waveform of SCK1n output + [05:05] + read-write + + + USTnSSEN + This bit controls the SS1n pin operation (only SPI mode) + [04:04] + read-write + + + FXCHn + SPI1n port function exchange control (only SPI mode) + [03:03] + read-write + + + USTnSB + Selects the length of stop bit in Asynchronous or Synchronous mode + [02:02] + read-write + + + USTnTX8 + The ninth bit of data frame in Asynchronous or Synchronous mode of operation + [01:01] + read-write + + + USTnRX8 + The ninth bit of data frame in Asynchronous or Synchronous mode of operation + [00:00] + read-write + + + + + ST + USART1n Status Register + 0x0c + 32 + read-write + 0x80 + 0xffffffff + + + DREn + Transmit Data Register Empty Interrupt Flag + [07:07] + read-write + + + TXCn + Transmit Complete Interrupt Flag + [06:06] + read-write + + + RXCn + Receive Complete Interrupt Flag + [05:05] + read-only + + + WAKEn + Asynchronous Wake-Up Interrupt Flag + [04:04] + read-write + + + DORn + This bit is set if data OverRun takes place + [02:02] + read-only + + + FEn + This bit is set if the first stop bit of next character in the receive buffer is detected as '0' + [01:01] + read-write + + + PEn + This bit is set if the next character in the receive buffer has a Parity Error while parity is checked + [00:00] + read-write + + + + + BDR + USART1n Baud Rate Generation Register + 0x10 + 32 + read-write + 0xfff + 0xffffffff + + + BDATA + The value in this register is used to generate internal baud rate in UART mode or to generate SCK clock in SPI mode + [11:00] + read-write + + + + + DR + USART1n Data Register + 0x14 + 32 + read-write + 0x0 + 0xffffffff + + + DATA + The USART Transmit buffer and Receive buffer share the same I/O address with this DATA register + [07:00] + read-write + + + + + + + USART10 + 1.0 + USART 10 (USART + SPI) + USART & SPI + 0x40003800 + 32 + read-write + + 0 + 0x100 + registers + + + USART10 + USART10 Interrupt + 11 + + + + USART11 + 1.0 + USART 11 (USART + SPI) + USART & SPI + 0x40003900 + 32 + read-write + + 0 + 0x100 + registers + + + USART11 + USART11 Interrupt + 17 + + + + USART12 + 1.0 + USART 12 (USART + SPI) + USART & SPI + 0x40003a00 + 32 + read-write + + 0 + 0x100 + registers + + + USART12 + USART12 Interrupt + 26 + + + + USART13 + 1.0 + USART 13 (USART + SPI) + USART & SPI + 0x40003b00 + 32 + read-write + + 0 + 0x100 + registers + + + USART13 + USART13 Interrupt + 27 + + + + UARTn + 1.0 + UART n + UART + 0x55000000 + 32 + read-write + + 0 + 0x100 + registers + + + + RBR + UARTn Receive Data Buffer Register + 0x00 + 32 + read-only + 0x0 + 0xffffffff + + + RBR + UARTn Receive Data Buffer + [07:00] + read-only + + + + + THR + UARTn Transmit Data Hold Register + 0x00 + 32 + write-only + 0x0 + 0xffffffff + + + THR + UARTn Transmit Data Hold + [07:00] + write-only + + + + + IER + UARTn Interrupt Enable Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + TXEIE + Transmit Empty Interrupt Enable + [03:03] + read-write + + + RLSIE + Receiver Line Status Interrupt Enable + [02:02] + read-write + + + THREIE + Transmit Holding Register Empty Interrupt Enable + [01:01] + read-write + + + DRIE + Data Receive Interrupt Enable + [00:00] + read-write + + + + + IIR + UARTn Interrupt ID Register + 0x08 + 32 + read-only + 0x1 + 0xffffffff + + + TXE + Transmit Complete Interrupt Source ID + [04:04] + read-only + + + IID + UARTn Interrupt ID + [02:01] + read-only + + + IPEN + Interrupt Pending + [00:00] + read-only + + + + + LCR + UARTn Line Control Register + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + BREAK + Transfer Break Control + [06:06] + read-write + + + STICKP + Force Parity + [05:05] + read-write + + + PARITY + Parity Mode and Parity Stuck Selection + [04:04] + read-write + + + PEN + Parity Bit Transfer Enable + [03:03] + read-write + + + STOPBIT + Stop Bit Length Selection + [02:02] + read-write + + + DLEN + Data Length Selection + [01:00] + read-write + + + + + DCR + UARTn Data Control Register + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + LBON + Local Loopback Test Mode Enable + [04:04] + read-write + + + RXINV + Receive Data Inversion Selection + [03:03] + read-write + + + TXINV + Transmit Data Inversion Selection + [02:02] + read-write + + + + + LSR + UARTn Line Status Register + 0x14 + 32 + read-only + 0x60 + 0xffffffff + + + TEMT + Transmit Register Empty + [06:06] + read-only + + + THRE + Transmit Hold Register Empty + [05:05] + read-only + + + BI + Break Condition Indication + [04:04] + read-only + + + FE + Frame Error Indicator + [03:03] + read-only + + + PE + Parity Error Indicator + [02:02] + read-only + + + OE + Overrun Error Indicator + [01:01] + read-only + + + DR + Data Receive Indicator + [00:00] + read-only + + + + + BDR + UARTn Baud Rate Divisor Latch Register + 0x20 + 32 + read-write + 0x0 + 0xffffffff + + + BDR + Baud Rate Divider Latch Value + [15:00] + read-write + + + + + BFR + UARTn Baud Rate Fractional Counter Value + 0x24 + 32 + read-write + 0x0 + 0xffffffff + + + BFR + Fraction Counter value + [07:00] + read-write + + + Disable + Disable fraction counter. + 0 + + + + + + + IDTR + UARTn Inter-frame Delay Time Register + 0x30 + 32 + read-write + 0xc0 + 0xffffffff + + + SMS + Start Bit Multi Sampling Enable + [07:07] + read-write + + + DMS + Data Bit Multi Sampling Enable + [06:06] + read-write + + + WAITVAL + Wait Time Value + [02:00] + read-write + + + + + + + UART0 + 1.0 + UART 0 + UART + 0x40004000 + 32 + read-write + + 0 + 0x100 + registers + + + UART0 + UART0 Interrupt + 19 + + + + UART1 + 1.0 + UART 1 + UART + 0x40004100 + 32 + read-write + + 0 + 0x100 + registers + + + UART1 + UART1 Interrupt + 20 + + + + I2Cn + 1.0 + I2C n + I2C + 0x56000000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + I2Cn Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + I2CnEN + Activate I2Cn Block by supplying + [07:07] + read-write + + + TXDLYENBn + SDHR Register Control + [06:06] + read-write + + + I2CnIEN + I2Cn Interrupt Enable + [05:05] + read-write + + + I2CnIFLAG + I2Cn Interrupt Flag + [04:04] + read-write + + + ACKnEN + Controls ACK signal generation at ninth SCL period + [03:03] + read-write + + + IMASTERn + Represent Operation Mode of I2Cn + [02:02] + read-only + + + STOPCn + STOP Condition Generation when I2Cn is master + [01:01] + read-write + + + STARTCn + START Condition Generation when I2Cn is master + [00:00] + read-write + + + + + ST + I2Cn Status Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + GCALLn + + This bit has different meaning depending on whether I2C is master or slave. + When I2C is a master, this bit represents whether it received AACK (address ACK) from slave. + When I2C is a slave, this bit is used to indicate general call. + + [07:07] + read-write + + + TENDn + This bit is set when 1-byte of data is transferred completely + [06:06] + read-write + + + STOPDn + This bit is set when a STOP condition is detected + [05:05] + read-write + + + SSELn + This bit is set when I2C is addressed by other master + [04:04] + read-write + + + MLOSTn + This bit represents the result of bus arbitration in master mode + [03:03] + read-write + + + BUSYn + This bit reflects bus status + [02:02] + read-write + + + TMODEn + This bit is used to indicate whether I2C is transmitter or receiver + [01:01] + read-only + + + RXACKn + This bit shows the state of ACK signal + [00:00] + read-write + + + + + SAR1 + I2Cn Slave Address Register 1 + 0x08 + 32 + read-write + 0x0 + 0xffffffff + + + SLAn + These bits configure the slave address 1 in slave mode + [07:01] + read-write + + + GCALLnEN + This bit decides whether I2Cn allows general call address 1 or not in I2Cn slave mode + [00:00] + read-write + + + + + SAR2 + I2Cn Slave Address Register 2 + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + SLAn + These bits configure the slave address 2 in slave mode + [07:01] + read-write + + + GCALLnEN + This bit decides whether I2Cn allows general call address 2 or not in I2Cn slave mode + [00:00] + read-write + + + + + DR + I2Cn Data Register + 0x10 + 32 + read-write + 0x0 + 0xffffffff + + + DATA + The DR Transmit buffer and Receive buffer share the same I/O address with this DATA register + [07:00] + read-write + + + + + SDHR + I2Cn SDA Hold Time Register + 0x14 + 32 + read-write + 0x1 + 0xffffffff + + + HLDT + This register is used to control SDA output timing from the falling edge of SCL + [11:00] + read-write + + + + + SCLR + I2Cn SCL Low Period Register + 0x18 + 32 + read-write + 0x3f + 0xffffffff + + + SCLL + This register defines the low period of SCL in master mode + [11:00] + read-write + + + + + SCHR + I2Cn SCL High Period Register + 0x1c + 32 + read-write + 0x3f + 0xffffffff + + + SCLH + This register defines the high period of SCL in master mode + [11:00] + read-write + + + + + + + I2C0 + 1.0 + I2C 0 + I2C + 0x40004800 + 32 + read-write + + 0 + 0x100 + registers + + + I2C0 + I2C0 Interrupt + 10 + + + + I2C1 + 1.0 + I2C 1 + I2C + 0x40004900 + 32 + read-write + + 0 + 0x100 + registers + + + I2C1 + I2C1 Interrupt + 14 + + + + I2C2 + 1.0 + I2C 2 + I2C + 0x40004a00 + 32 + read-write + + 0 + 0x100 + registers + + + I2C2 + I2C2 Interrupt + 25 + + + + LCD + 1.0 + LCD Driver + LCD Driver + 0x40005000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + LCD Driver Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + IRSEL + Internal LCD Bias Dividing Resistor Selection + [07:06] + read-write + + + RLCD3 + RLCD3: 105/105/80[kohm] @(1/2)/(1/3)/(1/4) bias + 0 + + + RLCD1 + RLCD1: 10/10/10[kohm] @(1/2)/(1/3)/(1/4) bias + 1 + + + RLCD2 + RLCD2: 66/66/50[kohm] @(1/2)/(1/3)/(1/4) bias + 2 + + + RLCD4 + RLCD4: 320/320/240[kohm] @(1/2)/(1/3)/(1/4) bias + 3 + + + + + DBS + LCD Duty and Bias Selection + [05:03] + read-write + + + Duty8Bias4 + 1/8 duty, 1/4 bias + 0 + + + Duty6Bias4 + 1/6 duty, 1/4 bias + 1 + + + Duty5Bias3 + 1/5 duty, 1/3 bias + 2 + + + Duty4Bias3 + 1/4 duty, 1/3 bias + 3 + + + Duty3Bias3 + 1/3 duty, 1/3 bias + 4 + + + Duty3Bias2 + 1/3 duty, 1/2 bias + 5 + + + + + LCLK + LCD Clock Selection (When fLCD = 32.768kHz) + [02:01] + read-write + + + fLCD256 + 128Hz + 0 + + + fLCD128 + 256Hz + 1 + + + fLCD64 + 512Hz + 2 + + + fLCD32 + 1024Hz + 3 + + + + + DISP + LCD Display Control + [00:00] + read-write + + + Off + Display off + 0 + + + On + Normal display on + 1 + + + + + + + BCCR + LCD Automatic Bias and Contrast Control Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + LCDABC + LCD Automatic Bias Control + [12:12] + read-write + + + Off + LCD automatic bias is off + 0 + + + On + LCD automatic bias is on + 1 + + + + + BMSEL + 'Bias Mode A' Time Selection + [10:08] + read-write + + + BMA1Clk + 'Bias Mode A' for 1-clock of fLCD + 0 + + + BMA2Clk + 'Bias Mode A' for 2-clock of fLCD + 1 + + + BMA3Clk + 'Bias Mode A' for 3-clock of fLCD + 2 + + + BMA4Clk + 'Bias Mode A' for 4-clock of fLCD + 3 + + + BMA5Clk + 'Bias Mode A' for 5-clock of fLCD + 4 + + + BMA6Clk + 'Bias Mode A' for 6-clock of fLCD + 5 + + + BMA7Clk + 'Bias Mode A' for 7-clock of fLCD + 6 + + + BMA8Clk + 'Bias Mode A' for 8-clock of fLCD + 7 + + + + + LCTEN + LCD Driver Contrast Control + [05:05] + read-write + + + Disable + Disable LCD driver contrast. + 0 + + + Enable + Enable LCD driver contrast. + 1 + + + + + VLCD + VLC0 Voltage Control when the contrast is enabled + [03:00] + read-write + + + Step0 + VDD x 16/31 Step + 0 + + + Step1 + VDD x 16/30 Step + 1 + + + Step2 + VDD x 16/29 Step + 2 + + + Step3 + VDD x 16/28 Step + 3 + + + Step4 + VDD x 16/27 Step + 4 + + + Step5 + VDD x 16/26 Step + 5 + + + Step6 + VDD x 16/25 Step + 6 + + + Step7 + VDD x 16/24 Step + 7 + + + Step8 + VDD x 16/23 Step + 8 + + + Step9 + VDD x 16/22 Step + 9 + + + Step10 + VDD x 16/21 Step + 10 + + + Step11 + VDD x 16/20 Step + 11 + + + Step12 + VDD x 16/19 Step + 12 + + + Step13 + VDD x 16/18 Step + 13 + + + Step14 + VDD x 16/17 Step + 14 + + + Step15 + VDD x 16/16 Step + 15 + + + + + + + DR0 + LCD Display Data Register 0 + 0x10 + 8 + read-write + 0x0 + + + DR1 + LCD Display Data Register 1 + 0x11 + 8 + read-write + 0x0 + + + DR2 + LCD Display Data Register 2 + 0x12 + 8 + read-write + 0x0 + + + DR3 + LCD Display Data Register 3 + 0x13 + 8 + read-write + 0x0 + + + DR4 + LCD Display Data Register 4 + 0x14 + 8 + read-write + 0x0 + + + DR5 + LCD Display Data Register 5 + 0x15 + 8 + read-write + 0x0 + + + DR6 + LCD Display Data Register 6 + 0x16 + 8 + read-write + 0x0 + + + DR7 + LCD Display Data Register 7 + 0x17 + 8 + read-write + 0x0 + + + DR8 + LCD Display Data Register 8 + 0x18 + 8 + read-write + 0x0 + + + DR9 + LCD Display Data Register 9 + 0x19 + 8 + read-write + 0x0 + + + DR10 + LCD Display Data Register 10 + 0x1a + 8 + read-write + 0x0 + + + DR11 + LCD Display Data Register 11 + 0x1b + 8 + read-write + 0x0 + + + DR12 + LCD Display Data Register 12 + 0x1c + 8 + read-write + 0x0 + + + DR13 + LCD Display Data Register 13 + 0x1d + 8 + read-write + 0x0 + + + DR14 + LCD Display Data Register 14 + 0x1e + 8 + read-write + 0x0 + + + DR15 + LCD Display Data Register 15 + 0x1f + 8 + read-write + 0x0 + + + DR16 + LCD Display Data Register 16 + 0x20 + 8 + read-write + 0x0 + + + DR17 + LCD Display Data Register 17 + 0x21 + 8 + read-write + 0x0 + + + DR18 + LCD Display Data Register 18 + 0x22 + 8 + read-write + 0x0 + + + DR19 + LCD Display Data Register 19 + 0x23 + 8 + read-write + 0x0 + + + DR20 + LCD Display Data Register 20 + 0x24 + 8 + read-write + 0x0 + + + DR21 + LCD Display Data Register 21 + 0x25 + 8 + read-write + 0x0 + + + DR22 + LCD Display Data Register 22 + 0x26 + 8 + read-write + 0x0 + + + DR23 + LCD Display Data Register 23 + 0x27 + 8 + read-write + 0x0 + + + DR24 + LCD Display Data Register 24 + 0x28 + 8 + read-write + 0x0 + + + DR25 + LCD Display Data Register 25 + 0x29 + 8 + read-write + 0x0 + + + DR26 + LCD Display Data Register 26 + 0x2a + 8 + read-write + 0x0 + + + DR27 + LCD Display Data Register 27 + 0x2b + 8 + read-write + 0x0 + + + DR28 + LCD Display Data Register 28 + 0x2c + 8 + read-write + 0x0 + + + DR29 + LCD Display Data Register 29 + 0x2d + 8 + read-write + 0x0 + + + DR30 + LCD Display Data Register 30 + 0x2e + 8 + read-write + 0x0 + + + DR31 + LCD Display Data Register 31 + 0x2f + 8 + read-write + 0x0 + + + DR32 + LCD Display Data Register 32 + 0x30 + 8 + read-write + 0x0 + + + DR33 + LCD Display Data Register 33 + 0x31 + 8 + read-write + 0x0 + + + DR34 + LCD Display Data Register 34 + 0x32 + 8 + read-write + 0x0 + + + DR35 + LCD Display Data Register 35 + 0x33 + 8 + read-write + 0x0 + + + DR36 + LCD Display Data Register 36 + 0x34 + 8 + read-write + 0x0 + + + DR37 + LCD Display Data Register 37 + 0x35 + 8 + read-write + 0x0 + + + DR38 + LCD Display Data Register 38 + 0x36 + 8 + read-write + 0x0 + + + DR39 + LCD Display Data Register 39 + 0x37 + 8 + read-write + 0x0 + + + DR40 + LCD Display Data Register 40 + 0x38 + 8 + read-write + 0x0 + + + DR41 + LCD Display Data Register 41 + 0x39 + 8 + read-write + 0x0 + + + DR42 + LCD Display Data Register 42 + 0x3a + 8 + read-write + 0x0 + + + DR43 + LCD Display Data Register 43 + 0x3b + 8 + read-write + 0x0 + + + + + CRC + 1.0 + Cyclic Redundancy Check and Checksum + CRC & Checksum + 0x30001000 + 32 + read-write + + 0 + 0x100 + registers + + + + CR + CRC/Checksum Control Register + 0x00 + 32 + read-write + 0x0 + 0xffffffff + + + MODS + User/Auto Mode Selection + [07:07] + read-write + + + UserMode + User Mode (Calculate every data written to the CRC_IN register) + 0 + + + AutoMode + Auto Mode (Calculate till CRC_SADR == CRC_EADR) + 1 + + + + + RLTCLR + CRC/Checksum Result Data Register (CRCRLT) Initialization + [06:06] + read-write + + + NoEffect + No effect. + 0 + + + Init + Initialize the CRC_RLT register with the value of CRC_INIT. (This bit is automatically cleared to '0' after operation.) + 1 + + + + + MDSEL + CRC/Checksum Selection + [05:05] + read-write + + + CRC + Select CRC. + 0 + + + Checksum + Select Checksum. + 1 + + + + + POLYS + Polynomial Selection (CRC only) + [04:04] + read-write + + + CRC16_CCITT + CRC16-CCITT (G1(x) = x16 + x12 + x5 + 1) + 0 + + + CRC16 + CRC16 (G2(x) = x16 + x15 + x2 + 1) + 1 + + + + + SARINC + CRC/Checksum Start Address Auto Increment Control (User mode only) + [03:03] + read-write + + + Disable + No effect. + 0 + + + Enable + The CRC/Checksum start address register is incremented as the selected input size every writing to the CRC_IN register. + 1 + + + + + FIRSTBS + First Shifted-in Selection (CRC only) + [01:01] + read-write + + + msbFirst + msb first + 0 + + + lsbFirst + lsb first + 1 + + + + + CRCRUN + CRC/Checksum Start Control and Busy + [00:00] + read-write + + + Stop + Not busy. The CRC operation can be finished by writing '0' to this bit while running. + 0 + + + Start + Start CRC operation. This bit is automatically cleared to '0' when the value of CRC_SADR register reaches the value of CRC_EADR register. + 1 + + + + + + + IN + CRC/Checksum Input Data Register + 0x04 + 32 + read-write + 0x0 + 0xffffffff + + + INDATA + CRC Input Data + [31:00] + read-write + + + + + RLT + CRC/Checksum Result Data Register + 0x08 + 32 + read-only + 0xffff + 0xffffffff + + + RLTDATA + CRC Result Data + [15:00] + read-only + + + + + INIT + CRC/Checksum Initial Data Register + 0x0c + 32 + read-write + 0x0 + 0xffffffff + + + INIDATA + CRC Initial Data + [15:00] + read-write + + + + + SADR + CRC/Checksum Start Address Register + 0x10 + 32 + read-write + 0x10000000 + 0xffffffff + + + SADR + CRC Start Address + [31:02] + read-write + + + + + EADR + CRC/Checksum End Address Register + 0x14 + 32 + read-write + 0x1000fffc + 0xffffffff + + + EADR + CRC End Address + [31:02] + read-write + + + + + + + COA0 + 1.0 + Configuration Option Area Page 0: System Related Trimming Value + Configuration Option Area + 0x1ffff000 + 32 + read-only + + 0 + 0x100 + registers + + + + TRIM00 + System Related Trim Value 00 + 0x000 + 32 + read-only + 0xffffffff + 0x0 + + + TRIM01 + System Related Trim Value 01 + 0x004 + 32 + read-only + 0x0 + + + TRIM02 + System Related Trim Value 02 + 0x008 + 32 + read-only + 0x0 + + + TRIM03 + System Related Trim Value 03 + 0x00c + 32 + read-only + 0x0 + + + TRIM04 + System Related Trim Value 04 + 0x010 + 32 + read-only + 0x0 + + + TRIM05 + System Related Trim Value 05 + 0x014 + 32 + read-only + 0x0 + + + TRIM06 + System Related Trim Value 06 + 0x018 + 32 + read-only + 0x0 + + + TRIM07 + System Related Trim Value 07 + 0x01c + 32 + read-only + 0x0 + + + TRIM08 + System Related Trim Value 08 + 0x020 + 32 + read-only + 0x0 + + + TRIM09 + System Related Trim Value 09 + 0x024 + 32 + read-only + 0x0 + + + TRIM10 + System Related Trim Value 10 + 0x028 + 32 + read-only + 0x0 + + + TRIM11 + System Related Trim Value 11 + 0x02c + 32 + read-only + 0x0 + + + TRIM12 + System Related Trim Value 12 + 0x030 + 32 + read-only + 0x0 + + + TRIM13 + System Related Trim Value 13 + 0x034 + 32 + read-only + 0x0 + + + TRIM14 + System Related Trim Value 14 + 0x038 + 32 + read-only + 0x0 + + + TRIM15 + System Related Trim Value 15 + 0x03c + 32 + read-only + 0x0 + + + TRIM16 + System Related Trim Value 16 + 0x040 + 32 + read-only + 0x0 + + + TRIM17 + System Related Trim Value 17 + 0x044 + 32 + read-only + 0x0 + + + TRIM18 + System Related Trim Value 18 + 0x048 + 32 + read-only + 0x0 + + + TRIM19 + System Related Trim Value 19 + 0x04c + 32 + read-only + 0x0 + + + CONF_MF1CNFIG + Manufacture Information 1 + 0x050 + 32 + read-only + 0x0 + + + XYCDN + X and Y Coordinates + [31:00] + read-only + + + + + CONF_MF2CNFIG + Manufacture Information 2 + 0x054 + 32 + read-only + 0x0 + + + LOTNO + Lot Number [23:0] + [31:08] + read-only + + + WAFNO + Wafer Number + [07:00] + read-only + + + + + CONF_MF3CNFIG + Manufacture Information 3 + 0x058 + 32 + read-only + 0x0 + + + LOTNO + Lot Number [55:24] + [31:00] + read-only + + + + + CONF_MF4CNFIG + Manufacture Information 4 + 0x05c + 32 + read-only + 0x0 + + + LOTNO + Lot Number [87:56] + [31:00] + read-only + + + + + TRIM24 + System Related Trim Value 24 + 0x060 + 32 + read-only + 0x0 + + + TRIM25 + System Related Trim Value 25 + 0x064 + 32 + read-only + 0x0 + + + TRIM26 + System Related Trim Value 26 + 0x068 + 32 + read-only + 0x0 + + + TRIM27 + System Related Trim Value 27 + 0x06c + 32 + read-only + 0x0 + + + TRIM28 + System Related Trim Value 28 + 0x070 + 32 + read-only + 0x0 + + + TRIM29 + System Related Trim Value 29 + 0x074 + 32 + read-only + 0x0 + + + TRIM30 + System Related Trim Value 30 + 0x078 + 32 + read-only + 0x0 + + + TRIM31 + System Related Trim Value 31 + 0x07c + 32 + read-only + 0x0 + + + + + COA1 + 1.0 + Configuration Option Area Page 1: User Option + Configuration Option Area + 0x1ffff200 + 32 + read-only + + 0 + 0x100 + registers + + + + RPCNFIG + Configuration for Read Protection + 0x00 + 32 + read-only + 0xffffffff + 0xfffffffc + + + WTIDKY + Write Identification Key (0x69c8a27) + [31:04] + read-only + + + Value + Key Value (0x69c8a27) + 0x69c8a27 + + + + + READP + Read Protection for Flash Memory Area + [01:00] + read-only + + + Level0 + No restriction for read/erase/write. + 0x3 + + + Level1 + + 1. Not readable/erasable/writable by 'Debug' + 2. Bulk erasable only by 'Debug' + 3. Readable/erasable/writable by 'Instruction from Flash Memory and RAM' + + 0x2 + + + Level2 + + 1. Not readable/erasable/writable by 'Debug' / 'Instruction from RAM' + 2. Bulk erasable only by 'Instruction from RAM' / 'Debug' + 3. Readable/erasable/writable by 'Instruction from Flash Memory' + + 0x0 + + + + + + + WDTCNFIG + Configuration for Watch-Dog Timer + 0x0c + 32 + read-only + 0xffffffff + 0xffff0008 + + + WRCMF + Watch-Dog Timer RC Oscillator Master Configuration + [15:04] + read-only + + + BySW + By S/W (CLKSRCR Register) (0x96d) + 0x96d + + + AlwaysEnableExceptDeepSleep + Always Enable Except for Deep Sleep (0x2a7) + 0x2a7 + + + AlwaysEnable + Always Enable + 0xfff + + + + + WCLKMF + Watch-Dog Timer Clock Selection Master Configuration + [02:02] + read-only + + + BySW + By S/W (PPCLKSR Register) + 0 + + + AlwaysWDTRC + Always WDTRC + 1 + + + + + WRSTMF + Watch-Dog Timer Reset Enable Master Configuration + [01:01] + read-only + + + AlwaysEnable + Always Enable + 0 + + + BySW + By S/W (WDTCR Register) + 1 + + + + + WCNTMF + Watch-Dog Timer Counter Enable Master Configuration + [00:00] + read-only + + + AlwaysEnable + Always Enable + 0 + + + BySW + By S/W (WDTCR Register) + 1 + + + + + + + LVRCNFIG + Configuration for Low Voltage Reset + 0x10 + 32 + read-only + 0xffffffff + 0xffff00f0 + + + LVRENM + LVR Reset Operation Control Master Configuration + [15:08] + read-only + + + BySW + By S/W (LVRCR Register) (0xaa) + 0xaa + + + AlwaysEnable + Always Enable (0xff) + 0xff + + + + + LVRVS + LVR Voltage Selection + [03:00] + read-only + + + 1p62V + 1.62V + 15 + + + DNW14 + Do not write. + 14 + + + DNW13 + Do not write. + 13 + + + DNW12 + Do not write. + 12 + + + 2p00V + 2.00V + 11 + + + 2p13V + 2.13V + 10 + + + 2p28V + 2.28V + 9 + + + 2p46V + 2.46V + 8 + + + 2p67V + 2.67V + 7 + + + 3p04V + 3.04V + 6 + + + 3p20V + 3.20V + 5 + + + 3p55V + 3.55V + 4 + + + 3p75V + 3.75V + 3 + + + 3p99V + 3.99V + 2 + + + 4p25V + 4.25V + 1 + + + 4p55 + 4.55V + 0 + + + + + + + CNFIGWTP1 + Erase/Write Protection for Configure Option Page 1/2/3 + 0x14 + 32 + read-only + 0xffffffff + 0xfffffff8 + + + CP3WP + Configure Option Page 3 Erase/Write Protection + [02:02] + read-only + + + Enable + Enable protection. (Not erasable/writable by instruction) + 0 + + + Disable + Disable protection. (Erasable/writable by instruction) + 1 + + + + + CP2WP + Configure Option Page 2 Erase/Write Protection + [01:01] + read-only + + + Enable + Enable protection. (Not erasable/writable by instruction) + 0 + + + Disable + Disable protection. (Erasable/writable by instruction) + 1 + + + + + CP1WP + Configure Option Page 1 Erase/Write Protection + [00:00] + read-only + + + Enable + Enable protection. (Not erasable/writable by instruction) + 0 + + + Disable + Disable protection. (Erasable/writable by instruction) + 1 + + + + + + + FMWTP1 + Erase/Write Protection for Flash Memory + 0x40 + 32 + read-only + 0x0 + + + SWTP31 + Flash Memory Erase/Write Protection 31 + [31:31] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP30 + Flash Memory Erase/Write Protection 30 + [30:30] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP29 + Flash Memory Erase/Write Protection 29 + [29:29] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP28 + Flash Memory Erase/Write Protection 28 + [28:28] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP27 + Flash Memory Erase/Write Protection 27 + [27:27] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP26 + Flash Memory Erase/Write Protection 26 + [26:26] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP25 + Flash Memory Erase/Write Protection 25 + [25:25] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP24 + Flash Memory Erase/Write Protection 24 + [24:24] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP23 + Flash Memory Erase/Write Protection 23 + [23:23] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP22 + Flash Memory Erase/Write Protection 22 + [22:22] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP21 + Flash Memory Erase/Write Protection 21 + [21:21] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP20 + Flash Memory Erase/Write Protection 20 + [20:20] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP19 + Flash Memory Erase/Write Protection 19 + [19:19] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP18 + Flash Memory Erase/Write Protection 18 + [18:18] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP17 + Flash Memory Erase/Write Protection 17 + [17:17] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP16 + Flash Memory Erase/Write Protection 16 + [16:16] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP15 + Flash Memory Erase/Write Protection 15 + [15:15] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP14 + Flash Memory Erase/Write Protection 14 + [14:14] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP13 + Flash Memory Erase/Write Protection 13 + [13:13] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP12 + Flash Memory Erase/Write Protection 12 + [12:12] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP11 + Flash Memory Erase/Write Protection 11 + [11:11] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP10 + Flash Memory Erase/Write Protection 10 + [10:10] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP9 + Flash Memory Erase/Write Protection 9 + [09:09] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP8 + Flash Memory Erase/Write Protection 8 + [08:08] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP7 + Flash Memory Erase/Write Protection 7 + [07:07] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP6 + Flash Memory Erase/Write Protection 6 + [06:06] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP5 + Flash Memory Erase/Write Protection 5 + [05:05] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP4 + Flash Memory Erase/Write Protection 4 + [04:04] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP3 + Flash Memory Erase/Write Protection 3 + [03:03] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP2 + Flash Memory Erase/Write Protection 2 + [02:02] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP1 + Flash Memory Erase/Write Protection 1 + [01:01] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + SWTP0 + Flash Memory Erase/Write Protection 0 + [00:00] + read-only + + + Enable + Protect 'flash memory sector n erase/write' + 0 + + + Disable + Permit 'flash memory sector n erase/write' + 1 + + + + + + + + + COA2 + 1.0 + Configuration Option Area Page 2: User Data Area 0 + Configuration Option Area + 0x1ffff400 + 32 + read-only + + 0 + 0x100 + registers + + + + UDATA00 + User Data 00 + 0x000 + 32 + read-only + 0x0 + + + UDATA01 + User Data 01 + 0x004 + 32 + read-only + 0x0 + + + UDATA02 + User Data 02 + 0x008 + 32 + read-only + 0x0 + + + UDATA03 + User Data 03 + 0x00c + 32 + read-only + 0x0 + + + UDATA04 + User Data 04 + 0x010 + 32 + read-only + 0x0 + + + UDATA05 + User Data 05 + 0x014 + 32 + read-only + 0x0 + + + UDATA06 + User Data 06 + 0x018 + 32 + read-only + 0x0 + + + UDATA07 + User Data 07 + 0x01c + 32 + read-only + 0x0 + + + UDATA08 + User Data 08 + 0x020 + 32 + read-only + 0x0 + + + UDATA09 + User Data 09 + 0x024 + 32 + read-only + 0x0 + + + UDATA10 + User Data 10 + 0x028 + 32 + read-only + 0x0 + + + UDATA11 + User Data 11 + 0x02c + 32 + read-only + 0x0 + + + UDATA12 + User Data 12 + 0x030 + 32 + read-only + 0x0 + + + UDATA13 + User Data 13 + 0x034 + 32 + read-only + 0x0 + + + UDATA14 + User Data 14 + 0x038 + 32 + read-only + 0x0 + + + UDATA15 + User Data 15 + 0x03c + 32 + read-only + 0x0 + + + UDATA16 + User Data 16 + 0x040 + 32 + read-only + 0x0 + + + UDATA17 + User Data 17 + 0x044 + 32 + read-only + 0x0 + + + UDATA18 + User Data 18 + 0x048 + 32 + read-only + 0x0 + + + UDATA19 + User Data 19 + 0x04c + 32 + read-only + 0x0 + + + UDATA20 + User Data 20 + 0x050 + 32 + read-only + 0x0 + + + UDATA21 + User Data 21 + 0x054 + 32 + read-only + 0x0 + + + UDATA22 + User Data 22 + 0x058 + 32 + read-only + 0x0 + + + UDATA23 + User Data 23 + 0x05c + 32 + read-only + 0x0 + + + UDATA24 + User Data 24 + 0x060 + 32 + read-only + 0x0 + + + UDATA25 + User Data 25 + 0x064 + 32 + read-only + 0x0 + + + UDATA26 + User Data 26 + 0x068 + 32 + read-only + 0x0 + + + UDATA27 + User Data 27 + 0x06c + 32 + read-only + 0x0 + + + UDATA28 + User Data 28 + 0x070 + 32 + read-only + 0x0 + + + UDATA29 + User Data 29 + 0x074 + 32 + read-only + 0x0 + + + UDATA30 + User Data 30 + 0x078 + 32 + read-only + 0x0 + + + UDATA31 + User Data 31 + 0x07c + 32 + read-only + 0x0 + + + + + COA3 + 1.0 + Configuration Option Area Page 3: User Data Area 1 + Configuration Option Area + 0x1ffff600 + 32 + read-only + + 0 + 0x100 + registers + + + + UDATA00 + User Data 00 + 0x000 + 32 + read-only + 0x0 + + + UDATA01 + User Data 01 + 0x004 + 32 + read-only + 0x0 + + + UDATA02 + User Data 02 + 0x008 + 32 + read-only + 0x0 + + + UDATA03 + User Data 03 + 0x00c + 32 + read-only + 0x0 + + + UDATA04 + User Data 04 + 0x010 + 32 + read-only + 0x0 + + + UDATA05 + User Data 05 + 0x014 + 32 + read-only + 0x0 + + + UDATA06 + User Data 06 + 0x018 + 32 + read-only + 0x0 + + + UDATA07 + User Data 07 + 0x01c + 32 + read-only + 0x0 + + + UDATA08 + User Data 08 + 0x020 + 32 + read-only + 0x0 + + + UDATA09 + User Data 09 + 0x024 + 32 + read-only + 0x0 + + + UDATA10 + User Data 10 + 0x028 + 32 + read-only + 0x0 + + + UDATA11 + User Data 11 + 0x02c + 32 + read-only + 0x0 + + + UDATA12 + User Data 12 + 0x030 + 32 + read-only + 0x0 + + + UDATA13 + User Data 13 + 0x034 + 32 + read-only + 0x0 + + + UDATA14 + User Data 14 + 0x038 + 32 + read-only + 0x0 + + + UDATA15 + User Data 15 + 0x03c + 32 + read-only + 0x0 + + + UDATA16 + User Data 16 + 0x040 + 32 + read-only + 0x0 + + + UDATA17 + User Data 17 + 0x044 + 32 + read-only + 0x0 + + + UDATA18 + User Data 18 + 0x048 + 32 + read-only + 0x0 + + + UDATA19 + User Data 19 + 0x04c + 32 + read-only + 0x0 + + + UDATA20 + User Data 20 + 0x050 + 32 + read-only + 0x0 + + + UDATA21 + User Data 21 + 0x054 + 32 + read-only + 0x0 + + + UDATA22 + User Data 22 + 0x058 + 32 + read-only + 0x0 + + + UDATA23 + User Data 23 + 0x05c + 32 + read-only + 0x0 + + + UDATA24 + User Data 24 + 0x060 + 32 + read-only + 0x0 + + + UDATA25 + User Data 25 + 0x064 + 32 + read-only + 0x0 + + + UDATA26 + User Data 26 + 0x068 + 32 + read-only + 0x0 + + + UDATA27 + User Data 27 + 0x06c + 32 + read-only + 0x0 + + + UDATA28 + User Data 28 + 0x070 + 32 + read-only + 0x0 + + + UDATA29 + User Data 29 + 0x074 + 32 + read-only + 0x0 + + + UDATA30 + User Data 30 + 0x078 + 32 + read-only + 0x0 + + + UDATA31 + User Data 31 + 0x07c + 32 + read-only + 0x0 + + + + + \ No newline at end of file diff --git a/Project/SDK_V2_5_0/Device/Startup/A31G12x.h b/Project/SDK_V2_5_0/Device/Startup/A31G12x.h new file mode 100644 index 0000000..36ecdad --- /dev/null +++ b/Project/SDK_V2_5_0/Device/Startup/A31G12x.h @@ -0,0 +1,23794 @@ +/* + * ARM Limited (ARM) is supplying this software for use with Cortex-M + * processor based microcontroller, but can be equally used for other + * suitable processor architectures. This file can be freely distributed. + * Modifications to this file shall be clearly marked. + * + * THIS SOFTWARE IS PROVIDED "AS IS". NO WARRANTIES, WHETHER EXPRESS, IMPLIED + * OR STATUTORY, INCLUDING, BUT NOT LIMITED TO, IMPLIED WARRANTIES OF + * MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE APPLY TO THIS SOFTWARE. + * ARM SHALL NOT, IN ANY CIRCUMSTANCES, BE LIABLE FOR SPECIAL, INCIDENTAL, OR + * CONSEQUENTIAL DAMAGES, FOR ANY REASON WHATSOEVER. + * + * @file A31G12x.h + * @brief CMSIS HeaderFile + * @version 1.0 + * @date 23. July 2020 + * @note Generated by SVDConv V3.3.18 on Thursday, 23.07.2020 15:12:46 + * from File 'A31G12x.svd', + * last modified on Thursday, 23.07.2020 05:38:08 + */ + + + +/** @addtogroup VENDOR ABOV Semiconductor Co., Ltd. + * @{ + */ + + +/** @addtogroup A31G12x + * @{ + */ + + +#ifndef A31G12X_H +#define A31G12X_H + +#ifdef __cplusplus +extern "C" { +#endif + + +/** @addtogroup Configuration_of_CMSIS + * @{ + */ + + + +/* =========================================================================================================================== */ +/* ================ Interrupt Number Definition ================ */ +/* =========================================================================================================================== */ + +typedef enum { +/* ======================================= ARM Cortex-M0+ Specific Interrupt Numbers ======================================= */ + Reset_IRQn = -15, /*!< -15 Reset Vector, invoked on Power up and warm reset */ + NonMaskableInt_IRQn = -14, /*!< -14 Non maskable Interrupt, cannot be stopped or preempted */ + HardFault_IRQn = -13, /*!< -13 Hard Fault, all classes of Fault */ + SVCall_IRQn = -5, /*!< -5 System Service Call via SVC instruction */ + PendSV_IRQn = -2, /*!< -2 Pendable request for system service */ + SysTick_IRQn = -1, /*!< -1 System Tick Timer */ +/* ========================================== A31G12x Specific Interrupt Numbers =========================================== */ + LVI_IRQn = 0, /*!< 0 LVI Interrupt */ + WUT_IRQn = 1, /*!< 1 WUT Interrupt */ + WDT_IRQn = 2, /*!< 2 WDT Interrupt */ + EINT0_IRQn = 3, /*!< 3 EINT0 Interrupt */ + EINT1_IRQn = 4, /*!< 4 EINT1 Interrupt */ + EINT2_IRQn = 5, /*!< 5 EINT2 Interrupt */ + EINT3_IRQn = 6, /*!< 6 EINT3 Interrupt */ + TIMER10_IRQn = 7, /*!< 7 TIMER10 Interrupt */ + TIMER11_IRQn = 8, /*!< 8 TIMER11 Interrupt */ + TIMER12_IRQn = 9, /*!< 9 TIMER12 Interrupt */ + I2C0_IRQn = 10, /*!< 10 I2C0 Interrupt */ + USART10_IRQn = 11, /*!< 11 USART10 Interrupt */ + WT_IRQn = 12, /*!< 12 WT Interrupt */ + TIMER30_IRQn = 13, /*!< 13 TIMER30 Interrupt */ + I2C1_IRQn = 14, /*!< 14 I2C1 Interrupt */ + TIMER20_IRQn = 15, /*!< 15 TIMER20 Interrupt */ + TIMER21_IRQn = 16, /*!< 16 TIMER21 Interrupt */ + USART11_IRQn = 17, /*!< 17 USART11 Interrupt */ + ADC_IRQn = 18, /*!< 18 ADC Interrupt */ + UART0_IRQn = 19, /*!< 19 UART0 Interrupt */ + UART1_IRQn = 20, /*!< 20 UART1 Interrupt */ + TIMER13_IRQn = 21, /*!< 21 TIMER13 Interrupt */ + TIMER14_IRQn = 22, /*!< 22 TIMER14 Interrupt */ + TIMER15_IRQn = 23, /*!< 23 TIMER15 Interrupt */ + TIMER16_IRQn = 24, /*!< 24 TIMER16 Interrupt */ + I2C2_IRQn = 25, /*!< 25 I2C2 Interrupt */ + USART12_IRQn = 26, /*!< 26 USART12 Interrupt */ + USART13_IRQn = 27 /*!< 27 USART13 Interrupt */ +} IRQn_Type; + + + +/* =========================================================================================================================== */ +/* ================ Processor and Core Peripheral Section ================ */ +/* =========================================================================================================================== */ + +/* ========================== Configuration of the ARM Cortex-M0+ Processor and Core Peripherals =========================== */ +#define __CM0PLUS_REV 0x0001U /*!< CM0PLUS Core Revision */ +#define __NVIC_PRIO_BITS 2 /*!< Number of Bits used for Priority Levels */ +#define __Vendor_SysTickConfig 0 /*!< Set to 1 if different SysTick Config is used */ +#define __VTOR_PRESENT 1 /*!< Set to 1 if CPU supports Vector Table Offset Register */ + + +/** @} */ /* End of group Configuration_of_CMSIS */ + +#include "core_cm0plus.h" /*!< ARM Cortex-M0+ processor and core peripherals */ +#include "system_A31G12x.h" /*!< A31G12x System */ + +#ifndef __IM /*!< Fallback for older CMSIS versions */ + #define __IM __I +#endif +#ifndef __OM /*!< Fallback for older CMSIS versions */ + #define __OM __O +#endif +#ifndef __IOM /*!< Fallback for older CMSIS versions */ + #define __IOM __IO +#endif + + +/* ======================================== Start of section using anonymous unions ======================================== */ +#if defined (__CC_ARM) + #pragma push + #pragma anon_unions +#elif defined (__ICCARM__) + #pragma language=extended +#elif defined(__ARMCC_VERSION) && (__ARMCC_VERSION >= 6010050) + #pragma clang diagnostic push + #pragma clang diagnostic ignored "-Wc11-extensions" + #pragma clang diagnostic ignored "-Wreserved-id-macro" + #pragma clang diagnostic ignored "-Wgnu-anonymous-struct" + #pragma clang diagnostic ignored "-Wnested-anon-types" +#elif defined (__GNUC__) + /* anonymous unions are enabled by default */ +#elif defined (__TMS470__) + /* anonymous unions are enabled by default */ +#elif defined (__TASKING__) + #pragma warning 586 +#elif defined (__CSMC__) + /* anonymous unions are enabled by default */ +#else + #warning Not supported compiler type +#endif + + +/* =========================================================================================================================== */ +/* ================ Device Specific Peripheral Section ================ */ +/* =========================================================================================================================== */ + + +/** @addtogroup Device_Peripheral_peripherals + * @{ + */ + + + +/* =========================================================================================================================== */ +/* ================ INTC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Interrupt Controller (INTC) + */ + +typedef struct { /*!< (@ 0x40001000) INTC Structure */ + __IM uint32_t RESERVED; + + union { + __IOM uint32_t PBTRIG; /*!< (@ 0x00000004) Port B Interrupt Trigger Selection Register */ + + struct { + __IOM uint32_t ITRIG0 : 1; /*!< [0..0] Port B Interrupt Trigger Selection 0 */ + __IOM uint32_t ITRIG1 : 1; /*!< [1..1] Port B Interrupt Trigger Selection 1 */ + __IOM uint32_t ITRIG2 : 1; /*!< [2..2] Port B Interrupt Trigger Selection 2 */ + __IOM uint32_t ITRIG3 : 1; /*!< [3..3] Port B Interrupt Trigger Selection 3 */ + __IOM uint32_t ITRIG4 : 1; /*!< [4..4] Port B Interrupt Trigger Selection 4 */ + __IOM uint32_t ITRIG5 : 1; /*!< [5..5] Port B Interrupt Trigger Selection 5 */ + __IOM uint32_t ITRIG6 : 1; /*!< [6..6] Port B Interrupt Trigger Selection 6 */ + __IOM uint32_t ITRIG7 : 1; /*!< [7..7] Port B Interrupt Trigger Selection 7 */ + __IOM uint32_t ITRIG8 : 1; /*!< [8..8] Port B Interrupt Trigger Selection 8 */ + __IOM uint32_t ITRIG9 : 1; /*!< [9..9] Port B Interrupt Trigger Selection 9 */ + __IOM uint32_t ITRIG10 : 1; /*!< [10..10] Port B Interrupt Trigger Selection 10 */ + __IOM uint32_t ITRIG11 : 1; /*!< [11..11] Port B Interrupt Trigger Selection 11 */ + } PBTRIG_b; + } ; + + union { + __IOM uint32_t PCTRIG; /*!< (@ 0x00000008) Port C Interrupt Trigger Selection Register */ + + struct { + __IOM uint32_t ITRIG0 : 1; /*!< [0..0] Port C Interrupt Trigger Selection 0 */ + __IOM uint32_t ITRIG1 : 1; /*!< [1..1] Port C Interrupt Trigger Selection 1 */ + __IOM uint32_t ITRIG2 : 1; /*!< [2..2] Port C Interrupt Trigger Selection 2 */ + __IOM uint32_t ITRIG3 : 1; /*!< [3..3] Port C Interrupt Trigger Selection 3 */ + } PCTRIG_b; + } ; + __IM uint32_t RESERVED1; + + union { + __IOM uint32_t PETRIG; /*!< (@ 0x00000010) Port E Interrupt Trigger Selection Register */ + + struct { + __IOM uint32_t ITRIG0 : 1; /*!< [0..0] Port E Interrupt Trigger Selection 0 */ + __IOM uint32_t ITRIG1 : 1; /*!< [1..1] Port E Interrupt Trigger Selection 1 */ + __IOM uint32_t ITRIG2 : 1; /*!< [2..2] Port E Interrupt Trigger Selection 2 */ + __IOM uint32_t ITRIG3 : 1; /*!< [3..3] Port E Interrupt Trigger Selection 3 */ + } PETRIG_b; + } ; + __IM uint32_t RESERVED2[60]; + + union { + __IOM uint32_t PBCR; /*!< (@ 0x00000104) Port B Interrupt Control Register */ + + struct { + __IOM uint32_t INTCTL0 : 2; /*!< [1..0] Port B Interrupt Control 0 */ + __IOM uint32_t INTCTL1 : 2; /*!< [3..2] Port B Interrupt Control 1 */ + __IOM uint32_t INTCTL2 : 2; /*!< [5..4] Port B Interrupt Control 2 */ + __IOM uint32_t INTCTL3 : 2; /*!< [7..6] Port B Interrupt Control 3 */ + __IOM uint32_t INTCTL4 : 2; /*!< [9..8] Port B Interrupt Control 4 */ + __IOM uint32_t INTCTL5 : 2; /*!< [11..10] Port B Interrupt Control 5 */ + __IOM uint32_t INTCTL6 : 2; /*!< [13..12] Port B Interrupt Control 6 */ + __IOM uint32_t INTCTL7 : 2; /*!< [15..14] Port B Interrupt Control 7 */ + __IOM uint32_t INTCTL8 : 2; /*!< [17..16] Port B Interrupt Control 8 */ + __IOM uint32_t INTCTL9 : 2; /*!< [19..18] Port B Interrupt Control 9 */ + __IOM uint32_t INTCTL10 : 2; /*!< [21..20] Port B Interrupt Control 10 */ + __IOM uint32_t INTCTL11 : 2; /*!< [23..22] Port B Interrupt Control 11 */ + } PBCR_b; + } ; + + union { + __IOM uint32_t PCCR; /*!< (@ 0x00000108) Port C Interrupt Control Register */ + + struct { + __IOM uint32_t INTCTL0 : 2; /*!< [1..0] Port C Interrupt Control 0 */ + __IOM uint32_t INTCTL1 : 2; /*!< [3..2] Port C Interrupt Control 1 */ + __IOM uint32_t INTCTL2 : 2; /*!< [5..4] Port C Interrupt Control 2 */ + __IOM uint32_t INTCTL3 : 2; /*!< [7..6] Port C Interrupt Control 3 */ + } PCCR_b; + } ; + __IM uint32_t RESERVED3; + + union { + __IOM uint32_t PECR; /*!< (@ 0x00000110) Port E Interrupt Control Register */ + + struct { + __IOM uint32_t INTCTL0 : 2; /*!< [1..0] Port E Interrupt Control 0 */ + __IOM uint32_t INTCTL1 : 2; /*!< [3..2] Port E Interrupt Control 1 */ + __IOM uint32_t INTCTL2 : 2; /*!< [5..4] Port E Interrupt Control 2 */ + __IOM uint32_t INTCTL3 : 2; /*!< [7..6] Port E Interrupt Control 3 */ + } PECR_b; + } ; + __IM uint32_t RESERVED4[60]; + + union { + __IOM uint32_t PBFLAG; /*!< (@ 0x00000204) Port B Interrupt Flag Register */ + + struct { + __IOM uint32_t FLAG0 : 1; /*!< [0..0] Port B Interrupt Flag 0 */ + __IOM uint32_t FLAG1 : 1; /*!< [1..1] Port B Interrupt Flag 1 */ + __IOM uint32_t FLAG2 : 1; /*!< [2..2] Port B Interrupt Flag 2 */ + __IOM uint32_t FLAG3 : 1; /*!< [3..3] Port B Interrupt Flag 3 */ + __IOM uint32_t FLAG4 : 1; /*!< [4..4] Port B Interrupt Flag 4 */ + __IOM uint32_t FLAG5 : 1; /*!< [5..5] Port B Interrupt Flag 5 */ + __IOM uint32_t FLAG6 : 1; /*!< [6..6] Port B Interrupt Flag 6 */ + __IOM uint32_t FLAG7 : 1; /*!< [7..7] Port B Interrupt Flag 7 */ + __IOM uint32_t FLAG8 : 1; /*!< [8..8] Port B Interrupt Flag 8 */ + __IOM uint32_t FLAG9 : 1; /*!< [9..9] Port B Interrupt Flag 9 */ + __IOM uint32_t FLAG10 : 1; /*!< [10..10] Port B Interrupt Flag 10 */ + __IOM uint32_t FLAG11 : 1; /*!< [11..11] Port B Interrupt Flag 11 */ + } PBFLAG_b; + } ; + + union { + __IOM uint32_t PCFLAG; /*!< (@ 0x00000208) Port C Interrupt Flag Register */ + + struct { + __IOM uint32_t FLAG0 : 1; /*!< [0..0] Port C Interrupt Flag 0 */ + __IOM uint32_t FLAG1 : 1; /*!< [1..1] Port C Interrupt Flag 1 */ + __IOM uint32_t FLAG2 : 1; /*!< [2..2] Port C Interrupt Flag 2 */ + __IOM uint32_t FLAG3 : 1; /*!< [3..3] Port C Interrupt Flag 3 */ + } PCFLAG_b; + } ; + __IM uint32_t RESERVED5; + + union { + __IOM uint32_t PEFLAG; /*!< (@ 0x00000210) Port E Interrupt Flag Register */ + + struct { + __IOM uint32_t FLAG0 : 1; /*!< [0..0] Port E Interrupt Flag 0 */ + __IOM uint32_t FLAG1 : 1; /*!< [1..1] Port E Interrupt Flag 1 */ + __IOM uint32_t FLAG2 : 1; /*!< [2..2] Port E Interrupt Flag 2 */ + __IOM uint32_t FLAG3 : 1; /*!< [3..3] Port E Interrupt Flag 3 */ + } PEFLAG_b; + } ; + __IM uint32_t RESERVED6[59]; + + union { + __IOM uint32_t EINT0CONF1; /*!< (@ 0x00000300) External Interrupt 0 Configuration Register 1 */ + + struct { + __IOM uint32_t CONF0 : 4; /*!< [3..0] External Interrupt 0 Configuration 0 */ + __IOM uint32_t CONF1 : 4; /*!< [7..4] External Interrupt 0 Configuration 1 */ + __IOM uint32_t CONF2 : 4; /*!< [11..8] External Interrupt 0 Configuration 2 */ + __IOM uint32_t CONF3 : 4; /*!< [15..12] External Interrupt 0 Configuration 3 */ + __IOM uint32_t CONF4 : 4; /*!< [19..16] External Interrupt 0 Configuration 4 */ + __IOM uint32_t CONF5 : 4; /*!< [23..20] External Interrupt 0 Configuration 5 */ + __IOM uint32_t CONF6 : 4; /*!< [27..24] External Interrupt 0 Configuration 6 */ + __IOM uint32_t CONF7 : 4; /*!< [31..28] External Interrupt 0 Configuration 7 */ + } EINT0CONF1_b; + } ; + + union { + __IOM uint32_t EINT1CONF1; /*!< (@ 0x00000304) External Interrupt 1 Configuration Register 1 */ + + struct { + __IOM uint32_t CONF0 : 4; /*!< [3..0] External Interrupt 1 Configuration 0 */ + __IOM uint32_t CONF1 : 4; /*!< [7..4] External Interrupt 1 Configuration 1 */ + __IOM uint32_t CONF2 : 4; /*!< [11..8] External Interrupt 1 Configuration 2 */ + __IOM uint32_t CONF3 : 4; /*!< [15..12] External Interrupt 1 Configuration 3 */ + __IOM uint32_t CONF4 : 4; /*!< [19..16] External Interrupt 1 Configuration 4 */ + __IOM uint32_t CONF5 : 4; /*!< [23..20] External Interrupt 1 Configuration 5 */ + __IOM uint32_t CONF6 : 4; /*!< [27..24] External Interrupt 1 Configuration 6 */ + __IOM uint32_t CONF7 : 4; /*!< [31..28] External Interrupt 1 Configuration 7 */ + } EINT1CONF1_b; + } ; + + union { + __IOM uint32_t EINT2CONF1; /*!< (@ 0x00000308) External Interrupt 2 Configuration Register 1 */ + + struct { + __IOM uint32_t CONF0 : 4; /*!< [3..0] External Interrupt 2 Configuration 0 */ + __IOM uint32_t CONF1 : 4; /*!< [7..4] External Interrupt 2 Configuration 1 */ + __IOM uint32_t CONF2 : 4; /*!< [11..8] External Interrupt 2 Configuration 2 */ + __IOM uint32_t CONF3 : 4; /*!< [15..12] External Interrupt 2 Configuration 3 */ + __IOM uint32_t CONF4 : 4; /*!< [19..16] External Interrupt 2 Configuration 4 */ + __IOM uint32_t CONF5 : 4; /*!< [23..20] External Interrupt 2 Configuration 5 */ + __IOM uint32_t CONF6 : 4; /*!< [27..24] External Interrupt 2 Configuration 6 */ + __IOM uint32_t CONF7 : 4; /*!< [31..28] External Interrupt 2 Configuration 7 */ + } EINT2CONF1_b; + } ; + + union { + __IOM uint32_t EINT3CONF1; /*!< (@ 0x0000030C) External Interrupt 3 Configuration Register 1 */ + + struct { + __IOM uint32_t CONF0 : 4; /*!< [3..0] External Interrupt 3 Configuration 0 */ + __IOM uint32_t CONF1 : 4; /*!< [7..4] External Interrupt 3 Configuration 1 */ + __IOM uint32_t CONF2 : 4; /*!< [11..8] External Interrupt 3 Configuration 2 */ + __IOM uint32_t CONF3 : 4; /*!< [15..12] External Interrupt 3 Configuration 3 */ + __IOM uint32_t CONF4 : 4; /*!< [19..16] External Interrupt 3 Configuration 4 */ + __IOM uint32_t CONF5 : 4; /*!< [23..20] External Interrupt 3 Configuration 5 */ + __IOM uint32_t CONF6 : 4; /*!< [27..24] External Interrupt 3 Configuration 6 */ + __IOM uint32_t CONF7 : 4; /*!< [31..28] External Interrupt 3 Configuration 7 */ + } EINT3CONF1_b; + } ; + + union { + __IOM uint32_t EINT0CONF2; /*!< (@ 0x00000310) External Interrupt 0 Configuration Register 2 */ + + struct { + __IOM uint32_t CONF8 : 4; /*!< [3..0] External Interrupt 0 Configuration 8 */ + __IOM uint32_t CONF9 : 4; /*!< [7..4] External Interrupt 0 Configuration 9 */ + __IOM uint32_t CONF10 : 4; /*!< [11..8] External Interrupt 0 Configuration 10 */ + __IOM uint32_t CONF11 : 4; /*!< [15..12] External Interrupt 0 Configuration 11 */ + } EINT0CONF2_b; + } ; + + union { + __IOM uint32_t EINT1CONF2; /*!< (@ 0x00000314) External Interrupt 1 Configuration Register 2 */ + + struct { + __IOM uint32_t CONF8 : 4; /*!< [3..0] External Interrupt 1 Configuration 8 */ + __IOM uint32_t CONF9 : 4; /*!< [7..4] External Interrupt 1 Configuration 9 */ + __IOM uint32_t CONF10 : 4; /*!< [11..8] External Interrupt 1 Configuration 10 */ + __IOM uint32_t CONF11 : 4; /*!< [15..12] External Interrupt 1 Configuration 11 */ + } EINT1CONF2_b; + } ; + + union { + __IOM uint32_t EINT2CONF2; /*!< (@ 0x00000318) External Interrupt 2 Configuration Register 2 */ + + struct { + __IOM uint32_t CONF8 : 4; /*!< [3..0] External Interrupt 2 Configuration 8 */ + __IOM uint32_t CONF9 : 4; /*!< [7..4] External Interrupt 2 Configuration 9 */ + __IOM uint32_t CONF10 : 4; /*!< [11..8] External Interrupt 2 Configuration 10 */ + __IOM uint32_t CONF11 : 4; /*!< [15..12] External Interrupt 2 Configuration 11 */ + } EINT2CONF2_b; + } ; + + union { + __IOM uint32_t EINT3CONF2; /*!< (@ 0x0000031C) External Interrupt 3 Configuration Register 2 */ + + struct { + __IOM uint32_t CONF8 : 4; /*!< [3..0] External Interrupt 3 Configuration 8 */ + __IOM uint32_t CONF9 : 4; /*!< [7..4] External Interrupt 3 Configuration 9 */ + __IOM uint32_t CONF10 : 4; /*!< [11..8] External Interrupt 3 Configuration 10 */ + __IOM uint32_t CONF11 : 4; /*!< [15..12] External Interrupt 3 Configuration 11 */ + } EINT3CONF2_b; + } ; + __IM uint32_t RESERVED7[56]; + + union { + __IOM uint32_t MSK; /*!< (@ 0x00000400) Interrupt Source Mask Register */ + + struct { + __IOM uint32_t IMSK0_LVI : 1; /*!< [0..0] Interrupt Source Mask 0 (LVI) */ + __IOM uint32_t IMSK1_WUT : 1; /*!< [1..1] Interrupt Source Mask 1 (WUT) */ + __IOM uint32_t IMSK2_WDT : 1; /*!< [2..2] Interrupt Source Mask 2 (WDT) */ + __IOM uint32_t IMSK3_EINT0 : 1; /*!< [3..3] Interrupt Source Mask 3 (EINT0) */ + __IOM uint32_t IMSK4_EINT1 : 1; /*!< [4..4] Interrupt Source Mask 4 (EINT1) */ + __IOM uint32_t IMSK5_EINT2 : 1; /*!< [5..5] Interrupt Source Mask 5 (EINT2) */ + __IOM uint32_t IMSK6_EINT3 : 1; /*!< [6..6] Interrupt Source Mask 6 (EINT3) */ + __IOM uint32_t IMSK7_TIMER10 : 1; /*!< [7..7] Interrupt Source Mask 7 (TIMER10) */ + __IOM uint32_t IMSK8_TIMER11 : 1; /*!< [8..8] Interrupt Source Mask 8 (TIMER11) */ + __IOM uint32_t IMSK9_TIMER12 : 1; /*!< [9..9] Interrupt Source Mask 9 (TIMER12) */ + __IOM uint32_t IMSK10_I2C0 : 1; /*!< [10..10] Interrupt Source Mask 10 (I2C0) */ + __IOM uint32_t IMSK11_USART10 : 1; /*!< [11..11] Interrupt Source Mask 11 (USART10) */ + __IOM uint32_t IMSK12_WT : 1; /*!< [12..12] Interrupt Source Mask 12 (WT) */ + __IOM uint32_t IMSK13_TIMER30 : 1; /*!< [13..13] Interrupt Source Mask 13 (TIMER30) */ + __IOM uint32_t IMSK14_I2C1 : 1; /*!< [14..14] Interrupt Source Mask 14 (I2C1) */ + __IOM uint32_t IMSK15_TIMER20 : 1; /*!< [15..15] Interrupt Source Mask 15 (TIMER20) */ + __IOM uint32_t IMSK16_TIMER21 : 1; /*!< [16..16] Interrupt Source Mask 16 (TIMER21) */ + __IOM uint32_t IMSK17_USART11 : 1; /*!< [17..17] Interrupt Source Mask 17 (USART11) */ + __IOM uint32_t IMSK18_ADC : 1; /*!< [18..18] Interrupt Source Mask 18 (ADC) */ + __IOM uint32_t IMSK19_UART0 : 1; /*!< [19..19] Interrupt Source Mask 19 (UART0) */ + __IOM uint32_t IMSK20_UART1 : 1; /*!< [20..20] Interrupt Source Mask 20 (UART1) */ + __IOM uint32_t IMSK21_TIMER13 : 1; /*!< [21..21] Interrupt Source Mask 21 (TIMER13) */ + __IOM uint32_t IMSK22_TIMER14 : 1; /*!< [22..22] Interrupt Source Mask 22 (TIMER14) */ + __IOM uint32_t IMSK23_TIMER15 : 1; /*!< [23..23] Interrupt Source Mask 23 (TIMER15) */ + __IOM uint32_t IMSK24_TIMER16 : 1; /*!< [24..24] Interrupt Source Mask 24 (TIMER16) */ + __IOM uint32_t IMSK25_I2C2 : 1; /*!< [25..25] Interrupt Source Mask 25 (I2C2) */ + __IOM uint32_t IMSK26_USART12 : 1; /*!< [26..26] Interrupt Source Mask 26 (USART12) */ + __IOM uint32_t IMSK27_USART13 : 1; /*!< [27..27] Interrupt Source Mask 27 (USART13) */ + __IOM uint32_t IMSK28_NULL : 1; /*!< [28..28] Interrupt Source Mask 28 (RSVD) */ + __IOM uint32_t IMSK29_NULL : 1; /*!< [29..29] Interrupt Source Mask 29 (RSVD) */ + __IOM uint32_t IMSK30_NULL : 1; /*!< [30..30] Interrupt Source Mask 30 (RSVD) */ + __IOM uint32_t IMSK31_NULL : 1; /*!< [31..31] Interrupt Source Mask 31 (RSVD) */ + } MSK_b; + } ; +} INTC_Type; /*!< Size = 1028 (0x404) */ + + + +/* =========================================================================================================================== */ +/* ================ SCUCC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief System Control Unit: Chip Configuration (SCUCC) + */ + +typedef struct { /*!< (@ 0x4000F000) SCUCC Structure */ + + union { + __IM uint32_t VENDORID; /*!< (@ 0x00000000) Vendor Identification Register */ + + struct { + __IM uint32_t VENDID : 32; /*!< [31..0] Vendor Identification */ + } VENDORID_b; + } ; + + union { + __IM uint32_t CHIPID; /*!< (@ 0x00000004) Chip Identification Register */ + + struct { + __IM uint32_t CHIPID : 32; /*!< [31..0] Chip Identification */ + } CHIPID_b; + } ; + + union { + __IM uint32_t REVNR; /*!< (@ 0x00000008) Revision Number Register */ + + struct { + __IM uint32_t REVNO : 8; /*!< [7..0] Chip Revision Number */ + } REVNR_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IOM uint32_t PMREMAP; /*!< (@ 0x00000014) Program Memory Remap Register */ + + struct { + __IOM uint32_t PMREM : 8; /*!< [7..0] Program Memory Remap */ + __OM uint32_t nPMREM : 8; /*!< [15..8] Write Complement Key */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0xe2f1) */ + } PMREMAP_b; + } ; + + union { + __IOM uint32_t BTPSCR; /*!< (@ 0x00000018) Boot Pin Status and Control Register */ + + struct { + __IM uint32_t BTPSTA : 1; /*!< [0..0] BOOT Pin Status */ + __IM uint32_t : 4; + __IOM uint32_t BFIND : 2; /*!< [6..5] BOOT Pin Function Indicator */ + } BTPSCR_b; + } ; + + union { + __IOM uint32_t RSTSSR; /*!< (@ 0x0000001C) Reset Source Status Register */ + + struct { + __IOM uint32_t PORSTA : 1; /*!< [0..0] POR Reset Status */ + __IOM uint32_t LVRSTA : 1; /*!< [1..1] LVR Reset Status */ + __IOM uint32_t WDTSTA : 1; /*!< [2..2] Watch-Dog Timer Reset Status */ + __IOM uint32_t EXTSTA : 1; /*!< [3..3] External Pin Reset Status */ + __IOM uint32_t SWSTA : 1; /*!< [4..4] Software Reset Status */ + __IOM uint32_t MONSTA : 1; /*!< [5..5] Clock Monitoring Reset Status */ + } RSTSSR_b; + } ; + + union { + __IOM uint32_t NMISRCR; /*!< (@ 0x00000020) NMI Source Selection Register */ + + struct { + __IOM uint32_t NMISRC : 5; /*!< [4..0] Non-Maskable Interrupt Source Selection */ + __IM uint32_t : 1; + __IOM uint32_t MONINT : 1; /*!< [6..6] Clock Monitoring Interrupt Selection */ + __IOM uint32_t NMICON : 1; /*!< [7..7] Non-Maskable Interrupt (NMI) Control */ + } NMISRCR_b; + } ; + + union { + __OM uint32_t SWRSTR; /*!< (@ 0x00000024) Software Reset Register */ + + struct { + __OM uint32_t SWRST : 8; /*!< [7..0] Software Reset (System Reset) */ + __IM uint32_t : 8; + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x9eb3) */ + } SWRSTR_b; + } ; + + union { + __IM uint32_t SRSTVR; /*!< (@ 0x00000028) System Reset Validation Register */ + + struct { + __IM uint32_t VALID : 8; /*!< [7..0] System Reset Validation */ + } SRSTVR_b; + } ; + + union { + __IOM uint32_t WUTCR; /*!< (@ 0x0000002C) Wake-Up Timer Control Register */ + + struct { + __IOM uint32_t WUTIFLAG : 1; /*!< [0..0] Wake-Up Timer Interrupt Flag */ + __IOM uint32_t CNTRLD : 1; /*!< [1..1] Counter Reload */ + __IM uint32_t : 5; + __IOM uint32_t WUTIEN : 1; /*!< [7..7] Wake-Up Timer Interrupt Enable */ + } WUTCR_b; + } ; + + union { + __IOM uint32_t WUTDR; /*!< (@ 0x00000030) Wake-Up Timer Data Register */ + + struct { + __IOM uint32_t WUTDATA : 16; /*!< [15..0] Wake-Up Timer Data */ + } WUTDR_b; + } ; + __IM uint32_t RESERVED1[29]; + + union { + __IOM uint32_t HIRCTRM; /*!< (@ 0x000000A8) High Frequency Internal RC Trim Register (HIRCNFIG) */ + + struct { + __IOM uint32_t FTRMH : 5; /*!< [4..0] Factory HIRC Fine Trim */ + __IM uint32_t CTRMH : 3; /*!< [7..5] Factory HIRC Coarse Trim */ + __OM uint32_t nTRMH : 8; /*!< [15..8] Write Complement Key */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0xa6b5) */ + } HIRCTRM_b; + } ; + + union { + __IOM uint32_t WDTRCTRM; /*!< (@ 0x000000AC) Watch-Dog Timer RC Trim Register (WDTRCNFIG) */ + + struct { + __IOM uint32_t FTRMW : 3; /*!< [2..0] Factory WDTRC Fine Trim */ + __IM uint32_t : 1; + __IOM uint32_t CTRMW : 4; /*!< [7..4] Factory WDTRC Coarse Trim */ + __OM uint32_t nTRMW : 8; /*!< [15..8] Write Complement Key */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x4c3d) */ + } WDTRCTRM_b; + } ; +} SCUCC_Type; /*!< Size = 176 (0xb0) */ + + + +/* =========================================================================================================================== */ +/* ================ SCUCG ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief System Control Unit: Clock Generation (SCUCG) + */ + +typedef struct { /*!< (@ 0x40001800) SCUCG Structure */ + + union { + __IOM uint32_t SCCR; /*!< (@ 0x00000000) System Clock Control Register */ + + struct { + __IOM uint32_t MCLKSEL : 2; /*!< [1..0] Main Clock Selection, MCLK */ + __IM uint32_t : 14; + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x570a) */ + } SCCR_b; + } ; + + union { + __IOM uint32_t CLKSRCR; /*!< (@ 0x00000004) Clock Source Control Register */ + + struct { + __IOM uint32_t XSOSCEN : 1; /*!< [0..0] XSOSC Enable */ + __IOM uint32_t XMOSCEN : 1; /*!< [1..1] XMOSC Enable */ + __IOM uint32_t HIRCEN : 1; /*!< [2..2] HIRC Enable */ + __IOM uint32_t WDTRCEN : 1; /*!< [3..3] WDTRC Enable */ + __IM uint32_t : 4; + __IOM uint32_t XMFRNG : 1; /*!< [8..8] Main Oscillator Type and Frequency Range Selection */ + __IM uint32_t : 3; + __IOM uint32_t HIRCSEL : 2; /*!< [13..12] HIRC Frequency Selection */ + __IM uint32_t : 2; + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0xa507) */ + } CLKSRCR_b; + } ; + + union { + __IOM uint32_t SCDIVR1; /*!< (@ 0x00000008) System Clock Divide Register 1 */ + + struct { + __IOM uint32_t HDIV : 3; /*!< [2..0] Clock Divide for HCLK, Divider 0 */ + __IM uint32_t : 1; + __IOM uint32_t WLDIV : 3; /*!< [6..4] Clock Divide for Watch Timer and LCD Driver, Divider + 2 */ + } SCDIVR1_b; + } ; + + union { + __IOM uint32_t SCDIVR2; /*!< (@ 0x0000000C) System Clock Divide Register 2 */ + + struct { + __IOM uint32_t PDIV : 2; /*!< [1..0] Clock Divide for PCLK, Divider 1 */ + __IM uint32_t : 2; + __IOM uint32_t SYSTDIV : 2; /*!< [5..4] Clock Divide for SysTick Timer, Divider 3 */ + } SCDIVR2_b; + } ; + + union { + __IOM uint32_t CLKOCR; /*!< (@ 0x00000010) Clock Output Control Register */ + + struct { + __IOM uint32_t CLKOS : 3; /*!< [2..0] Clock Output Selection */ + __IOM uint32_t CLKODIV : 3; /*!< [5..3] Output Clock Divide, Divider 4 */ + __IOM uint32_t POLSEL : 1; /*!< [6..6] Clock Output Polarity Selection when Disable */ + __IOM uint32_t CLKOEN : 1; /*!< [7..7] Clock Output Enable */ + } CLKOCR_b; + } ; + + union { + __IOM uint32_t CMONCR; /*!< (@ 0x00000014) Clock Monitoring Control Register */ + + struct { + __IOM uint32_t MONCS : 2; /*!< [1..0] Monitored Clock Selection */ + __IOM uint32_t NMINTFG : 1; /*!< [2..2] Clock Monitoring Interrupt Flag */ + __IOM uint32_t MONFLAG : 1; /*!< [3..3] Clock Monitoring Result Flag */ + __IM uint32_t : 1; + __IOM uint32_t MACTS : 2; /*!< [6..5] Clock Monitoring Action Selection */ + __IOM uint32_t MONEN : 1; /*!< [7..7] Clock Monitoring Enable */ + } CMONCR_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IOM uint32_t PPCLKEN1; /*!< (@ 0x00000020) Peripheral Clock Enable Register 1 */ + + struct { + __IOM uint32_t PACLKE : 1; /*!< [0..0] Port A Clock Enable */ + __IOM uint32_t PBCLKE : 1; /*!< [1..1] Port B Clock Enable */ + __IOM uint32_t PCCLKE : 1; /*!< [2..2] Port C Clock Enable */ + __IOM uint32_t PDCLKE : 1; /*!< [3..3] Port D Clock Enable */ + __IOM uint32_t PECLKE : 1; /*!< [4..4] Port E Clock Enable */ + __IOM uint32_t PFCLKE : 1; /*!< [5..5] Port F Clock Enable */ + __IM uint32_t : 2; + __IOM uint32_t T13CLKE : 1; /*!< [8..8] TIMER13 Clock Enable */ + __IOM uint32_t T14CLKE : 1; /*!< [9..9] TIMER14 Clock Enable */ + __IOM uint32_t T15CLKE : 1; /*!< [10..10] TIMER15 Clock Enable */ + __IOM uint32_t T16CLKE : 1; /*!< [11..11] TIMER16 Clock Enable */ + __IM uint32_t : 4; + __IOM uint32_t T10CLKE : 1; /*!< [16..16] TIMER10 Clock Enable */ + __IOM uint32_t T11CLKE : 1; /*!< [17..17] TIMER11 Clock Enable */ + __IOM uint32_t T12CLKE : 1; /*!< [18..18] TIMER12 Clock Enable */ + __IOM uint32_t T30CLKE : 1; /*!< [19..19] TIMER30 Clock Enable */ + __IOM uint32_t T20CLKE : 1; /*!< [20..20] TIMER20 Clock Enable */ + __IOM uint32_t T21CLKE : 1; /*!< [21..21] TIMER21 Clock Enable */ + } PPCLKEN1_b; + } ; + + union { + __IOM uint32_t PPCLKEN2; /*!< (@ 0x00000024) Peripheral Clock Enable Register 2 */ + + struct { + __IOM uint32_t UST10CLKE : 1; /*!< [0..0] USART10 Clock Enable */ + __IOM uint32_t UST11CLKE : 1; /*!< [1..1] USART11 Clock Enable */ + __IOM uint32_t UT0CLKE : 1; /*!< [2..2] UART0 Clock Enable */ + __IOM uint32_t UT1CLKE : 1; /*!< [3..3] UART1 Clock Enable */ + __IOM uint32_t UST12CLKE : 1; /*!< [4..4] USART12 Clock Enable */ + __IOM uint32_t UST13CLKE : 1; /*!< [5..5] USART13 Clock Enable */ + __IOM uint32_t I2C0CLKE : 1; /*!< [6..6] I2C0 (Inter-IC) Clock Enable */ + __IOM uint32_t I2C1CLKE : 1; /*!< [7..7] I2C1 (Inter-IC) Clock Enable */ + __IOM uint32_t I2C2CLKE : 1; /*!< [8..8] I2C2 (Inter-IC) Clock Enable */ + __IM uint32_t : 1; + __IOM uint32_t ADCLKE : 1; /*!< [10..10] ADC (Analog to Digital Converter) Clock Enable */ + __IM uint32_t : 1; + __IOM uint32_t CRCLKE : 1; /*!< [12..12] CRC (Cyclic Redundancy Check) Clock Enable */ + __IOM uint32_t LCDCLKE : 1; /*!< [13..13] LCD (LCD Driver) Clock Enable */ + __IM uint32_t : 2; + __IOM uint32_t WTCLKE : 1; /*!< [16..16] WT (Watch Timer) Clock Enable */ + __IOM uint32_t WDTCLKE : 1; /*!< [17..17] WDT (Watch-Dog Timer) Clock Enable */ + __IOM uint32_t LVICLKE : 1; /*!< [18..18] LVI (Low Voltage Indicator) Clock Enable */ + __IOM uint32_t FMCLKE : 1; /*!< [19..19] FMC (Flash Memory Controller) Clock Enable */ + } PPCLKEN2_b; + } ; + __IM uint32_t RESERVED1[6]; + + union { + __IOM uint32_t PPCLKSR; /*!< (@ 0x00000040) Peripheral Clock Selection Register */ + + struct { + __IOM uint32_t WDTCLK : 1; /*!< [0..0] WDT (Watch-Dog Timer) Clock Selection */ + __IM uint32_t : 2; + __IOM uint32_t WTCLK : 2; /*!< [4..3] WT (Watch Timer) Clock Selection */ + __IM uint32_t : 1; + __IOM uint32_t LCDCLK : 2; /*!< [7..6] LCD (LCD Driver) Clock Selection */ + __IM uint32_t : 9; + __IOM uint32_t T30CLK : 1; /*!< [17..17] TIMER30 Clock Selection */ + __IM uint32_t : 2; + __IOM uint32_t T20CLK : 1; /*!< [20..20] TIMER20 Clock Selection */ + } PPCLKSR_b; + } ; + __IM uint32_t RESERVED2[7]; + + union { + __IOM uint32_t PPRST1; /*!< (@ 0x00000060) Peripheral Reset Register 1 */ + + struct { + __IOM uint32_t PARST : 1; /*!< [0..0] Port A Reset */ + __IOM uint32_t PBRST : 1; /*!< [1..1] Port B Reset */ + __IOM uint32_t PCRST : 1; /*!< [2..2] Port C Reset */ + __IOM uint32_t PDRST : 1; /*!< [3..3] Port D Reset */ + __IOM uint32_t PERST : 1; /*!< [4..4] Port E Reset */ + __IOM uint32_t PFRST : 1; /*!< [5..5] Port F Reset */ + __IM uint32_t : 2; + __IOM uint32_t T13RST : 1; /*!< [8..8] TIMER13 Reset */ + __IOM uint32_t T14RST : 1; /*!< [9..9] TIMER14 Reset */ + __IOM uint32_t T15RST : 1; /*!< [10..10] TIMER15 Reset */ + __IOM uint32_t T16RST : 1; /*!< [11..11] TIMER16 Reset */ + __IM uint32_t : 4; + __IOM uint32_t T10RST : 1; /*!< [16..16] TIMER10 Reset */ + __IOM uint32_t T11RST : 1; /*!< [17..17] TIMER11 Reset */ + __IOM uint32_t T12RST : 1; /*!< [18..18] TIMER12 Reset */ + __IOM uint32_t T30RST : 1; /*!< [19..19] TIMER30 Reset */ + __IOM uint32_t T20RST : 1; /*!< [20..20] TIMER20 Reset */ + __IOM uint32_t T21RST : 1; /*!< [21..21] TIMER21 Reset */ + } PPRST1_b; + } ; + + union { + __IOM uint32_t PPRST2; /*!< (@ 0x00000064) Peripheral Reset Register 2 */ + + struct { + __IOM uint32_t UST10RST : 1; /*!< [0..0] USART10 Reset */ + __IOM uint32_t UST11RST : 1; /*!< [1..1] USART11 Reset */ + __IOM uint32_t UT0RST : 1; /*!< [2..2] UART0 Reset */ + __IOM uint32_t UT1RST : 1; /*!< [3..3] UART1 Reset */ + __IOM uint32_t UST12RST : 1; /*!< [4..4] USART12 Reset */ + __IOM uint32_t UST13RST : 1; /*!< [5..5] USART13 Reset */ + __IOM uint32_t I2C0RST : 1; /*!< [6..6] I2C0 (Inter-IC) Reset */ + __IOM uint32_t I2C1RST : 1; /*!< [7..7] I2C1 (Inter-IC) Reset */ + __IOM uint32_t I2C2RST : 1; /*!< [8..8] I2C2 (Inter-IC) Reset */ + __IM uint32_t : 1; + __IOM uint32_t ADRST : 1; /*!< [10..10] ADC (Analog to Digital Converter) Reset */ + __IM uint32_t : 1; + __IOM uint32_t CRRST : 1; /*!< [12..12] CRC (Cyclic Redundancy Check) Reset */ + __IOM uint32_t LCDRST : 1; /*!< [13..13] LCD (LCD Driver) Reset */ + __IM uint32_t : 2; + __IOM uint32_t WTRST : 1; /*!< [16..16] WT (Watch Timer) Reset */ + __IM uint32_t : 1; + __IOM uint32_t LVIRST : 1; /*!< [18..18] LVI (Low Voltage Indicator) Reset */ + __IOM uint32_t FMCRST : 1; /*!< [19..19] FMC (Flash Memory Controller) Reset */ + } PPRST2_b; + } ; + __IM uint32_t RESERVED3[6]; + + union { + __IOM uint32_t XTFLSR; /*!< (@ 0x00000080) X-tal Filter Selection Register */ + + struct { + __IOM uint32_t XRNS : 3; /*!< [2..0] External Main Oscillator Filter Selection */ + __IM uint32_t : 13; + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x9b37) */ + } XTFLSR_b; + } ; +} SCUCG_Type; /*!< Size = 132 (0x84) */ + + + +/* =========================================================================================================================== */ +/* ================ SCULV ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief System Control Unit: LVI and LVR (SCULV) + */ + +typedef struct { /*!< (@ 0x40005100) SCULV Structure */ + + union { + __IOM uint32_t LVICR; /*!< (@ 0x00000000) Low Voltage Indicator Control Register */ + + struct { + __IOM uint32_t LVIVS : 4; /*!< [3..0] LVI Voltage Selection */ + __IOM uint32_t LVIFLAG : 1; /*!< [4..4] LVI Interrupt Flag */ + __IOM uint32_t LVINTEN : 1; /*!< [5..5] LVI Interrupt Enable */ + __IM uint32_t : 1; + __IOM uint32_t LVIEN : 1; /*!< [7..7] LVI Enable */ + } LVICR_b; + } ; + + union { + __IOM uint32_t LVRCR; /*!< (@ 0x00000004) Low Voltage Reset Control Register */ + + struct { + __IOM uint32_t LVREN : 8; /*!< [7..0] LVR Enable */ + } LVRCR_b; + } ; +} SCULV_Type; /*!< Size = 8 (0x8) */ + + + +/* =========================================================================================================================== */ +/* ================ Pn ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port n (Pn) + */ + +typedef struct { /*!< (@ 0x50000000) Pn Structure */ + + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; +} Pn_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PA ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port A (PA) + */ + +typedef struct { /*!< (@ 0x30000000) PA Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PA_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + } PA_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PA_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + } PA_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PA_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PA_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PA_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + } PA_AFSR2_b; + } ; + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PA_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + } PA_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PA_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + } PA_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PA_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + } PA_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PA_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + } PA_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PA_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + } PA_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PA_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + } PA_OUTDMSK_b; + } ; + }; + + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; +} PA_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PB ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port B (PB) + */ + +typedef struct { /*!< (@ 0x30000100) PB Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PB_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } PB_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PB_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } PB_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PB_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PB_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PB_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } PB_AFSR2_b; + } ; + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PB_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PB_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PB_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } PB_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PB_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } PB_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PB_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } PB_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PB_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } PB_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PB_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } PB_OUTDMSK_b; + } ; + }; + + union { + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; + + union { + __IOM uint32_t PB_DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } PB_DBCR_b; + } ; + }; +} PB_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port C (PC) + */ + +typedef struct { /*!< (@ 0x30000200) PC Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PC_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + } PC_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PC_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + } PC_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PC_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PC_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PC_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + } PC_AFSR2_b; + } ; + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PC_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + } PC_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PC_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + } PC_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PC_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + } PC_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PC_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + } PC_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PC_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + } PC_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PC_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + } PC_OUTDMSK_b; + } ; + }; + + union { + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; + + union { + __IOM uint32_t PC_DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IM uint32_t : 12; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } PC_DBCR_b; + } ; + }; +} PC_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PD ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port D (PD) + */ + +typedef struct { /*!< (@ 0x30000300) PD Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PD_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + } PD_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PD_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + } PD_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PD_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PD_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + __IOM uint32_t PD_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PD_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + } PD_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PD_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + } PD_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PD_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + } PD_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PD_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + } PD_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PD_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + } PD_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PD_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + } PD_OUTDMSK_b; + } ; + }; + + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; +} PD_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PE ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port E (PE) + */ + +typedef struct { /*!< (@ 0x30000400) PE Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PE_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } PE_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PE_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } PE_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PE_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PE_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PE_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } PE_AFSR2_b; + } ; + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PE_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PE_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PE_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } PE_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PE_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } PE_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PE_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } PE_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PE_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } PE_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PE_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } PE_OUTDMSK_b; + } ; + }; + + union { + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; + + union { + __IOM uint32_t PE_DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IM uint32_t : 12; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } PE_DBCR_b; + } ; + }; +} PE_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ PF ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Port Control Unit & GPIO Port F (PF) + */ + +typedef struct { /*!< (@ 0x30000500) PF Structure */ + + union { + union { + __IOM uint32_t MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + __IOM uint32_t MODE12 : 2; /*!< [25..24] Port n Mode Selection 12 */ + __IOM uint32_t MODE13 : 2; /*!< [27..26] Port n Mode Selection 13 */ + __IOM uint32_t MODE14 : 2; /*!< [29..28] Port n Mode Selection 14 */ + __IOM uint32_t MODE15 : 2; /*!< [31..30] Port n Mode Selection 15 */ + } MOD_b; + } ; + + union { + __IOM uint32_t PF_MOD; /*!< (@ 0x00000000) Port n Mode Register */ + + struct { + __IOM uint32_t MODE0 : 2; /*!< [1..0] Port n Mode Selection 0 */ + __IOM uint32_t MODE1 : 2; /*!< [3..2] Port n Mode Selection 1 */ + __IOM uint32_t MODE2 : 2; /*!< [5..4] Port n Mode Selection 2 */ + __IOM uint32_t MODE3 : 2; /*!< [7..6] Port n Mode Selection 3 */ + __IOM uint32_t MODE4 : 2; /*!< [9..8] Port n Mode Selection 4 */ + __IOM uint32_t MODE5 : 2; /*!< [11..10] Port n Mode Selection 5 */ + __IOM uint32_t MODE6 : 2; /*!< [13..12] Port n Mode Selection 6 */ + __IOM uint32_t MODE7 : 2; /*!< [15..14] Port n Mode Selection 7 */ + __IOM uint32_t MODE8 : 2; /*!< [17..16] Port n Mode Selection 8 */ + __IOM uint32_t MODE9 : 2; /*!< [19..18] Port n Mode Selection 9 */ + __IOM uint32_t MODE10 : 2; /*!< [21..20] Port n Mode Selection 10 */ + __IOM uint32_t MODE11 : 2; /*!< [23..22] Port n Mode Selection 11 */ + } PF_MOD_b; + } ; + }; + + union { + union { + __IOM uint32_t TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + __IOM uint32_t TYP12 : 1; /*!< [12..12] Port n Output Type Selection 12 */ + __IOM uint32_t TYP13 : 1; /*!< [13..13] Port n Output Type Selection 13 */ + __IOM uint32_t TYP14 : 1; /*!< [14..14] Port n Output Type Selection 14 */ + __IOM uint32_t TYP15 : 1; /*!< [15..15] Port n Output Type Selection 15 */ + } TYP_b; + } ; + + union { + __IOM uint32_t PF_TYP; /*!< (@ 0x00000004) Port n Output Type Selection Register */ + + struct { + __IOM uint32_t TYP0 : 1; /*!< [0..0] Port n Output Type Selection 0 */ + __IOM uint32_t TYP1 : 1; /*!< [1..1] Port n Output Type Selection 1 */ + __IOM uint32_t TYP2 : 1; /*!< [2..2] Port n Output Type Selection 2 */ + __IOM uint32_t TYP3 : 1; /*!< [3..3] Port n Output Type Selection 3 */ + __IOM uint32_t TYP4 : 1; /*!< [4..4] Port n Output Type Selection 4 */ + __IOM uint32_t TYP5 : 1; /*!< [5..5] Port n Output Type Selection 5 */ + __IOM uint32_t TYP6 : 1; /*!< [6..6] Port n Output Type Selection 6 */ + __IOM uint32_t TYP7 : 1; /*!< [7..7] Port n Output Type Selection 7 */ + __IOM uint32_t TYP8 : 1; /*!< [8..8] Port n Output Type Selection 8 */ + __IOM uint32_t TYP9 : 1; /*!< [9..9] Port n Output Type Selection 9 */ + __IOM uint32_t TYP10 : 1; /*!< [10..10] Port n Output Type Selection 10 */ + __IOM uint32_t TYP11 : 1; /*!< [11..11] Port n Output Type Selection 11 */ + } PF_TYP_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } AFSR1_b; + } ; + + union { + __IOM uint32_t PF_AFSR1; /*!< (@ 0x00000008) Port n Alternative Function Selection Register + 1 */ + + struct { + __IOM uint32_t AFSR0 : 4; /*!< [3..0] Port n Alternative Function Selection 0 */ + __IOM uint32_t AFSR1 : 4; /*!< [7..4] Port n Alternative Function Selection 1 */ + __IOM uint32_t AFSR2 : 4; /*!< [11..8] Port n Alternative Function Selection 2 */ + __IOM uint32_t AFSR3 : 4; /*!< [15..12] Port n Alternative Function Selection 3 */ + __IOM uint32_t AFSR4 : 4; /*!< [19..16] Port n Alternative Function Selection 4 */ + __IOM uint32_t AFSR5 : 4; /*!< [23..20] Port n Alternative Function Selection 5 */ + __IOM uint32_t AFSR6 : 4; /*!< [27..24] Port n Alternative Function Selection 6 */ + __IOM uint32_t AFSR7 : 4; /*!< [31..28] Port n Alternative Function Selection 7 */ + } PF_AFSR1_b; + } ; + }; + + union { + union { + __IOM uint32_t AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + __IOM uint32_t AFSR12 : 4; /*!< [19..16] Port n Alternative Function Selection 12 */ + __IOM uint32_t AFSR13 : 4; /*!< [23..20] Port n Alternative Function Selection 13 */ + __IOM uint32_t AFSR14 : 4; /*!< [27..24] Port n Alternative Function Selection 14 */ + __IOM uint32_t AFSR15 : 4; /*!< [31..28] Port n Alternative Function Selection 15 */ + } AFSR2_b; + } ; + + union { + __IOM uint32_t PF_AFSR2; /*!< (@ 0x0000000C) Port n Alternative Function Selection Register + 2 */ + + struct { + __IOM uint32_t AFSR8 : 4; /*!< [3..0] Port n Alternative Function Selection 8 */ + __IOM uint32_t AFSR9 : 4; /*!< [7..4] Port n Alternative Function Selection 9 */ + __IOM uint32_t AFSR10 : 4; /*!< [11..8] Port n Alternative Function Selection 10 */ + __IOM uint32_t AFSR11 : 4; /*!< [15..12] Port n Alternative Function Selection 11 */ + } PF_AFSR2_b; + } ; + }; + + union { + union { + __IOM uint32_t PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + __IOM uint32_t PUPD12 : 2; /*!< [25..24] Port n Pull-Up/Down Resistor Selection 12 */ + __IOM uint32_t PUPD13 : 2; /*!< [27..26] Port n Pull-Up/Down Resistor Selection 13 */ + __IOM uint32_t PUPD14 : 2; /*!< [29..28] Port n Pull-Up/Down Resistor Selection 14 */ + __IOM uint32_t PUPD15 : 2; /*!< [31..30] Port n Pull-Up/Down Resistor Selection 15 */ + } PUPD_b; + } ; + + union { + __IOM uint32_t PF_PUPD; /*!< (@ 0x00000010) Port n Pull-Up/Down Resistor Selection Register */ + + struct { + __IOM uint32_t PUPD0 : 2; /*!< [1..0] Port n Pull-Up/Down Resistor Selection 0 */ + __IOM uint32_t PUPD1 : 2; /*!< [3..2] Port n Pull-Up/Down Resistor Selection 1 */ + __IOM uint32_t PUPD2 : 2; /*!< [5..4] Port n Pull-Up/Down Resistor Selection 2 */ + __IOM uint32_t PUPD3 : 2; /*!< [7..6] Port n Pull-Up/Down Resistor Selection 3 */ + __IOM uint32_t PUPD4 : 2; /*!< [9..8] Port n Pull-Up/Down Resistor Selection 4 */ + __IOM uint32_t PUPD5 : 2; /*!< [11..10] Port n Pull-Up/Down Resistor Selection 5 */ + __IOM uint32_t PUPD6 : 2; /*!< [13..12] Port n Pull-Up/Down Resistor Selection 6 */ + __IOM uint32_t PUPD7 : 2; /*!< [15..14] Port n Pull-Up/Down Resistor Selection 7 */ + __IOM uint32_t PUPD8 : 2; /*!< [17..16] Port n Pull-Up/Down Resistor Selection 8 */ + __IOM uint32_t PUPD9 : 2; /*!< [19..18] Port n Pull-Up/Down Resistor Selection 9 */ + __IOM uint32_t PUPD10 : 2; /*!< [21..20] Port n Pull-Up/Down Resistor Selection 10 */ + __IOM uint32_t PUPD11 : 2; /*!< [23..22] Port n Pull-Up/Down Resistor Selection 11 */ + } PF_PUPD_b; + } ; + }; + + union { + union { + __IM uint32_t INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + __IM uint32_t INDR12 : 1; /*!< [12..12] Port n Input Data 12 */ + __IM uint32_t INDR13 : 1; /*!< [13..13] Port n Input Data 13 */ + __IM uint32_t INDR14 : 1; /*!< [14..14] Port n Input Data 14 */ + __IM uint32_t INDR15 : 1; /*!< [15..15] Port n Input Data 15 */ + } INDR_b; + } ; + + union { + __IM uint32_t PF_INDR; /*!< (@ 0x00000014) Port n Input Data Register */ + + struct { + __IM uint32_t INDR0 : 1; /*!< [0..0] Port n Input Data 0 */ + __IM uint32_t INDR1 : 1; /*!< [1..1] Port n Input Data 1 */ + __IM uint32_t INDR2 : 1; /*!< [2..2] Port n Input Data 2 */ + __IM uint32_t INDR3 : 1; /*!< [3..3] Port n Input Data 3 */ + __IM uint32_t INDR4 : 1; /*!< [4..4] Port n Input Data 4 */ + __IM uint32_t INDR5 : 1; /*!< [5..5] Port n Input Data 5 */ + __IM uint32_t INDR6 : 1; /*!< [6..6] Port n Input Data 6 */ + __IM uint32_t INDR7 : 1; /*!< [7..7] Port n Input Data 7 */ + __IM uint32_t INDR8 : 1; /*!< [8..8] Port n Input Data 8 */ + __IM uint32_t INDR9 : 1; /*!< [9..9] Port n Input Data 9 */ + __IM uint32_t INDR10 : 1; /*!< [10..10] Port n Input Data 10 */ + __IM uint32_t INDR11 : 1; /*!< [11..11] Port n Input Data 11 */ + } PF_INDR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + __IOM uint32_t OUTDR12 : 1; /*!< [12..12] Port n Output Data 12 */ + __IOM uint32_t OUTDR13 : 1; /*!< [13..13] Port n Output Data 13 */ + __IOM uint32_t OUTDR14 : 1; /*!< [14..14] Port n Output Data 14 */ + __IOM uint32_t OUTDR15 : 1; /*!< [15..15] Port n Output Data 15 */ + } OUTDR_b; + } ; + + union { + __IOM uint32_t PF_OUTDR; /*!< (@ 0x00000018) Port n Output Data Register */ + + struct { + __IOM uint32_t OUTDR0 : 1; /*!< [0..0] Port n Output Data 0 */ + __IOM uint32_t OUTDR1 : 1; /*!< [1..1] Port n Output Data 1 */ + __IOM uint32_t OUTDR2 : 1; /*!< [2..2] Port n Output Data 2 */ + __IOM uint32_t OUTDR3 : 1; /*!< [3..3] Port n Output Data 3 */ + __IOM uint32_t OUTDR4 : 1; /*!< [4..4] Port n Output Data 4 */ + __IOM uint32_t OUTDR5 : 1; /*!< [5..5] Port n Output Data 5 */ + __IOM uint32_t OUTDR6 : 1; /*!< [6..6] Port n Output Data 6 */ + __IOM uint32_t OUTDR7 : 1; /*!< [7..7] Port n Output Data 7 */ + __IOM uint32_t OUTDR8 : 1; /*!< [8..8] Port n Output Data 8 */ + __IOM uint32_t OUTDR9 : 1; /*!< [9..9] Port n Output Data 9 */ + __IOM uint32_t OUTDR10 : 1; /*!< [10..10] Port n Output Data 10 */ + __IOM uint32_t OUTDR11 : 1; /*!< [11..11] Port n Output Data 11 */ + } PF_OUTDR_b; + } ; + }; + + union { + union { + __OM uint32_t BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + __OM uint32_t BSR12 : 1; /*!< [12..12] Port n Output Bit Set 12 */ + __OM uint32_t BSR13 : 1; /*!< [13..13] Port n Output Bit Set 13 */ + __OM uint32_t BSR14 : 1; /*!< [14..14] Port n Output Bit Set 14 */ + __OM uint32_t BSR15 : 1; /*!< [15..15] Port n Output Bit Set 15 */ + } BSR_b; + } ; + + union { + __OM uint32_t PF_BSR; /*!< (@ 0x0000001C) Port n Output Bit Set Register */ + + struct { + __OM uint32_t BSR0 : 1; /*!< [0..0] Port n Output Bit Set 0 */ + __OM uint32_t BSR1 : 1; /*!< [1..1] Port n Output Bit Set 1 */ + __OM uint32_t BSR2 : 1; /*!< [2..2] Port n Output Bit Set 2 */ + __OM uint32_t BSR3 : 1; /*!< [3..3] Port n Output Bit Set 3 */ + __OM uint32_t BSR4 : 1; /*!< [4..4] Port n Output Bit Set 4 */ + __OM uint32_t BSR5 : 1; /*!< [5..5] Port n Output Bit Set 5 */ + __OM uint32_t BSR6 : 1; /*!< [6..6] Port n Output Bit Set 6 */ + __OM uint32_t BSR7 : 1; /*!< [7..7] Port n Output Bit Set 7 */ + __OM uint32_t BSR8 : 1; /*!< [8..8] Port n Output Bit Set 8 */ + __OM uint32_t BSR9 : 1; /*!< [9..9] Port n Output Bit Set 9 */ + __OM uint32_t BSR10 : 1; /*!< [10..10] Port n Output Bit Set 10 */ + __OM uint32_t BSR11 : 1; /*!< [11..11] Port n Output Bit Set 11 */ + } PF_BSR_b; + } ; + }; + + union { + union { + __OM uint32_t BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + __OM uint32_t BCR12 : 1; /*!< [12..12] Port n Output Bit Clear 12 */ + __OM uint32_t BCR13 : 1; /*!< [13..13] Port n Output Bit Clear 13 */ + __OM uint32_t BCR14 : 1; /*!< [14..14] Port n Output Bit Clear 14 */ + __OM uint32_t BCR15 : 1; /*!< [15..15] Port n Output Bit Clear 15 */ + } BCR_b; + } ; + + union { + __OM uint32_t PF_BCR; /*!< (@ 0x00000020) Port n Output Bit Clear Register */ + + struct { + __OM uint32_t BCR0 : 1; /*!< [0..0] Port n Output Bit Clear 0 */ + __OM uint32_t BCR1 : 1; /*!< [1..1] Port n Output Bit Clear 1 */ + __OM uint32_t BCR2 : 1; /*!< [2..2] Port n Output Bit Clear 2 */ + __OM uint32_t BCR3 : 1; /*!< [3..3] Port n Output Bit Clear 3 */ + __OM uint32_t BCR4 : 1; /*!< [4..4] Port n Output Bit Clear 4 */ + __OM uint32_t BCR5 : 1; /*!< [5..5] Port n Output Bit Clear 5 */ + __OM uint32_t BCR6 : 1; /*!< [6..6] Port n Output Bit Clear 6 */ + __OM uint32_t BCR7 : 1; /*!< [7..7] Port n Output Bit Clear 7 */ + __OM uint32_t BCR8 : 1; /*!< [8..8] Port n Output Bit Clear 8 */ + __OM uint32_t BCR9 : 1; /*!< [9..9] Port n Output Bit Clear 9 */ + __OM uint32_t BCR10 : 1; /*!< [10..10] Port n Output Bit Clear 10 */ + __OM uint32_t BCR11 : 1; /*!< [11..11] Port n Output Bit Clear 11 */ + } PF_BCR_b; + } ; + }; + + union { + union { + __IOM uint32_t OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + __IOM uint32_t OUTDMSK12 : 1; /*!< [12..12] Port n Output Data Mask 12 */ + __IOM uint32_t OUTDMSK13 : 1; /*!< [13..13] Port n Output Data Mask 13 */ + __IOM uint32_t OUTDMSK14 : 1; /*!< [14..14] Port n Output Data Mask 14 */ + __IOM uint32_t OUTDMSK15 : 1; /*!< [15..15] Port n Output Data Mask 15 */ + } OUTDMSK_b; + } ; + + union { + __IOM uint32_t PF_OUTDMSK; /*!< (@ 0x00000024) Port n Output Data Mask Register */ + + struct { + __IOM uint32_t OUTDMSK0 : 1; /*!< [0..0] Port n Output Data Mask 0 */ + __IOM uint32_t OUTDMSK1 : 1; /*!< [1..1] Port n Output Data Mask 1 */ + __IOM uint32_t OUTDMSK2 : 1; /*!< [2..2] Port n Output Data Mask 2 */ + __IOM uint32_t OUTDMSK3 : 1; /*!< [3..3] Port n Output Data Mask 3 */ + __IOM uint32_t OUTDMSK4 : 1; /*!< [4..4] Port n Output Data Mask 4 */ + __IOM uint32_t OUTDMSK5 : 1; /*!< [5..5] Port n Output Data Mask 5 */ + __IOM uint32_t OUTDMSK6 : 1; /*!< [6..6] Port n Output Data Mask 6 */ + __IOM uint32_t OUTDMSK7 : 1; /*!< [7..7] Port n Output Data Mask 7 */ + __IOM uint32_t OUTDMSK8 : 1; /*!< [8..8] Port n Output Data Mask 8 */ + __IOM uint32_t OUTDMSK9 : 1; /*!< [9..9] Port n Output Data Mask 9 */ + __IOM uint32_t OUTDMSK10 : 1; /*!< [10..10] Port n Output Data Mask 10 */ + __IOM uint32_t OUTDMSK11 : 1; /*!< [11..11] Port n Output Data Mask 11 */ + } PF_OUTDMSK_b; + } ; + }; + + union { + __IOM uint32_t DBCR; /*!< (@ 0x00000028) Port n Debounce Control Register */ + + struct { + __IOM uint32_t DBEN0 : 1; /*!< [0..0] Port n Debounce Enable 0 */ + __IOM uint32_t DBEN1 : 1; /*!< [1..1] Port n Debounce Enable 1 */ + __IOM uint32_t DBEN2 : 1; /*!< [2..2] Port n Debounce Enable 2 */ + __IOM uint32_t DBEN3 : 1; /*!< [3..3] Port n Debounce Enable 3 */ + __IOM uint32_t DBEN4 : 1; /*!< [4..4] Port n Debounce Enable 4 */ + __IOM uint32_t DBEN5 : 1; /*!< [5..5] Port n Debounce Enable 5 */ + __IOM uint32_t DBEN6 : 1; /*!< [6..6] Port n Debounce Enable 6 */ + __IOM uint32_t DBEN7 : 1; /*!< [7..7] Port n Debounce Enable 7 */ + __IOM uint32_t DBEN8 : 1; /*!< [8..8] Port n Debounce Enable 8 */ + __IOM uint32_t DBEN9 : 1; /*!< [9..9] Port n Debounce Enable 9 */ + __IOM uint32_t DBEN10 : 1; /*!< [10..10] Port n Debounce Enable 10 */ + __IOM uint32_t DBEN11 : 1; /*!< [11..11] Port n Debounce Enable 11 */ + __IM uint32_t : 4; + __IOM uint32_t DBCLK : 3; /*!< [18..16] Port n Debounce Filter Sampling Clock Selection */ + } DBCR_b; + } ; +} PF_Type; /*!< Size = 44 (0x2c) */ + + + +/* =========================================================================================================================== */ +/* ================ FMC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Flash Memory Controller (FMC) + */ + +typedef struct { /*!< (@ 0x40001B00) FMC Structure */ + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000000) Flash Memory Address Register */ + + struct { + __IOM uint32_t ADDR : 32; /*!< [31..0] Flash Memory Address Pointer */ + } ADR_b; + } ; + + union { + __IOM uint32_t IDR1; /*!< (@ 0x00000004) Flash Memory Identification Register 1 */ + + struct { + __IOM uint32_t ID1 : 32; /*!< [31..0] Flash Memory Identification 1 */ + } IDR1_b; + } ; + + union { + __IOM uint32_t IDR2; /*!< (@ 0x00000008) Flash Memory Identification Register 2 */ + + struct { + __IOM uint32_t ID2 : 32; /*!< [31..0] Flash Memory Identification 2 */ + } IDR2_b; + } ; + + union { + __IOM uint32_t CR; /*!< (@ 0x0000000C) Flash Memory Control Register */ + + struct { + __IOM uint32_t FMOD : 4; /*!< [3..0] Flash Memory Operation Mode Selection */ + __IM uint32_t : 3; + __IM uint32_t FMBUSY : 1; /*!< [7..7] Flash Memory Operation Mode Busy */ + __IOM uint32_t FMKEY : 8; /*!< [15..8] Flash Memory Operation Area Selection */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x6c93) */ + } CR_b; + } ; + + union { + __IOM uint32_t BCR; /*!< (@ 0x00000010) Flash Memory Configure Area Bulk Erase Control + Register */ + + struct { + __IOM uint32_t CNF1BEN : 4; /*!< [3..0] Configure Option Page 1 Bulk Erase Enable */ + __IOM uint32_t CNF2BEN : 4; /*!< [7..4] Configure Option Page 2 Bulk Erase Enable */ + __IOM uint32_t CNF3BEN : 4; /*!< [11..8] Configure Option Page 3 Bulk Erase Enable */ + __IM uint32_t : 4; + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0xc1be) */ + } BCR_b; + } ; + + union { + __IOM uint32_t ERFLAG; /*!< (@ 0x00000014) Flash Memory Error Flag */ + + struct { + __IOM uint32_t FMOPFLAG : 1; /*!< [0..0] Error bit of Flash Memory Operation Procedure */ + __IOM uint32_t INSTFLAG : 1; /*!< [1..1] Don't care */ + } ERFLAG_b; + } ; + __IM uint32_t RESERVED[58]; + __OM uint32_t PAGEBUF; /*!< (@ 0x00000100) Flash Memory Page Buffer Area (128bytes/Accessed + by 32bit Word Only) */ +} FMC_Type; /*!< Size = 260 (0x104) */ + + + +/* =========================================================================================================================== */ +/* ================ WDT ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Watch-Dog Timer (WDT) + */ + +typedef struct { /*!< (@ 0x40001A00) WDT Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) Watch-Dog Timer Control Register */ + + struct { + __IOM uint32_t CLKDIV : 2; /*!< [1..0] Watch-Dog Timer Clock Divider */ + __IOM uint32_t UNFIEN : 1; /*!< [2..2] Watch-Dog Timer Underflow Interrupt Enable */ + __IOM uint32_t WINMIEN : 1; /*!< [3..3] Watch-Dog Timer Window Match Interrupt Enable */ + __IOM uint32_t CNTEN : 6; /*!< [9..4] Watch-Dog Timer Counter Enable */ + __IOM uint32_t RSTEN : 6; /*!< [15..10] Watch-Dog Timer Reset Enable */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0x5a69) */ + } CR_b; + } ; + + union { + __IOM uint32_t SR; /*!< (@ 0x00000004) Watch-Dog Timer Status Register */ + + struct { + __IOM uint32_t UNFIFLAG : 1; /*!< [0..0] Watch-Dog Timer Underflow Interrupt Flag */ + __IOM uint32_t WINMIFLAG : 1; /*!< [1..1] Watch-Dog Timer Window Match Interrupt Flag */ + __IM uint32_t : 5; + __IOM uint32_t DBGCNTEN : 1; /*!< [7..7] Watch-Dog Timer Counter Enable when the core is halted + in debug mode */ + } SR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000008) Watch-Dog Timer Data Register */ + + struct { + __IOM uint32_t DATA : 24; /*!< [23..0] Watch-Dog Timer Data */ + } DR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x0000000C) Watch-Dog Timer Counter Register */ + + struct { + __IM uint32_t CNT : 24; /*!< [23..0] Watch-Dog Timer Counter */ + } CNT_b; + } ; + + union { + __IOM uint32_t WINDR; /*!< (@ 0x00000010) Watch-Dog Timer Window Data Register */ + + struct { + __IOM uint32_t WDATA : 24; /*!< [23..0] Watch-Dog Timer Window Data */ + } WINDR_b; + } ; + + union { + __OM uint32_t CNTR; /*!< (@ 0x00000014) Watch-Dog Timer Counter Reload Register */ + + struct { + __OM uint32_t CNTR : 8; /*!< [7..0] Watch-Dog Timer Counter Reload */ + } CNTR_b; + } ; +} WDT_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ WT ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Watch Timer (WT) + */ + +typedef struct { /*!< (@ 0x40002000) WT Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) Watch Timer Control Register */ + + struct { + __IOM uint32_t WTCLR : 1; /*!< [0..0] Watch Timer Counter and Divider Clear */ + __IOM uint32_t WTIFLAG : 1; /*!< [1..1] Watch Timer Interrupt Flag */ + __IM uint32_t : 1; + __IOM uint32_t WTIEN : 1; /*!< [3..3] Watch Timer Interrupt Enable */ + __IOM uint32_t WTINTV : 2; /*!< [5..4] Watch Timer Interval Selection */ + __IM uint32_t : 1; + __IOM uint32_t WTEN : 1; /*!< [7..7] Watch Timer Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000004) Watch Timer Data Register */ + + struct { + __IOM uint32_t WTDATA : 12; /*!< [11..0] Watch Timer Data */ + } DR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000008) Watch Timer Counter Register */ + + struct { + __IM uint32_t CNT : 12; /*!< [11..0] Watch Timer Counter */ + } CNT_b; + } ; +} WT_Type; /*!< Size = 12 (0xc) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER1n ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 1n (TIMER1n) + */ + +typedef struct { /*!< (@ 0x51000000) TIMER1n Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER1n_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER10 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 10 (TIMER10) + */ + +typedef struct { /*!< (@ 0x40002100) TIMER10 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER10_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER11 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 11 (TIMER11) + */ + +typedef struct { /*!< (@ 0x40002200) TIMER11 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER11_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER12 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 12 (TIMER12) + */ + +typedef struct { /*!< (@ 0x40002300) TIMER12 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER12_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER13 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 13 (TIMER13) + */ + +typedef struct { /*!< (@ 0x40002700) TIMER13 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER13_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER14 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 14 (TIMER14) + */ + +typedef struct { /*!< (@ 0x40002800) TIMER14 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER14_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER15 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 15 (TIMER15) + */ + +typedef struct { /*!< (@ 0x40002900) TIMER15 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER15_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER16 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 16 (TIMER16) + */ + +typedef struct { /*!< (@ 0x40002A00) TIMER16 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER1n Control Register */ + + struct { + __IOM uint32_t T1nCLR : 1; /*!< [0..0] TIMER1n Counter and Prescaler Clear */ + __IOM uint32_t T1nPAU : 1; /*!< [1..1] TIMER1n Counter Temporary Pause Control */ + __IOM uint32_t T1nCIFLAG : 1; /*!< [2..2] TIMER1n Capture Interrupt Flag */ + __IOM uint32_t T1nMIFLAG : 1; /*!< [3..3] TIMER1n Match Interrupt Flag */ + __IOM uint32_t T1nCIEN : 1; /*!< [4..4] TIMER1n Capture Interrupt Enable */ + __IOM uint32_t T1nMIEN : 1; /*!< [5..5] TIMER1n Match Interrupt Enable */ + __IOM uint32_t T1nCPOL : 2; /*!< [7..6] TIMER1n Capture Polarity Selection */ + __IOM uint32_t T1nOPOL : 1; /*!< [8..8] TIMER1n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T1nECE : 1; /*!< [11..11] TIMER1n External Clock Edge Selection */ + __IOM uint32_t T1nMS : 2; /*!< [13..12] TIMER1n Operation Mode Selection */ + __IOM uint32_t T1nCLK : 1; /*!< [14..14] TIMER1n Clock Selection */ + __IOM uint32_t T1nEN : 1; /*!< [15..15] TIMER1n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER1n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER1n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER1n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER1n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER1n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER1n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER1n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER1n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER1n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER1n Counter */ + } CNT_b; + } ; +} TIMER16_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER2n ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 2n (TIMER2n) + */ + +typedef struct { /*!< (@ 0x52000000) TIMER2n Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER2n Control Register */ + + struct { + __IOM uint32_t T2nCLR : 1; /*!< [0..0] TIMER2n Counter and Prescaler Clear */ + __IOM uint32_t T2nPAU : 1; /*!< [1..1] TIMER2n Counter Temporary Pause Control */ + __IOM uint32_t T2nCIFLAG : 1; /*!< [2..2] TIMER2n Capture Interrupt Flag */ + __IOM uint32_t T2nMIFLAG : 1; /*!< [3..3] TIMER2n Match Interrupt Flag */ + __IOM uint32_t T2nCIEN : 1; /*!< [4..4] TIMER2n Capture Interrupt Enable */ + __IOM uint32_t T2nMIEN : 1; /*!< [5..5] TIMER2n Match Interrupt Enable */ + __IOM uint32_t T2nCPOL : 2; /*!< [7..6] TIMER2n Capture Polarity Selection */ + __IOM uint32_t T2nOPOL : 1; /*!< [8..8] TIMER2n Output Polarity Selection */ + __IOM uint32_t CAPSEL : 2; /*!< [10..9] TIMER2n Capture Signal Selection */ + __IOM uint32_t T2nECE : 1; /*!< [11..11] TIMER2n External Clock Edge Selection */ + __IOM uint32_t T2nMS : 2; /*!< [13..12] TIMER2n Operation Mode Selection */ + __IOM uint32_t T2nCLK : 1; /*!< [14..14] TIMER2n Clock Selection */ + __IOM uint32_t T2nEN : 1; /*!< [15..15] TIMER2n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER2n A Data Register */ + + struct { + __IOM uint32_t ADATA : 32; /*!< [31..0] TIMER2n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER2n B Data Register */ + + struct { + __IOM uint32_t BDATA : 32; /*!< [31..0] TIMER2n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER2n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 32; /*!< [31..0] TIMER2n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER2n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER2n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER2n Counter Register */ + + struct { + __IM uint32_t CNT : 32; /*!< [31..0] TIMER2n Counter */ + } CNT_b; + } ; +} TIMER2n_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER20 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 20 (TIMER20) + */ + +typedef struct { /*!< (@ 0x40002500) TIMER20 Structure */ + + union { + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER2n Control Register */ + + struct { + __IOM uint32_t T2nCLR : 1; /*!< [0..0] TIMER2n Counter and Prescaler Clear */ + __IOM uint32_t T2nPAU : 1; /*!< [1..1] TIMER2n Counter Temporary Pause Control */ + __IOM uint32_t T2nCIFLAG : 1; /*!< [2..2] TIMER2n Capture Interrupt Flag */ + __IOM uint32_t T2nMIFLAG : 1; /*!< [3..3] TIMER2n Match Interrupt Flag */ + __IOM uint32_t T2nCIEN : 1; /*!< [4..4] TIMER2n Capture Interrupt Enable */ + __IOM uint32_t T2nMIEN : 1; /*!< [5..5] TIMER2n Match Interrupt Enable */ + __IOM uint32_t T2nCPOL : 2; /*!< [7..6] TIMER2n Capture Polarity Selection */ + __IOM uint32_t T2nOPOL : 1; /*!< [8..8] TIMER2n Output Polarity Selection */ + __IOM uint32_t CAPSEL : 2; /*!< [10..9] TIMER2n Capture Signal Selection */ + __IOM uint32_t T2nECE : 1; /*!< [11..11] TIMER2n External Clock Edge Selection */ + __IOM uint32_t T2nMS : 2; /*!< [13..12] TIMER2n Operation Mode Selection */ + __IOM uint32_t T2nCLK : 1; /*!< [14..14] TIMER2n Clock Selection */ + __IOM uint32_t T2nEN : 1; /*!< [15..15] TIMER2n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t TIMER20_CR; /*!< (@ 0x00000000) TIMER2n Control Register */ + + struct { + __IOM uint32_t T2nCLR : 1; /*!< [0..0] TIMER2n Counter and Prescaler Clear */ + __IOM uint32_t T2nPAU : 1; /*!< [1..1] TIMER2n Counter Temporary Pause Control */ + __IOM uint32_t T2nCIFLAG : 1; /*!< [2..2] TIMER2n Capture Interrupt Flag */ + __IOM uint32_t T2nMIFLAG : 1; /*!< [3..3] TIMER2n Match Interrupt Flag */ + __IOM uint32_t T2nCIEN : 1; /*!< [4..4] TIMER2n Capture Interrupt Enable */ + __IOM uint32_t T2nMIEN : 1; /*!< [5..5] TIMER2n Match Interrupt Enable */ + __IOM uint32_t T2nCPOL : 2; /*!< [7..6] TIMER2n Capture Polarity Selection */ + __IOM uint32_t T2nOPOL : 1; /*!< [8..8] TIMER2n Output Polarity Selection */ + __IOM uint32_t CAPSEL : 2; /*!< [10..9] TIMER2n Capture Signal Selection */ + __IOM uint32_t T2nECE : 1; /*!< [11..11] TIMER2n External Clock Edge Selection */ + __IOM uint32_t T2nMS : 2; /*!< [13..12] TIMER2n Operation Mode Selection */ + __IOM uint32_t T2nCLK : 1; /*!< [14..14] TIMER2n Clock Selection */ + __IOM uint32_t T2nEN : 1; /*!< [15..15] TIMER2n Operation Enable */ + } TIMER20_CR_b; + } ; + }; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER2n A Data Register */ + + struct { + __IOM uint32_t ADATA : 32; /*!< [31..0] TIMER2n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER2n B Data Register */ + + struct { + __IOM uint32_t BDATA : 32; /*!< [31..0] TIMER2n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER2n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 32; /*!< [31..0] TIMER2n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER2n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER2n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER2n Counter Register */ + + struct { + __IM uint32_t CNT : 32; /*!< [31..0] TIMER2n Counter */ + } CNT_b; + } ; +} TIMER20_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER21 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 21 (TIMER21) + */ + +typedef struct { /*!< (@ 0x40002600) TIMER21 Structure */ + + union { + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER2n Control Register */ + + struct { + __IOM uint32_t T2nCLR : 1; /*!< [0..0] TIMER2n Counter and Prescaler Clear */ + __IOM uint32_t T2nPAU : 1; /*!< [1..1] TIMER2n Counter Temporary Pause Control */ + __IOM uint32_t T2nCIFLAG : 1; /*!< [2..2] TIMER2n Capture Interrupt Flag */ + __IOM uint32_t T2nMIFLAG : 1; /*!< [3..3] TIMER2n Match Interrupt Flag */ + __IOM uint32_t T2nCIEN : 1; /*!< [4..4] TIMER2n Capture Interrupt Enable */ + __IOM uint32_t T2nMIEN : 1; /*!< [5..5] TIMER2n Match Interrupt Enable */ + __IOM uint32_t T2nCPOL : 2; /*!< [7..6] TIMER2n Capture Polarity Selection */ + __IOM uint32_t T2nOPOL : 1; /*!< [8..8] TIMER2n Output Polarity Selection */ + __IOM uint32_t CAPSEL : 2; /*!< [10..9] TIMER2n Capture Signal Selection */ + __IOM uint32_t T2nECE : 1; /*!< [11..11] TIMER2n External Clock Edge Selection */ + __IOM uint32_t T2nMS : 2; /*!< [13..12] TIMER2n Operation Mode Selection */ + __IOM uint32_t T2nCLK : 1; /*!< [14..14] TIMER2n Clock Selection */ + __IOM uint32_t T2nEN : 1; /*!< [15..15] TIMER2n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t TIMER21_CR; /*!< (@ 0x00000000) TIMER2n Control Register */ + + struct { + __IOM uint32_t T2nCLR : 1; /*!< [0..0] TIMER2n Counter and Prescaler Clear */ + __IOM uint32_t T2nPAU : 1; /*!< [1..1] TIMER2n Counter Temporary Pause Control */ + __IOM uint32_t T2nCIFLAG : 1; /*!< [2..2] TIMER2n Capture Interrupt Flag */ + __IOM uint32_t T2nMIFLAG : 1; /*!< [3..3] TIMER2n Match Interrupt Flag */ + __IOM uint32_t T2nCIEN : 1; /*!< [4..4] TIMER2n Capture Interrupt Enable */ + __IOM uint32_t T2nMIEN : 1; /*!< [5..5] TIMER2n Match Interrupt Enable */ + __IOM uint32_t T2nCPOL : 2; /*!< [7..6] TIMER2n Capture Polarity Selection */ + __IOM uint32_t T2nOPOL : 1; /*!< [8..8] TIMER2n Output Polarity Selection */ + __IM uint32_t : 2; + __IOM uint32_t T2nECE : 1; /*!< [11..11] TIMER2n External Clock Edge Selection */ + __IOM uint32_t T2nMS : 2; /*!< [13..12] TIMER2n Operation Mode Selection */ + __IOM uint32_t T2nCLK : 1; /*!< [14..14] TIMER2n Clock Selection */ + __IOM uint32_t T2nEN : 1; /*!< [15..15] TIMER2n Operation Enable */ + } TIMER21_CR_b; + } ; + }; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000004) TIMER2n A Data Register */ + + struct { + __IOM uint32_t ADATA : 32; /*!< [31..0] TIMER2n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000008) TIMER2n B Data Register */ + + struct { + __IOM uint32_t BDATA : 32; /*!< [31..0] TIMER2n B Data */ + } BDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x0000000C) TIMER2n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 32; /*!< [31..0] TIMER2n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000010) TIMER2n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER2n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x00000014) TIMER2n Counter Register */ + + struct { + __IM uint32_t CNT : 32; /*!< [31..0] TIMER2n Counter */ + } CNT_b; + } ; +} TIMER21_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER3n ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 3n (TIMER3n) + */ + +typedef struct { /*!< (@ 0x53000000) TIMER3n Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER3n Control Register */ + + struct { + __IOM uint32_t T3nCLR : 1; /*!< [0..0] TIMER3n Counter and Prescaler Clear */ + __IOM uint32_t PMOC : 3; /*!< [3..1] Period Match Interrupt Occurrence Selection */ + __IOM uint32_t UPDT : 2; /*!< [5..4] Data Reload Time Selection */ + __IOM uint32_t T3nCPOL : 2; /*!< [7..6] TIMER3n Capture Polarity Selection */ + __IOM uint32_t DLYPOS : 1; /*!< [8..8] Delay Time Insertion Position */ + __IOM uint32_t DLYEN : 1; /*!< [9..9] Delay Time Insertion Enable */ + __IOM uint32_t FORCA : 1; /*!< [10..10] TIMER3n Output Mode Selection */ + __IOM uint32_t T3nECE : 1; /*!< [11..11] TIMER3n External Clock Edge Selection */ + __IOM uint32_t T3nMS : 2; /*!< [13..12] TIMER3n Operation Mode Selection */ + __IOM uint32_t T3nCLK : 1; /*!< [14..14] TIMER3n Clock Selection */ + __IOM uint32_t T3nEN : 1; /*!< [15..15] TIMER3n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t PDR; /*!< (@ 0x00000004) TIMER3n Period Data Register */ + + struct { + __IOM uint32_t PDATA : 16; /*!< [15..0] TIMER3n Period Data */ + } PDR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000008) TIMER3n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER3n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x0000000C) TIMER3n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER3n B Data */ + } BDR_b; + } ; + + union { + __IOM uint32_t CDR; /*!< (@ 0x00000010) TIMER3n C Data Register */ + + struct { + __IOM uint32_t CDATA : 16; /*!< [15..0] TIMER3n C Data */ + } CDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x00000014) TIMER3n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER3n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000018) TIMER3n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER3n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x0000001C) TIMER3n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER3n Counter */ + } CNT_b; + } ; + + union { + __IOM uint32_t OUTCR; /*!< (@ 0x00000020) TIMER3n Output Control Register */ + + struct { + __IOM uint32_t LVLCA : 1; /*!< [0..0] Configure PWM3nCA Output when Disable */ + __IOM uint32_t LVLBA : 1; /*!< [1..1] Configure PWM3nBA Output when Disable */ + __IOM uint32_t LVLAA : 1; /*!< [2..2] Configure PWM3nAA Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t LVLCB : 1; /*!< [4..4] Configure PWM3nCB Output when Disable */ + __IOM uint32_t LVLBB : 1; /*!< [5..5] Configure PWM3nBB Output when Disable */ + __IOM uint32_t LVLAB : 1; /*!< [6..6] Configure PWM3nAB Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t PCAOE : 1; /*!< [8..8] PWM3nCA Output Enable */ + __IOM uint32_t PBAOE : 1; /*!< [9..9] PWM3nBA Output Enable */ + __IOM uint32_t PAAOE : 1; /*!< [10..10] PWM3nAA Output Enable */ + __IOM uint32_t PCBOE : 1; /*!< [11..11] PWM3nCB Output Enable */ + __IOM uint32_t PBBOE : 1; /*!< [12..12] PWM3nBB Output Enable */ + __IOM uint32_t PABOE : 1; /*!< [13..13] PWM3nAB Output Enable */ + __IOM uint32_t POLA : 1; /*!< [14..14] PWM3nxA Output Polarity Selection */ + __IOM uint32_t POLB : 1; /*!< [15..15] PWM3nxB Output Polarity Selection */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key */ + } OUTCR_b; + } ; + + union { + __IOM uint32_t DLY; /*!< (@ 0x00000024) TIMER3n PWM Output Delay Data Register */ + + struct { + __IOM uint32_t DLY : 10; /*!< [9..0] TIMER3n PWM Delay Data */ + } DLY_b; + } ; + + union { + __IOM uint32_t INTCR; /*!< (@ 0x00000028) TIMER3n Interrupt Control Register */ + + struct { + __IOM uint32_t T3nCMIEN : 1; /*!< [0..0] TIMER3n C-ch Match Interrupt Enable */ + __IOM uint32_t T3nBMIEN : 1; /*!< [1..1] TIMER3n B-ch Match Interrupt Enable */ + __IOM uint32_t T3nAMIEN : 1; /*!< [2..2] TIMER3n A-ch Match Interrupt Enable */ + __IOM uint32_t T3nPMIEN : 1; /*!< [3..3] TIMER3n Period Match Interrupt Enable */ + __IOM uint32_t T3nBTIEN : 1; /*!< [4..4] TIMER3n Bottom Interrupt Enable */ + __IOM uint32_t T3nCIEN : 1; /*!< [5..5] TIMER3n Capture Interrupt Enable */ + __IOM uint32_t HIZIEN : 1; /*!< [6..6] TIMER3n Output High-Impedance Interrupt Enable */ + } INTCR_b; + } ; + + union { + __IOM uint32_t INTFLAG; /*!< (@ 0x0000002C) TIMER3n Interrupt Flag Register */ + + struct { + __IOM uint32_t T3nCMIFLAG : 1; /*!< [0..0] TIMER3n C-ch Match Interrupt Flag */ + __IOM uint32_t T3nBMIFLAG : 1; /*!< [1..1] TIMER3n B-ch Match Interrupt Flag */ + __IOM uint32_t T3nAMIFLAG : 1; /*!< [2..2] TIMER3n A-ch Match Interrupt Flag */ + __IOM uint32_t T3nPMIFLAG : 1; /*!< [3..3] TIMER3n Period Match Interrupt Flag */ + __IOM uint32_t T3nBTIFLAG : 1; /*!< [4..4] TIMER3n Bottom Interrupt Flag */ + __IOM uint32_t T3nCIFLAG : 1; /*!< [5..5] TIMER3n Capture Interrupt Flag */ + __IOM uint32_t HIZIFLAG : 1; /*!< [6..6] TIMER3n Output High-Impedance Interrupt Flag */ + } INTFLAG_b; + } ; + + union { + __IOM uint32_t HIZCR; /*!< (@ 0x00000030) TIMER3n High-Impedance Control Register */ + + struct { + __IOM uint32_t HIZCLR : 1; /*!< [0..0] High-Impedance Output Clear */ + __IM uint32_t HIZSTA : 1; /*!< [1..1] High-Impedance Status */ + __IOM uint32_t HEDGE : 1; /*!< [2..2] High-Impedance Edge Selection */ + __IM uint32_t : 1; + __IOM uint32_t HIZSW : 1; /*!< [4..4] High-Impedance Output Software Setting */ + __IM uint32_t : 2; + __IOM uint32_t HIZEN : 1; /*!< [7..7] PWM3nxA/PWM3nxB Output High-Impedance Enable */ + } HIZCR_b; + } ; + + union { + __IOM uint32_t ADTCR; /*!< (@ 0x00000034) TIMER3n ADC Trigger Control Register */ + + struct { + __IOM uint32_t T3nCMTG : 1; /*!< [0..0] Select TIMER3n C-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nBMTG : 1; /*!< [1..1] Select TIMER3n B-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nAMTG : 1; /*!< [2..2] Select TIMER3n A-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nPMTG : 1; /*!< [3..3] Select TIMER3n Period Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nBTTG : 1; /*!< [4..4] Select TIMER3n Bottom for ADC Trigger Signal Generator. */ + } ADTCR_b; + } ; + + union { + __IOM uint32_t ADTDR; /*!< (@ 0x00000038) TIMER3n ADC Trigger Generator Data Register */ + + struct { + __IOM uint32_t ADTDATA : 14; /*!< [13..0] TIMER3n ADC Trigger Generation Data */ + } ADTDR_b; + } ; +} TIMER3n_Type; /*!< Size = 60 (0x3c) */ + + + +/* =========================================================================================================================== */ +/* ================ TIMER30 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Timer/Counter 30 (TIMER30) + */ + +typedef struct { /*!< (@ 0x40002400) TIMER30 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) TIMER3n Control Register */ + + struct { + __IOM uint32_t T3nCLR : 1; /*!< [0..0] TIMER3n Counter and Prescaler Clear */ + __IOM uint32_t PMOC : 3; /*!< [3..1] Period Match Interrupt Occurrence Selection */ + __IOM uint32_t UPDT : 2; /*!< [5..4] Data Reload Time Selection */ + __IOM uint32_t T3nCPOL : 2; /*!< [7..6] TIMER3n Capture Polarity Selection */ + __IOM uint32_t DLYPOS : 1; /*!< [8..8] Delay Time Insertion Position */ + __IOM uint32_t DLYEN : 1; /*!< [9..9] Delay Time Insertion Enable */ + __IOM uint32_t FORCA : 1; /*!< [10..10] TIMER3n Output Mode Selection */ + __IOM uint32_t T3nECE : 1; /*!< [11..11] TIMER3n External Clock Edge Selection */ + __IOM uint32_t T3nMS : 2; /*!< [13..12] TIMER3n Operation Mode Selection */ + __IOM uint32_t T3nCLK : 1; /*!< [14..14] TIMER3n Clock Selection */ + __IOM uint32_t T3nEN : 1; /*!< [15..15] TIMER3n Operation Enable */ + } CR_b; + } ; + + union { + __IOM uint32_t PDR; /*!< (@ 0x00000004) TIMER3n Period Data Register */ + + struct { + __IOM uint32_t PDATA : 16; /*!< [15..0] TIMER3n Period Data */ + } PDR_b; + } ; + + union { + __IOM uint32_t ADR; /*!< (@ 0x00000008) TIMER3n A Data Register */ + + struct { + __IOM uint32_t ADATA : 16; /*!< [15..0] TIMER3n A Data */ + } ADR_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x0000000C) TIMER3n B Data Register */ + + struct { + __IOM uint32_t BDATA : 16; /*!< [15..0] TIMER3n B Data */ + } BDR_b; + } ; + + union { + __IOM uint32_t CDR; /*!< (@ 0x00000010) TIMER3n C Data Register */ + + struct { + __IOM uint32_t CDATA : 16; /*!< [15..0] TIMER3n C Data */ + } CDR_b; + } ; + + union { + __IM uint32_t CAPDR; /*!< (@ 0x00000014) TIMER3n Capture Data Register */ + + struct { + __IM uint32_t CAPD : 16; /*!< [15..0] TIMER3n Capture Data */ + } CAPDR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000018) TIMER3n Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 12; /*!< [11..0] TIMER3n Prescaler Data */ + } PREDR_b; + } ; + + union { + __IM uint32_t CNT; /*!< (@ 0x0000001C) TIMER3n Counter Register */ + + struct { + __IM uint32_t CNT : 16; /*!< [15..0] TIMER3n Counter */ + } CNT_b; + } ; + + union { + union { + __IOM uint32_t OUTCR; /*!< (@ 0x00000020) TIMER3n Output Control Register */ + + struct { + __IOM uint32_t LVLCA : 1; /*!< [0..0] Configure PWM3nCA Output when Disable */ + __IOM uint32_t LVLBA : 1; /*!< [1..1] Configure PWM3nBA Output when Disable */ + __IOM uint32_t LVLAA : 1; /*!< [2..2] Configure PWM3nAA Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t LVLCB : 1; /*!< [4..4] Configure PWM3nCB Output when Disable */ + __IOM uint32_t LVLBB : 1; /*!< [5..5] Configure PWM3nBB Output when Disable */ + __IOM uint32_t LVLAB : 1; /*!< [6..6] Configure PWM3nAB Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t PCAOE : 1; /*!< [8..8] PWM3nCA Output Enable */ + __IOM uint32_t PBAOE : 1; /*!< [9..9] PWM3nBA Output Enable */ + __IOM uint32_t PAAOE : 1; /*!< [10..10] PWM3nAA Output Enable */ + __IOM uint32_t PCBOE : 1; /*!< [11..11] PWM3nCB Output Enable */ + __IOM uint32_t PBBOE : 1; /*!< [12..12] PWM3nBB Output Enable */ + __IOM uint32_t PABOE : 1; /*!< [13..13] PWM3nAB Output Enable */ + __IOM uint32_t POLA : 1; /*!< [14..14] PWM3nxA Output Polarity Selection */ + __IOM uint32_t POLB : 1; /*!< [15..15] PWM3nxB Output Polarity Selection */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key */ + } OUTCR_b; + } ; + + union { + __IOM uint32_t T30_OUTCR; /*!< (@ 0x00000020) TIMER3n Output Control Register */ + + struct { + __IOM uint32_t LVLCA : 1; /*!< [0..0] Configure PWM3nCA Output when Disable */ + __IOM uint32_t LVLBA : 1; /*!< [1..1] Configure PWM3nBA Output when Disable */ + __IOM uint32_t LVLAA : 1; /*!< [2..2] Configure PWM3nAA Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t LVLCB : 1; /*!< [4..4] Configure PWM3nCB Output when Disable */ + __IOM uint32_t LVLBB : 1; /*!< [5..5] Configure PWM3nBB Output when Disable */ + __IOM uint32_t LVLAB : 1; /*!< [6..6] Configure PWM3nAB Output when Disable */ + __IM uint32_t : 1; + __IOM uint32_t PCAOE : 1; /*!< [8..8] PWM3nCA Output Enable */ + __IOM uint32_t PBAOE : 1; /*!< [9..9] PWM3nBA Output Enable */ + __IOM uint32_t PAAOE : 1; /*!< [10..10] PWM3nAA Output Enable */ + __IOM uint32_t PCBOE : 1; /*!< [11..11] PWM3nCB Output Enable */ + __IOM uint32_t PBBOE : 1; /*!< [12..12] PWM3nBB Output Enable */ + __IOM uint32_t PABOE : 1; /*!< [13..13] PWM3nAB Output Enable */ + __IOM uint32_t POLA : 1; /*!< [14..14] PWM3nxA Output Polarity Selection */ + __IOM uint32_t POLB : 1; /*!< [15..15] PWM3nxB Output Polarity Selection */ + __OM uint32_t WTIDKY : 16; /*!< [31..16] Write Identification Key (0xe06c) */ + } T30_OUTCR_b; + } ; + }; + + union { + __IOM uint32_t DLY; /*!< (@ 0x00000024) TIMER3n PWM Output Delay Data Register */ + + struct { + __IOM uint32_t DLY : 10; /*!< [9..0] TIMER3n PWM Delay Data */ + } DLY_b; + } ; + + union { + __IOM uint32_t INTCR; /*!< (@ 0x00000028) TIMER3n Interrupt Control Register */ + + struct { + __IOM uint32_t T3nCMIEN : 1; /*!< [0..0] TIMER3n C-ch Match Interrupt Enable */ + __IOM uint32_t T3nBMIEN : 1; /*!< [1..1] TIMER3n B-ch Match Interrupt Enable */ + __IOM uint32_t T3nAMIEN : 1; /*!< [2..2] TIMER3n A-ch Match Interrupt Enable */ + __IOM uint32_t T3nPMIEN : 1; /*!< [3..3] TIMER3n Period Match Interrupt Enable */ + __IOM uint32_t T3nBTIEN : 1; /*!< [4..4] TIMER3n Bottom Interrupt Enable */ + __IOM uint32_t T3nCIEN : 1; /*!< [5..5] TIMER3n Capture Interrupt Enable */ + __IOM uint32_t HIZIEN : 1; /*!< [6..6] TIMER3n Output High-Impedance Interrupt Enable */ + } INTCR_b; + } ; + + union { + __IOM uint32_t INTFLAG; /*!< (@ 0x0000002C) TIMER3n Interrupt Flag Register */ + + struct { + __IOM uint32_t T3nCMIFLAG : 1; /*!< [0..0] TIMER3n C-ch Match Interrupt Flag */ + __IOM uint32_t T3nBMIFLAG : 1; /*!< [1..1] TIMER3n B-ch Match Interrupt Flag */ + __IOM uint32_t T3nAMIFLAG : 1; /*!< [2..2] TIMER3n A-ch Match Interrupt Flag */ + __IOM uint32_t T3nPMIFLAG : 1; /*!< [3..3] TIMER3n Period Match Interrupt Flag */ + __IOM uint32_t T3nBTIFLAG : 1; /*!< [4..4] TIMER3n Bottom Interrupt Flag */ + __IOM uint32_t T3nCIFLAG : 1; /*!< [5..5] TIMER3n Capture Interrupt Flag */ + __IOM uint32_t HIZIFLAG : 1; /*!< [6..6] TIMER3n Output High-Impedance Interrupt Flag */ + } INTFLAG_b; + } ; + + union { + __IOM uint32_t HIZCR; /*!< (@ 0x00000030) TIMER3n High-Impedance Control Register */ + + struct { + __IOM uint32_t HIZCLR : 1; /*!< [0..0] High-Impedance Output Clear */ + __IM uint32_t HIZSTA : 1; /*!< [1..1] High-Impedance Status */ + __IOM uint32_t HEDGE : 1; /*!< [2..2] High-Impedance Edge Selection */ + __IM uint32_t : 1; + __IOM uint32_t HIZSW : 1; /*!< [4..4] High-Impedance Output Software Setting */ + __IM uint32_t : 2; + __IOM uint32_t HIZEN : 1; /*!< [7..7] PWM3nxA/PWM3nxB Output High-Impedance Enable */ + } HIZCR_b; + } ; + + union { + __IOM uint32_t ADTCR; /*!< (@ 0x00000034) TIMER3n ADC Trigger Control Register */ + + struct { + __IOM uint32_t T3nCMTG : 1; /*!< [0..0] Select TIMER3n C-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nBMTG : 1; /*!< [1..1] Select TIMER3n B-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nAMTG : 1; /*!< [2..2] Select TIMER3n A-ch Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nPMTG : 1; /*!< [3..3] Select TIMER3n Period Match for ADC Trigger Signal Generator. */ + __IOM uint32_t T3nBTTG : 1; /*!< [4..4] Select TIMER3n Bottom for ADC Trigger Signal Generator. */ + } ADTCR_b; + } ; + + union { + __IOM uint32_t ADTDR; /*!< (@ 0x00000038) TIMER3n ADC Trigger Generator Data Register */ + + struct { + __IOM uint32_t ADTDATA : 14; /*!< [13..0] TIMER3n ADC Trigger Generation Data */ + } ADTDR_b; + } ; +} TIMER30_Type; /*!< Size = 60 (0x3c) */ + + + +/* =========================================================================================================================== */ +/* ================ ADC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief 12 Bit A/D Converter (ADC) + */ + +typedef struct { /*!< (@ 0x40003000) ADC Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) A/D Converter Control Register */ + + struct { + __IOM uint32_t ADSEL : 4; /*!< [3..0] A/D Converter Channel Selection */ + __IOM uint32_t ADCIFLAG : 1; /*!< [4..4] ADC Interrupt Flag */ + __IOM uint32_t ADCIEN : 1; /*!< [5..5] ADC Interrupt Enable */ + __IM uint32_t : 2; + __IOM uint32_t ADST : 1; /*!< [8..8] ADC Conversion Start */ + __IM uint32_t : 1; + __IOM uint32_t REFSEL : 1; /*!< [10..10] ADC Reference Selection */ + __IOM uint32_t TRIG : 3; /*!< [13..11] ADC Trigger Signal Selection */ + __IM uint32_t : 1; + __IOM uint32_t ADCEN : 1; /*!< [15..15] ADC Module Enable */ + } CR_b; + } ; + + union { + __IM uint32_t DR; /*!< (@ 0x00000004) A/D Converter Data Register */ + + struct { + __IM uint32_t ADDATA : 12; /*!< [11..0] A/D Converter Result Data */ + } DR_b; + } ; + + union { + __IOM uint32_t PREDR; /*!< (@ 0x00000008) A/D Converter Prescaler Data Register */ + + struct { + __IOM uint32_t PRED : 5; /*!< [4..0] A/D Converter Prescaler Data */ + } PREDR_b; + } ; +} ADC_Type; /*!< Size = 12 (0xc) */ + + + +/* =========================================================================================================================== */ +/* ================ USART1n ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief USART 1n (USART + SPI) (USART1n) + */ + +typedef struct { /*!< (@ 0x54000000) USART1n Structure */ + + union { + __IOM uint32_t CR1; /*!< (@ 0x00000000) USART1n Control Register 1 */ + + struct { + __IOM uint32_t RXEn : 1; /*!< [0..0] Enable the receiver unit. */ + __IOM uint32_t TXEn : 1; /*!< [1..1] Enable the transmitter unit. */ + __IOM uint32_t WAKEIEn : 1; /*!< [2..2] Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode */ + __IOM uint32_t RXCIEn : 1; /*!< [3..3] Receive Complete Interrupt Enable */ + __IOM uint32_t TXCIEn : 1; /*!< [4..4] Transmit Complete Interrupt Enable */ + __IOM uint32_t DRIEn : 1; /*!< [5..5] Transmit Data Register Empty Interrupt Enable */ + __IOM uint32_t CPHAn : 1; /*!< [6..6] The CPOLn and this bit determine if data are sampled + on the leading or trailing edge of SCK (only SPI mode) */ + __IOM uint32_t CPOLn : 1; /*!< [7..7] Selects the Clock Polarity of ACK in Synchronous or SPI + mode */ + __IOM uint32_t ORDn : 1; /*!< [8..8] Selects the first data bit to be transmitted (only SPI + mode) */ + __IOM uint32_t USTnS : 3; /*!< [11..9] Selects the length of data bit in a frame when Asynchronous + or Synchronous mode */ + __IOM uint32_t USTnP : 2; /*!< [13..12] Selects Parity Generation and Check method (only UART + mode) */ + __IOM uint32_t USTnMS : 2; /*!< [15..14] USART1n Operation Mode Selection */ + } CR1_b; + } ; + + union { + __IOM uint32_t CR2; /*!< (@ 0x00000004) USART1n Control Register 2 */ + + struct { + __IOM uint32_t USTnRX8 : 1; /*!< [0..0] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnTX8 : 1; /*!< [1..1] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnSB : 1; /*!< [2..2] Selects the length of stop bit in Asynchronous or Synchronous + mode */ + __IOM uint32_t FXCHn : 1; /*!< [3..3] SPI1n port function exchange control (only SPI mode) */ + __IOM uint32_t USTnSSEN : 1; /*!< [4..4] This bit controls the SS1n pin operation (only SPI mode) */ + __IOM uint32_t DISSCKn : 1; /*!< [5..5] In synchronous mode operation, selects the waveform of + SCK1n output */ + __IOM uint32_t LOOPSn : 1; /*!< [6..6] Control the Loop Back mode of USART1n for test mode */ + __IOM uint32_t MASTERn : 1; /*!< [7..7] Selects master or slave in SPI1n or Synchronous mode + and controls the direction of SCK1n pin */ + __IOM uint32_t DBLSn : 1; /*!< [8..8] Selects receiver sampling rate (only UART mode) */ + __IOM uint32_t USTnEN : 1; /*!< [9..9] Activate USART1n Block */ + } CR2_b; + } ; + __IM uint32_t RESERVED; + + union { + __IOM uint32_t ST; /*!< (@ 0x0000000C) USART1n Status Register */ + + struct { + __IOM uint32_t PEn : 1; /*!< [0..0] This bit is set if the next character in the receive + buffer has a Parity Error while parity is checked */ + __IOM uint32_t FEn : 1; /*!< [1..1] This bit is set if the first stop bit of next character + in the receive buffer is detected as '0' */ + __IM uint32_t DORn : 1; /*!< [2..2] This bit is set if data OverRun takes place */ + __IM uint32_t : 1; + __IOM uint32_t WAKEn : 1; /*!< [4..4] Asynchronous Wake-Up Interrupt Flag */ + __IM uint32_t RXCn : 1; /*!< [5..5] Receive Complete Interrupt Flag */ + __IOM uint32_t TXCn : 1; /*!< [6..6] Transmit Complete Interrupt Flag */ + __IOM uint32_t DREn : 1; /*!< [7..7] Transmit Data Register Empty Interrupt Flag */ + } ST_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000010) USART1n Baud Rate Generation Register */ + + struct { + __IOM uint32_t BDATA : 12; /*!< [11..0] The value in this register is used to generate internal + baud rate in UART mode or to generate SCK clock in SPI + mode */ + } BDR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000014) USART1n Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The USART Transmit buffer and Receive buffer share the + same I/O address with this DATA register */ + } DR_b; + } ; +} USART1n_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ USART10 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief USART 10 (USART + SPI) (USART10) + */ + +typedef struct { /*!< (@ 0x40003800) USART10 Structure */ + + union { + __IOM uint32_t CR1; /*!< (@ 0x00000000) USART1n Control Register 1 */ + + struct { + __IOM uint32_t RXEn : 1; /*!< [0..0] Enable the receiver unit. */ + __IOM uint32_t TXEn : 1; /*!< [1..1] Enable the transmitter unit. */ + __IOM uint32_t WAKEIEn : 1; /*!< [2..2] Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode */ + __IOM uint32_t RXCIEn : 1; /*!< [3..3] Receive Complete Interrupt Enable */ + __IOM uint32_t TXCIEn : 1; /*!< [4..4] Transmit Complete Interrupt Enable */ + __IOM uint32_t DRIEn : 1; /*!< [5..5] Transmit Data Register Empty Interrupt Enable */ + __IOM uint32_t CPHAn : 1; /*!< [6..6] The CPOLn and this bit determine if data are sampled + on the leading or trailing edge of SCK (only SPI mode) */ + __IOM uint32_t CPOLn : 1; /*!< [7..7] Selects the Clock Polarity of ACK in Synchronous or SPI + mode */ + __IOM uint32_t ORDn : 1; /*!< [8..8] Selects the first data bit to be transmitted (only SPI + mode) */ + __IOM uint32_t USTnS : 3; /*!< [11..9] Selects the length of data bit in a frame when Asynchronous + or Synchronous mode */ + __IOM uint32_t USTnP : 2; /*!< [13..12] Selects Parity Generation and Check method (only UART + mode) */ + __IOM uint32_t USTnMS : 2; /*!< [15..14] USART1n Operation Mode Selection */ + } CR1_b; + } ; + + union { + __IOM uint32_t CR2; /*!< (@ 0x00000004) USART1n Control Register 2 */ + + struct { + __IOM uint32_t USTnRX8 : 1; /*!< [0..0] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnTX8 : 1; /*!< [1..1] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnSB : 1; /*!< [2..2] Selects the length of stop bit in Asynchronous or Synchronous + mode */ + __IOM uint32_t FXCHn : 1; /*!< [3..3] SPI1n port function exchange control (only SPI mode) */ + __IOM uint32_t USTnSSEN : 1; /*!< [4..4] This bit controls the SS1n pin operation (only SPI mode) */ + __IOM uint32_t DISSCKn : 1; /*!< [5..5] In synchronous mode operation, selects the waveform of + SCK1n output */ + __IOM uint32_t LOOPSn : 1; /*!< [6..6] Control the Loop Back mode of USART1n for test mode */ + __IOM uint32_t MASTERn : 1; /*!< [7..7] Selects master or slave in SPI1n or Synchronous mode + and controls the direction of SCK1n pin */ + __IOM uint32_t DBLSn : 1; /*!< [8..8] Selects receiver sampling rate (only UART mode) */ + __IOM uint32_t USTnEN : 1; /*!< [9..9] Activate USART1n Block */ + } CR2_b; + } ; + __IM uint32_t RESERVED; + + union { + __IOM uint32_t ST; /*!< (@ 0x0000000C) USART1n Status Register */ + + struct { + __IOM uint32_t PEn : 1; /*!< [0..0] This bit is set if the next character in the receive + buffer has a Parity Error while parity is checked */ + __IOM uint32_t FEn : 1; /*!< [1..1] This bit is set if the first stop bit of next character + in the receive buffer is detected as '0' */ + __IM uint32_t DORn : 1; /*!< [2..2] This bit is set if data OverRun takes place */ + __IM uint32_t : 1; + __IOM uint32_t WAKEn : 1; /*!< [4..4] Asynchronous Wake-Up Interrupt Flag */ + __IM uint32_t RXCn : 1; /*!< [5..5] Receive Complete Interrupt Flag */ + __IOM uint32_t TXCn : 1; /*!< [6..6] Transmit Complete Interrupt Flag */ + __IOM uint32_t DREn : 1; /*!< [7..7] Transmit Data Register Empty Interrupt Flag */ + } ST_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000010) USART1n Baud Rate Generation Register */ + + struct { + __IOM uint32_t BDATA : 12; /*!< [11..0] The value in this register is used to generate internal + baud rate in UART mode or to generate SCK clock in SPI + mode */ + } BDR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000014) USART1n Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The USART Transmit buffer and Receive buffer share the + same I/O address with this DATA register */ + } DR_b; + } ; +} USART10_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ USART11 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief USART 11 (USART + SPI) (USART11) + */ + +typedef struct { /*!< (@ 0x40003900) USART11 Structure */ + + union { + __IOM uint32_t CR1; /*!< (@ 0x00000000) USART1n Control Register 1 */ + + struct { + __IOM uint32_t RXEn : 1; /*!< [0..0] Enable the receiver unit. */ + __IOM uint32_t TXEn : 1; /*!< [1..1] Enable the transmitter unit. */ + __IOM uint32_t WAKEIEn : 1; /*!< [2..2] Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode */ + __IOM uint32_t RXCIEn : 1; /*!< [3..3] Receive Complete Interrupt Enable */ + __IOM uint32_t TXCIEn : 1; /*!< [4..4] Transmit Complete Interrupt Enable */ + __IOM uint32_t DRIEn : 1; /*!< [5..5] Transmit Data Register Empty Interrupt Enable */ + __IOM uint32_t CPHAn : 1; /*!< [6..6] The CPOLn and this bit determine if data are sampled + on the leading or trailing edge of SCK (only SPI mode) */ + __IOM uint32_t CPOLn : 1; /*!< [7..7] Selects the Clock Polarity of ACK in Synchronous or SPI + mode */ + __IOM uint32_t ORDn : 1; /*!< [8..8] Selects the first data bit to be transmitted (only SPI + mode) */ + __IOM uint32_t USTnS : 3; /*!< [11..9] Selects the length of data bit in a frame when Asynchronous + or Synchronous mode */ + __IOM uint32_t USTnP : 2; /*!< [13..12] Selects Parity Generation and Check method (only UART + mode) */ + __IOM uint32_t USTnMS : 2; /*!< [15..14] USART1n Operation Mode Selection */ + } CR1_b; + } ; + + union { + __IOM uint32_t CR2; /*!< (@ 0x00000004) USART1n Control Register 2 */ + + struct { + __IOM uint32_t USTnRX8 : 1; /*!< [0..0] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnTX8 : 1; /*!< [1..1] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnSB : 1; /*!< [2..2] Selects the length of stop bit in Asynchronous or Synchronous + mode */ + __IOM uint32_t FXCHn : 1; /*!< [3..3] SPI1n port function exchange control (only SPI mode) */ + __IOM uint32_t USTnSSEN : 1; /*!< [4..4] This bit controls the SS1n pin operation (only SPI mode) */ + __IOM uint32_t DISSCKn : 1; /*!< [5..5] In synchronous mode operation, selects the waveform of + SCK1n output */ + __IOM uint32_t LOOPSn : 1; /*!< [6..6] Control the Loop Back mode of USART1n for test mode */ + __IOM uint32_t MASTERn : 1; /*!< [7..7] Selects master or slave in SPI1n or Synchronous mode + and controls the direction of SCK1n pin */ + __IOM uint32_t DBLSn : 1; /*!< [8..8] Selects receiver sampling rate (only UART mode) */ + __IOM uint32_t USTnEN : 1; /*!< [9..9] Activate USART1n Block */ + } CR2_b; + } ; + __IM uint32_t RESERVED; + + union { + __IOM uint32_t ST; /*!< (@ 0x0000000C) USART1n Status Register */ + + struct { + __IOM uint32_t PEn : 1; /*!< [0..0] This bit is set if the next character in the receive + buffer has a Parity Error while parity is checked */ + __IOM uint32_t FEn : 1; /*!< [1..1] This bit is set if the first stop bit of next character + in the receive buffer is detected as '0' */ + __IM uint32_t DORn : 1; /*!< [2..2] This bit is set if data OverRun takes place */ + __IM uint32_t : 1; + __IOM uint32_t WAKEn : 1; /*!< [4..4] Asynchronous Wake-Up Interrupt Flag */ + __IM uint32_t RXCn : 1; /*!< [5..5] Receive Complete Interrupt Flag */ + __IOM uint32_t TXCn : 1; /*!< [6..6] Transmit Complete Interrupt Flag */ + __IOM uint32_t DREn : 1; /*!< [7..7] Transmit Data Register Empty Interrupt Flag */ + } ST_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000010) USART1n Baud Rate Generation Register */ + + struct { + __IOM uint32_t BDATA : 12; /*!< [11..0] The value in this register is used to generate internal + baud rate in UART mode or to generate SCK clock in SPI + mode */ + } BDR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000014) USART1n Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The USART Transmit buffer and Receive buffer share the + same I/O address with this DATA register */ + } DR_b; + } ; +} USART11_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ USART12 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief USART 12 (USART + SPI) (USART12) + */ + +typedef struct { /*!< (@ 0x40003A00) USART12 Structure */ + + union { + __IOM uint32_t CR1; /*!< (@ 0x00000000) USART1n Control Register 1 */ + + struct { + __IOM uint32_t RXEn : 1; /*!< [0..0] Enable the receiver unit. */ + __IOM uint32_t TXEn : 1; /*!< [1..1] Enable the transmitter unit. */ + __IOM uint32_t WAKEIEn : 1; /*!< [2..2] Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode */ + __IOM uint32_t RXCIEn : 1; /*!< [3..3] Receive Complete Interrupt Enable */ + __IOM uint32_t TXCIEn : 1; /*!< [4..4] Transmit Complete Interrupt Enable */ + __IOM uint32_t DRIEn : 1; /*!< [5..5] Transmit Data Register Empty Interrupt Enable */ + __IOM uint32_t CPHAn : 1; /*!< [6..6] The CPOLn and this bit determine if data are sampled + on the leading or trailing edge of SCK (only SPI mode) */ + __IOM uint32_t CPOLn : 1; /*!< [7..7] Selects the Clock Polarity of ACK in Synchronous or SPI + mode */ + __IOM uint32_t ORDn : 1; /*!< [8..8] Selects the first data bit to be transmitted (only SPI + mode) */ + __IOM uint32_t USTnS : 3; /*!< [11..9] Selects the length of data bit in a frame when Asynchronous + or Synchronous mode */ + __IOM uint32_t USTnP : 2; /*!< [13..12] Selects Parity Generation and Check method (only UART + mode) */ + __IOM uint32_t USTnMS : 2; /*!< [15..14] USART1n Operation Mode Selection */ + } CR1_b; + } ; + + union { + __IOM uint32_t CR2; /*!< (@ 0x00000004) USART1n Control Register 2 */ + + struct { + __IOM uint32_t USTnRX8 : 1; /*!< [0..0] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnTX8 : 1; /*!< [1..1] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnSB : 1; /*!< [2..2] Selects the length of stop bit in Asynchronous or Synchronous + mode */ + __IOM uint32_t FXCHn : 1; /*!< [3..3] SPI1n port function exchange control (only SPI mode) */ + __IOM uint32_t USTnSSEN : 1; /*!< [4..4] This bit controls the SS1n pin operation (only SPI mode) */ + __IOM uint32_t DISSCKn : 1; /*!< [5..5] In synchronous mode operation, selects the waveform of + SCK1n output */ + __IOM uint32_t LOOPSn : 1; /*!< [6..6] Control the Loop Back mode of USART1n for test mode */ + __IOM uint32_t MASTERn : 1; /*!< [7..7] Selects master or slave in SPI1n or Synchronous mode + and controls the direction of SCK1n pin */ + __IOM uint32_t DBLSn : 1; /*!< [8..8] Selects receiver sampling rate (only UART mode) */ + __IOM uint32_t USTnEN : 1; /*!< [9..9] Activate USART1n Block */ + } CR2_b; + } ; + __IM uint32_t RESERVED; + + union { + __IOM uint32_t ST; /*!< (@ 0x0000000C) USART1n Status Register */ + + struct { + __IOM uint32_t PEn : 1; /*!< [0..0] This bit is set if the next character in the receive + buffer has a Parity Error while parity is checked */ + __IOM uint32_t FEn : 1; /*!< [1..1] This bit is set if the first stop bit of next character + in the receive buffer is detected as '0' */ + __IM uint32_t DORn : 1; /*!< [2..2] This bit is set if data OverRun takes place */ + __IM uint32_t : 1; + __IOM uint32_t WAKEn : 1; /*!< [4..4] Asynchronous Wake-Up Interrupt Flag */ + __IM uint32_t RXCn : 1; /*!< [5..5] Receive Complete Interrupt Flag */ + __IOM uint32_t TXCn : 1; /*!< [6..6] Transmit Complete Interrupt Flag */ + __IOM uint32_t DREn : 1; /*!< [7..7] Transmit Data Register Empty Interrupt Flag */ + } ST_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000010) USART1n Baud Rate Generation Register */ + + struct { + __IOM uint32_t BDATA : 12; /*!< [11..0] The value in this register is used to generate internal + baud rate in UART mode or to generate SCK clock in SPI + mode */ + } BDR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000014) USART1n Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The USART Transmit buffer and Receive buffer share the + same I/O address with this DATA register */ + } DR_b; + } ; +} USART12_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ USART13 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief USART 13 (USART + SPI) (USART13) + */ + +typedef struct { /*!< (@ 0x40003B00) USART13 Structure */ + + union { + __IOM uint32_t CR1; /*!< (@ 0x00000000) USART1n Control Register 1 */ + + struct { + __IOM uint32_t RXEn : 1; /*!< [0..0] Enable the receiver unit. */ + __IOM uint32_t TXEn : 1; /*!< [1..1] Enable the transmitter unit. */ + __IOM uint32_t WAKEIEn : 1; /*!< [2..2] Asynchronous Wake-Up Interrupt Enable in Deep Sleep Mode */ + __IOM uint32_t RXCIEn : 1; /*!< [3..3] Receive Complete Interrupt Enable */ + __IOM uint32_t TXCIEn : 1; /*!< [4..4] Transmit Complete Interrupt Enable */ + __IOM uint32_t DRIEn : 1; /*!< [5..5] Transmit Data Register Empty Interrupt Enable */ + __IOM uint32_t CPHAn : 1; /*!< [6..6] The CPOLn and this bit determine if data are sampled + on the leading or trailing edge of SCK (only SPI mode) */ + __IOM uint32_t CPOLn : 1; /*!< [7..7] Selects the Clock Polarity of ACK in Synchronous or SPI + mode */ + __IOM uint32_t ORDn : 1; /*!< [8..8] Selects the first data bit to be transmitted (only SPI + mode) */ + __IOM uint32_t USTnS : 3; /*!< [11..9] Selects the length of data bit in a frame when Asynchronous + or Synchronous mode */ + __IOM uint32_t USTnP : 2; /*!< [13..12] Selects Parity Generation and Check method (only UART + mode) */ + __IOM uint32_t USTnMS : 2; /*!< [15..14] USART1n Operation Mode Selection */ + } CR1_b; + } ; + + union { + __IOM uint32_t CR2; /*!< (@ 0x00000004) USART1n Control Register 2 */ + + struct { + __IOM uint32_t USTnRX8 : 1; /*!< [0..0] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnTX8 : 1; /*!< [1..1] The ninth bit of data frame in Asynchronous or Synchronous + mode of operation */ + __IOM uint32_t USTnSB : 1; /*!< [2..2] Selects the length of stop bit in Asynchronous or Synchronous + mode */ + __IOM uint32_t FXCHn : 1; /*!< [3..3] SPI1n port function exchange control (only SPI mode) */ + __IOM uint32_t USTnSSEN : 1; /*!< [4..4] This bit controls the SS1n pin operation (only SPI mode) */ + __IOM uint32_t DISSCKn : 1; /*!< [5..5] In synchronous mode operation, selects the waveform of + SCK1n output */ + __IOM uint32_t LOOPSn : 1; /*!< [6..6] Control the Loop Back mode of USART1n for test mode */ + __IOM uint32_t MASTERn : 1; /*!< [7..7] Selects master or slave in SPI1n or Synchronous mode + and controls the direction of SCK1n pin */ + __IOM uint32_t DBLSn : 1; /*!< [8..8] Selects receiver sampling rate (only UART mode) */ + __IOM uint32_t USTnEN : 1; /*!< [9..9] Activate USART1n Block */ + } CR2_b; + } ; + __IM uint32_t RESERVED; + + union { + __IOM uint32_t ST; /*!< (@ 0x0000000C) USART1n Status Register */ + + struct { + __IOM uint32_t PEn : 1; /*!< [0..0] This bit is set if the next character in the receive + buffer has a Parity Error while parity is checked */ + __IOM uint32_t FEn : 1; /*!< [1..1] This bit is set if the first stop bit of next character + in the receive buffer is detected as '0' */ + __IM uint32_t DORn : 1; /*!< [2..2] This bit is set if data OverRun takes place */ + __IM uint32_t : 1; + __IOM uint32_t WAKEn : 1; /*!< [4..4] Asynchronous Wake-Up Interrupt Flag */ + __IM uint32_t RXCn : 1; /*!< [5..5] Receive Complete Interrupt Flag */ + __IOM uint32_t TXCn : 1; /*!< [6..6] Transmit Complete Interrupt Flag */ + __IOM uint32_t DREn : 1; /*!< [7..7] Transmit Data Register Empty Interrupt Flag */ + } ST_b; + } ; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000010) USART1n Baud Rate Generation Register */ + + struct { + __IOM uint32_t BDATA : 12; /*!< [11..0] The value in this register is used to generate internal + baud rate in UART mode or to generate SCK clock in SPI + mode */ + } BDR_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000014) USART1n Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The USART Transmit buffer and Receive buffer share the + same I/O address with this DATA register */ + } DR_b; + } ; +} USART13_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ UARTn ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief UART n (UARTn) + */ + +typedef struct { /*!< (@ 0x55000000) UARTn Structure */ + + union { + union { + __IM uint32_t RBR; /*!< (@ 0x00000000) UARTn Receive Data Buffer Register */ + + struct { + __IM uint32_t RBR : 8; /*!< [7..0] UARTn Receive Data Buffer */ + } RBR_b; + } ; + + union { + __OM uint32_t THR; /*!< (@ 0x00000000) UARTn Transmit Data Hold Register */ + + struct { + __OM uint32_t THR : 8; /*!< [7..0] UARTn Transmit Data Hold */ + } THR_b; + } ; + }; + + union { + __IOM uint32_t IER; /*!< (@ 0x00000004) UARTn Interrupt Enable Register */ + + struct { + __IOM uint32_t DRIE : 1; /*!< [0..0] Data Receive Interrupt Enable */ + __IOM uint32_t THREIE : 1; /*!< [1..1] Transmit Holding Register Empty Interrupt Enable */ + __IOM uint32_t RLSIE : 1; /*!< [2..2] Receiver Line Status Interrupt Enable */ + __IOM uint32_t TXEIE : 1; /*!< [3..3] Transmit Empty Interrupt Enable */ + } IER_b; + } ; + + union { + __IM uint32_t IIR; /*!< (@ 0x00000008) UARTn Interrupt ID Register */ + + struct { + __IM uint32_t IPEN : 1; /*!< [0..0] Interrupt Pending */ + __IM uint32_t IID : 2; /*!< [2..1] UARTn Interrupt ID */ + __IM uint32_t : 1; + __IM uint32_t TXE : 1; /*!< [4..4] Transmit Complete Interrupt Source ID */ + } IIR_b; + } ; + + union { + __IOM uint32_t LCR; /*!< (@ 0x0000000C) UARTn Line Control Register */ + + struct { + __IOM uint32_t DLEN : 2; /*!< [1..0] Data Length Selection */ + __IOM uint32_t STOPBIT : 1; /*!< [2..2] Stop Bit Length Selection */ + __IOM uint32_t PEN : 1; /*!< [3..3] Parity Bit Transfer Enable */ + __IOM uint32_t PARITY : 1; /*!< [4..4] Parity Mode and Parity Stuck Selection */ + __IOM uint32_t STICKP : 1; /*!< [5..5] Force Parity */ + __IOM uint32_t BREAK : 1; /*!< [6..6] Transfer Break Control */ + } LCR_b; + } ; + + union { + __IOM uint32_t DCR; /*!< (@ 0x00000010) UARTn Data Control Register */ + + struct { + __IM uint32_t : 2; + __IOM uint32_t TXINV : 1; /*!< [2..2] Transmit Data Inversion Selection */ + __IOM uint32_t RXINV : 1; /*!< [3..3] Receive Data Inversion Selection */ + __IOM uint32_t LBON : 1; /*!< [4..4] Local Loopback Test Mode Enable */ + } DCR_b; + } ; + + union { + __IM uint32_t LSR; /*!< (@ 0x00000014) UARTn Line Status Register */ + + struct { + __IM uint32_t DR : 1; /*!< [0..0] Data Receive Indicator */ + __IM uint32_t OE : 1; /*!< [1..1] Overrun Error Indicator */ + __IM uint32_t PE : 1; /*!< [2..2] Parity Error Indicator */ + __IM uint32_t FE : 1; /*!< [3..3] Frame Error Indicator */ + __IM uint32_t BI : 1; /*!< [4..4] Break Condition Indication */ + __IM uint32_t THRE : 1; /*!< [5..5] Transmit Hold Register Empty */ + __IM uint32_t TEMT : 1; /*!< [6..6] Transmit Register Empty */ + } LSR_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000020) UARTn Baud Rate Divisor Latch Register */ + + struct { + __IOM uint32_t BDR : 16; /*!< [15..0] Baud Rate Divider Latch Value */ + } BDR_b; + } ; + + union { + __IOM uint32_t BFR; /*!< (@ 0x00000024) UARTn Baud Rate Fractional Counter Value */ + + struct { + __IOM uint32_t BFR : 8; /*!< [7..0] Fraction Counter value */ + } BFR_b; + } ; + __IM uint32_t RESERVED1[2]; + + union { + __IOM uint32_t IDTR; /*!< (@ 0x00000030) UARTn Inter-frame Delay Time Register */ + + struct { + __IOM uint32_t WAITVAL : 3; /*!< [2..0] Wait Time Value */ + __IM uint32_t : 3; + __IOM uint32_t DMS : 1; /*!< [6..6] Data Bit Multi Sampling Enable */ + __IOM uint32_t SMS : 1; /*!< [7..7] Start Bit Multi Sampling Enable */ + } IDTR_b; + } ; +} UARTn_Type; /*!< Size = 52 (0x34) */ + + + +/* =========================================================================================================================== */ +/* ================ UART0 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief UART 0 (UART0) + */ + +typedef struct { /*!< (@ 0x40004000) UART0 Structure */ + + union { + union { + __IM uint32_t RBR; /*!< (@ 0x00000000) UARTn Receive Data Buffer Register */ + + struct { + __IM uint32_t RBR : 8; /*!< [7..0] UARTn Receive Data Buffer */ + } RBR_b; + } ; + + union { + __OM uint32_t THR; /*!< (@ 0x00000000) UARTn Transmit Data Hold Register */ + + struct { + __OM uint32_t THR : 8; /*!< [7..0] UARTn Transmit Data Hold */ + } THR_b; + } ; + }; + + union { + __IOM uint32_t IER; /*!< (@ 0x00000004) UARTn Interrupt Enable Register */ + + struct { + __IOM uint32_t DRIE : 1; /*!< [0..0] Data Receive Interrupt Enable */ + __IOM uint32_t THREIE : 1; /*!< [1..1] Transmit Holding Register Empty Interrupt Enable */ + __IOM uint32_t RLSIE : 1; /*!< [2..2] Receiver Line Status Interrupt Enable */ + __IOM uint32_t TXEIE : 1; /*!< [3..3] Transmit Empty Interrupt Enable */ + } IER_b; + } ; + + union { + __IM uint32_t IIR; /*!< (@ 0x00000008) UARTn Interrupt ID Register */ + + struct { + __IM uint32_t IPEN : 1; /*!< [0..0] Interrupt Pending */ + __IM uint32_t IID : 2; /*!< [2..1] UARTn Interrupt ID */ + __IM uint32_t : 1; + __IM uint32_t TXE : 1; /*!< [4..4] Transmit Complete Interrupt Source ID */ + } IIR_b; + } ; + + union { + __IOM uint32_t LCR; /*!< (@ 0x0000000C) UARTn Line Control Register */ + + struct { + __IOM uint32_t DLEN : 2; /*!< [1..0] Data Length Selection */ + __IOM uint32_t STOPBIT : 1; /*!< [2..2] Stop Bit Length Selection */ + __IOM uint32_t PEN : 1; /*!< [3..3] Parity Bit Transfer Enable */ + __IOM uint32_t PARITY : 1; /*!< [4..4] Parity Mode and Parity Stuck Selection */ + __IOM uint32_t STICKP : 1; /*!< [5..5] Force Parity */ + __IOM uint32_t BREAK : 1; /*!< [6..6] Transfer Break Control */ + } LCR_b; + } ; + + union { + __IOM uint32_t DCR; /*!< (@ 0x00000010) UARTn Data Control Register */ + + struct { + __IM uint32_t : 2; + __IOM uint32_t TXINV : 1; /*!< [2..2] Transmit Data Inversion Selection */ + __IOM uint32_t RXINV : 1; /*!< [3..3] Receive Data Inversion Selection */ + __IOM uint32_t LBON : 1; /*!< [4..4] Local Loopback Test Mode Enable */ + } DCR_b; + } ; + + union { + __IM uint32_t LSR; /*!< (@ 0x00000014) UARTn Line Status Register */ + + struct { + __IM uint32_t DR : 1; /*!< [0..0] Data Receive Indicator */ + __IM uint32_t OE : 1; /*!< [1..1] Overrun Error Indicator */ + __IM uint32_t PE : 1; /*!< [2..2] Parity Error Indicator */ + __IM uint32_t FE : 1; /*!< [3..3] Frame Error Indicator */ + __IM uint32_t BI : 1; /*!< [4..4] Break Condition Indication */ + __IM uint32_t THRE : 1; /*!< [5..5] Transmit Hold Register Empty */ + __IM uint32_t TEMT : 1; /*!< [6..6] Transmit Register Empty */ + } LSR_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000020) UARTn Baud Rate Divisor Latch Register */ + + struct { + __IOM uint32_t BDR : 16; /*!< [15..0] Baud Rate Divider Latch Value */ + } BDR_b; + } ; + + union { + __IOM uint32_t BFR; /*!< (@ 0x00000024) UARTn Baud Rate Fractional Counter Value */ + + struct { + __IOM uint32_t BFR : 8; /*!< [7..0] Fraction Counter value */ + } BFR_b; + } ; + __IM uint32_t RESERVED1[2]; + + union { + __IOM uint32_t IDTR; /*!< (@ 0x00000030) UARTn Inter-frame Delay Time Register */ + + struct { + __IOM uint32_t WAITVAL : 3; /*!< [2..0] Wait Time Value */ + __IM uint32_t : 3; + __IOM uint32_t DMS : 1; /*!< [6..6] Data Bit Multi Sampling Enable */ + __IOM uint32_t SMS : 1; /*!< [7..7] Start Bit Multi Sampling Enable */ + } IDTR_b; + } ; +} UART0_Type; /*!< Size = 52 (0x34) */ + + + +/* =========================================================================================================================== */ +/* ================ UART1 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief UART 1 (UART1) + */ + +typedef struct { /*!< (@ 0x40004100) UART1 Structure */ + + union { + union { + __IM uint32_t RBR; /*!< (@ 0x00000000) UARTn Receive Data Buffer Register */ + + struct { + __IM uint32_t RBR : 8; /*!< [7..0] UARTn Receive Data Buffer */ + } RBR_b; + } ; + + union { + __OM uint32_t THR; /*!< (@ 0x00000000) UARTn Transmit Data Hold Register */ + + struct { + __OM uint32_t THR : 8; /*!< [7..0] UARTn Transmit Data Hold */ + } THR_b; + } ; + }; + + union { + __IOM uint32_t IER; /*!< (@ 0x00000004) UARTn Interrupt Enable Register */ + + struct { + __IOM uint32_t DRIE : 1; /*!< [0..0] Data Receive Interrupt Enable */ + __IOM uint32_t THREIE : 1; /*!< [1..1] Transmit Holding Register Empty Interrupt Enable */ + __IOM uint32_t RLSIE : 1; /*!< [2..2] Receiver Line Status Interrupt Enable */ + __IOM uint32_t TXEIE : 1; /*!< [3..3] Transmit Empty Interrupt Enable */ + } IER_b; + } ; + + union { + __IM uint32_t IIR; /*!< (@ 0x00000008) UARTn Interrupt ID Register */ + + struct { + __IM uint32_t IPEN : 1; /*!< [0..0] Interrupt Pending */ + __IM uint32_t IID : 2; /*!< [2..1] UARTn Interrupt ID */ + __IM uint32_t : 1; + __IM uint32_t TXE : 1; /*!< [4..4] Transmit Complete Interrupt Source ID */ + } IIR_b; + } ; + + union { + __IOM uint32_t LCR; /*!< (@ 0x0000000C) UARTn Line Control Register */ + + struct { + __IOM uint32_t DLEN : 2; /*!< [1..0] Data Length Selection */ + __IOM uint32_t STOPBIT : 1; /*!< [2..2] Stop Bit Length Selection */ + __IOM uint32_t PEN : 1; /*!< [3..3] Parity Bit Transfer Enable */ + __IOM uint32_t PARITY : 1; /*!< [4..4] Parity Mode and Parity Stuck Selection */ + __IOM uint32_t STICKP : 1; /*!< [5..5] Force Parity */ + __IOM uint32_t BREAK : 1; /*!< [6..6] Transfer Break Control */ + } LCR_b; + } ; + + union { + __IOM uint32_t DCR; /*!< (@ 0x00000010) UARTn Data Control Register */ + + struct { + __IM uint32_t : 2; + __IOM uint32_t TXINV : 1; /*!< [2..2] Transmit Data Inversion Selection */ + __IOM uint32_t RXINV : 1; /*!< [3..3] Receive Data Inversion Selection */ + __IOM uint32_t LBON : 1; /*!< [4..4] Local Loopback Test Mode Enable */ + } DCR_b; + } ; + + union { + __IM uint32_t LSR; /*!< (@ 0x00000014) UARTn Line Status Register */ + + struct { + __IM uint32_t DR : 1; /*!< [0..0] Data Receive Indicator */ + __IM uint32_t OE : 1; /*!< [1..1] Overrun Error Indicator */ + __IM uint32_t PE : 1; /*!< [2..2] Parity Error Indicator */ + __IM uint32_t FE : 1; /*!< [3..3] Frame Error Indicator */ + __IM uint32_t BI : 1; /*!< [4..4] Break Condition Indication */ + __IM uint32_t THRE : 1; /*!< [5..5] Transmit Hold Register Empty */ + __IM uint32_t TEMT : 1; /*!< [6..6] Transmit Register Empty */ + } LSR_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IOM uint32_t BDR; /*!< (@ 0x00000020) UARTn Baud Rate Divisor Latch Register */ + + struct { + __IOM uint32_t BDR : 16; /*!< [15..0] Baud Rate Divider Latch Value */ + } BDR_b; + } ; + + union { + __IOM uint32_t BFR; /*!< (@ 0x00000024) UARTn Baud Rate Fractional Counter Value */ + + struct { + __IOM uint32_t BFR : 8; /*!< [7..0] Fraction Counter value */ + } BFR_b; + } ; + __IM uint32_t RESERVED1[2]; + + union { + __IOM uint32_t IDTR; /*!< (@ 0x00000030) UARTn Inter-frame Delay Time Register */ + + struct { + __IOM uint32_t WAITVAL : 3; /*!< [2..0] Wait Time Value */ + __IM uint32_t : 3; + __IOM uint32_t DMS : 1; /*!< [6..6] Data Bit Multi Sampling Enable */ + __IOM uint32_t SMS : 1; /*!< [7..7] Start Bit Multi Sampling Enable */ + } IDTR_b; + } ; +} UART1_Type; /*!< Size = 52 (0x34) */ + + + +/* =========================================================================================================================== */ +/* ================ I2Cn ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief I2C n (I2Cn) + */ + +typedef struct { /*!< (@ 0x56000000) I2Cn Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) I2Cn Control Register */ + + struct { + __IOM uint32_t STARTCn : 1; /*!< [0..0] START Condition Generation when I2Cn is master */ + __IOM uint32_t STOPCn : 1; /*!< [1..1] STOP Condition Generation when I2Cn is master */ + __IM uint32_t IMASTERn : 1; /*!< [2..2] Represent Operation Mode of I2Cn */ + __IOM uint32_t ACKnEN : 1; /*!< [3..3] Controls ACK signal generation at ninth SCL period */ + __IOM uint32_t I2CnIFLAG : 1; /*!< [4..4] I2Cn Interrupt Flag */ + __IOM uint32_t I2CnIEN : 1; /*!< [5..5] I2Cn Interrupt Enable */ + __IOM uint32_t TXDLYENBn : 1; /*!< [6..6] SDHR Register Control */ + __IOM uint32_t I2CnEN : 1; /*!< [7..7] Activate I2Cn Block by supplying */ + } CR_b; + } ; + + union { + __IOM uint32_t ST; /*!< (@ 0x00000004) I2Cn Status Register */ + + struct { + __IOM uint32_t RXACKn : 1; /*!< [0..0] This bit shows the state of ACK signal */ + __IM uint32_t TMODEn : 1; /*!< [1..1] This bit is used to indicate whether I2C is transmitter + or receiver */ + __IOM uint32_t BUSYn : 1; /*!< [2..2] This bit reflects bus status */ + __IOM uint32_t MLOSTn : 1; /*!< [3..3] This bit represents the result of bus arbitration in + master mode */ + __IOM uint32_t SSELn : 1; /*!< [4..4] This bit is set when I2C is addressed by other master */ + __IOM uint32_t STOPDn : 1; /*!< [5..5] This bit is set when a STOP condition is detected */ + __IOM uint32_t TENDn : 1; /*!< [6..6] This bit is set when 1-byte of data is transferred completely */ + __IOM uint32_t GCALLn : 1; /*!< [7..7] This bit has different meaning depending on whether I2C + is master or slave. When I2C is a master, this bit represents + whether it received AACK (address ACK) from slave. When + I2C is a slave, this bit is used to indicate general call. */ + } ST_b; + } ; + + union { + __IOM uint32_t SAR1; /*!< (@ 0x00000008) I2Cn Slave Address Register 1 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 1 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 1 in slave mode */ + } SAR1_b; + } ; + + union { + __IOM uint32_t SAR2; /*!< (@ 0x0000000C) I2Cn Slave Address Register 2 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 2 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 2 in slave mode */ + } SAR2_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000010) I2Cn Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The DR Transmit buffer and Receive buffer share the same + I/O address with this DATA register */ + } DR_b; + } ; + + union { + __IOM uint32_t SDHR; /*!< (@ 0x00000014) I2Cn SDA Hold Time Register */ + + struct { + __IOM uint32_t HLDT : 12; /*!< [11..0] This register is used to control SDA output timing from + the falling edge of SCL */ + } SDHR_b; + } ; + + union { + __IOM uint32_t SCLR; /*!< (@ 0x00000018) I2Cn SCL Low Period Register */ + + struct { + __IOM uint32_t SCLL : 12; /*!< [11..0] This register defines the low period of SCL in master + mode */ + } SCLR_b; + } ; + + union { + __IOM uint32_t SCHR; /*!< (@ 0x0000001C) I2Cn SCL High Period Register */ + + struct { + __IOM uint32_t SCLH : 12; /*!< [11..0] This register defines the high period of SCL in master + mode */ + } SCHR_b; + } ; +} I2Cn_Type; /*!< Size = 32 (0x20) */ + + + +/* =========================================================================================================================== */ +/* ================ I2C0 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief I2C 0 (I2C0) + */ + +typedef struct { /*!< (@ 0x40004800) I2C0 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) I2Cn Control Register */ + + struct { + __IOM uint32_t STARTCn : 1; /*!< [0..0] START Condition Generation when I2Cn is master */ + __IOM uint32_t STOPCn : 1; /*!< [1..1] STOP Condition Generation when I2Cn is master */ + __IM uint32_t IMASTERn : 1; /*!< [2..2] Represent Operation Mode of I2Cn */ + __IOM uint32_t ACKnEN : 1; /*!< [3..3] Controls ACK signal generation at ninth SCL period */ + __IOM uint32_t I2CnIFLAG : 1; /*!< [4..4] I2Cn Interrupt Flag */ + __IOM uint32_t I2CnIEN : 1; /*!< [5..5] I2Cn Interrupt Enable */ + __IOM uint32_t TXDLYENBn : 1; /*!< [6..6] SDHR Register Control */ + __IOM uint32_t I2CnEN : 1; /*!< [7..7] Activate I2Cn Block by supplying */ + } CR_b; + } ; + + union { + __IOM uint32_t ST; /*!< (@ 0x00000004) I2Cn Status Register */ + + struct { + __IOM uint32_t RXACKn : 1; /*!< [0..0] This bit shows the state of ACK signal */ + __IM uint32_t TMODEn : 1; /*!< [1..1] This bit is used to indicate whether I2C is transmitter + or receiver */ + __IOM uint32_t BUSYn : 1; /*!< [2..2] This bit reflects bus status */ + __IOM uint32_t MLOSTn : 1; /*!< [3..3] This bit represents the result of bus arbitration in + master mode */ + __IOM uint32_t SSELn : 1; /*!< [4..4] This bit is set when I2C is addressed by other master */ + __IOM uint32_t STOPDn : 1; /*!< [5..5] This bit is set when a STOP condition is detected */ + __IOM uint32_t TENDn : 1; /*!< [6..6] This bit is set when 1-byte of data is transferred completely */ + __IOM uint32_t GCALLn : 1; /*!< [7..7] This bit has different meaning depending on whether I2C + is master or slave. When I2C is a master, this bit represents + whether it received AACK (address ACK) from slave. When + I2C is a slave, this bit is used to indicate general call. */ + } ST_b; + } ; + + union { + __IOM uint32_t SAR1; /*!< (@ 0x00000008) I2Cn Slave Address Register 1 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 1 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 1 in slave mode */ + } SAR1_b; + } ; + + union { + __IOM uint32_t SAR2; /*!< (@ 0x0000000C) I2Cn Slave Address Register 2 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 2 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 2 in slave mode */ + } SAR2_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000010) I2Cn Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The DR Transmit buffer and Receive buffer share the same + I/O address with this DATA register */ + } DR_b; + } ; + + union { + __IOM uint32_t SDHR; /*!< (@ 0x00000014) I2Cn SDA Hold Time Register */ + + struct { + __IOM uint32_t HLDT : 12; /*!< [11..0] This register is used to control SDA output timing from + the falling edge of SCL */ + } SDHR_b; + } ; + + union { + __IOM uint32_t SCLR; /*!< (@ 0x00000018) I2Cn SCL Low Period Register */ + + struct { + __IOM uint32_t SCLL : 12; /*!< [11..0] This register defines the low period of SCL in master + mode */ + } SCLR_b; + } ; + + union { + __IOM uint32_t SCHR; /*!< (@ 0x0000001C) I2Cn SCL High Period Register */ + + struct { + __IOM uint32_t SCLH : 12; /*!< [11..0] This register defines the high period of SCL in master + mode */ + } SCHR_b; + } ; +} I2C0_Type; /*!< Size = 32 (0x20) */ + + + +/* =========================================================================================================================== */ +/* ================ I2C1 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief I2C 1 (I2C1) + */ + +typedef struct { /*!< (@ 0x40004900) I2C1 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) I2Cn Control Register */ + + struct { + __IOM uint32_t STARTCn : 1; /*!< [0..0] START Condition Generation when I2Cn is master */ + __IOM uint32_t STOPCn : 1; /*!< [1..1] STOP Condition Generation when I2Cn is master */ + __IM uint32_t IMASTERn : 1; /*!< [2..2] Represent Operation Mode of I2Cn */ + __IOM uint32_t ACKnEN : 1; /*!< [3..3] Controls ACK signal generation at ninth SCL period */ + __IOM uint32_t I2CnIFLAG : 1; /*!< [4..4] I2Cn Interrupt Flag */ + __IOM uint32_t I2CnIEN : 1; /*!< [5..5] I2Cn Interrupt Enable */ + __IOM uint32_t TXDLYENBn : 1; /*!< [6..6] SDHR Register Control */ + __IOM uint32_t I2CnEN : 1; /*!< [7..7] Activate I2Cn Block by supplying */ + } CR_b; + } ; + + union { + __IOM uint32_t ST; /*!< (@ 0x00000004) I2Cn Status Register */ + + struct { + __IOM uint32_t RXACKn : 1; /*!< [0..0] This bit shows the state of ACK signal */ + __IM uint32_t TMODEn : 1; /*!< [1..1] This bit is used to indicate whether I2C is transmitter + or receiver */ + __IOM uint32_t BUSYn : 1; /*!< [2..2] This bit reflects bus status */ + __IOM uint32_t MLOSTn : 1; /*!< [3..3] This bit represents the result of bus arbitration in + master mode */ + __IOM uint32_t SSELn : 1; /*!< [4..4] This bit is set when I2C is addressed by other master */ + __IOM uint32_t STOPDn : 1; /*!< [5..5] This bit is set when a STOP condition is detected */ + __IOM uint32_t TENDn : 1; /*!< [6..6] This bit is set when 1-byte of data is transferred completely */ + __IOM uint32_t GCALLn : 1; /*!< [7..7] This bit has different meaning depending on whether I2C + is master or slave. When I2C is a master, this bit represents + whether it received AACK (address ACK) from slave. When + I2C is a slave, this bit is used to indicate general call. */ + } ST_b; + } ; + + union { + __IOM uint32_t SAR1; /*!< (@ 0x00000008) I2Cn Slave Address Register 1 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 1 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 1 in slave mode */ + } SAR1_b; + } ; + + union { + __IOM uint32_t SAR2; /*!< (@ 0x0000000C) I2Cn Slave Address Register 2 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 2 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 2 in slave mode */ + } SAR2_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000010) I2Cn Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The DR Transmit buffer and Receive buffer share the same + I/O address with this DATA register */ + } DR_b; + } ; + + union { + __IOM uint32_t SDHR; /*!< (@ 0x00000014) I2Cn SDA Hold Time Register */ + + struct { + __IOM uint32_t HLDT : 12; /*!< [11..0] This register is used to control SDA output timing from + the falling edge of SCL */ + } SDHR_b; + } ; + + union { + __IOM uint32_t SCLR; /*!< (@ 0x00000018) I2Cn SCL Low Period Register */ + + struct { + __IOM uint32_t SCLL : 12; /*!< [11..0] This register defines the low period of SCL in master + mode */ + } SCLR_b; + } ; + + union { + __IOM uint32_t SCHR; /*!< (@ 0x0000001C) I2Cn SCL High Period Register */ + + struct { + __IOM uint32_t SCLH : 12; /*!< [11..0] This register defines the high period of SCL in master + mode */ + } SCHR_b; + } ; +} I2C1_Type; /*!< Size = 32 (0x20) */ + + + +/* =========================================================================================================================== */ +/* ================ I2C2 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief I2C 2 (I2C2) + */ + +typedef struct { /*!< (@ 0x40004A00) I2C2 Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) I2Cn Control Register */ + + struct { + __IOM uint32_t STARTCn : 1; /*!< [0..0] START Condition Generation when I2Cn is master */ + __IOM uint32_t STOPCn : 1; /*!< [1..1] STOP Condition Generation when I2Cn is master */ + __IM uint32_t IMASTERn : 1; /*!< [2..2] Represent Operation Mode of I2Cn */ + __IOM uint32_t ACKnEN : 1; /*!< [3..3] Controls ACK signal generation at ninth SCL period */ + __IOM uint32_t I2CnIFLAG : 1; /*!< [4..4] I2Cn Interrupt Flag */ + __IOM uint32_t I2CnIEN : 1; /*!< [5..5] I2Cn Interrupt Enable */ + __IOM uint32_t TXDLYENBn : 1; /*!< [6..6] SDHR Register Control */ + __IOM uint32_t I2CnEN : 1; /*!< [7..7] Activate I2Cn Block by supplying */ + } CR_b; + } ; + + union { + __IOM uint32_t ST; /*!< (@ 0x00000004) I2Cn Status Register */ + + struct { + __IOM uint32_t RXACKn : 1; /*!< [0..0] This bit shows the state of ACK signal */ + __IM uint32_t TMODEn : 1; /*!< [1..1] This bit is used to indicate whether I2C is transmitter + or receiver */ + __IOM uint32_t BUSYn : 1; /*!< [2..2] This bit reflects bus status */ + __IOM uint32_t MLOSTn : 1; /*!< [3..3] This bit represents the result of bus arbitration in + master mode */ + __IOM uint32_t SSELn : 1; /*!< [4..4] This bit is set when I2C is addressed by other master */ + __IOM uint32_t STOPDn : 1; /*!< [5..5] This bit is set when a STOP condition is detected */ + __IOM uint32_t TENDn : 1; /*!< [6..6] This bit is set when 1-byte of data is transferred completely */ + __IOM uint32_t GCALLn : 1; /*!< [7..7] This bit has different meaning depending on whether I2C + is master or slave. When I2C is a master, this bit represents + whether it received AACK (address ACK) from slave. When + I2C is a slave, this bit is used to indicate general call. */ + } ST_b; + } ; + + union { + __IOM uint32_t SAR1; /*!< (@ 0x00000008) I2Cn Slave Address Register 1 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 1 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 1 in slave mode */ + } SAR1_b; + } ; + + union { + __IOM uint32_t SAR2; /*!< (@ 0x0000000C) I2Cn Slave Address Register 2 */ + + struct { + __IOM uint32_t GCALLnEN : 1; /*!< [0..0] This bit decides whether I2Cn allows general call address + 2 or not in I2Cn slave mode */ + __IOM uint32_t SLAn : 7; /*!< [7..1] These bits configure the slave address 2 in slave mode */ + } SAR2_b; + } ; + + union { + __IOM uint32_t DR; /*!< (@ 0x00000010) I2Cn Data Register */ + + struct { + __IOM uint32_t DATA : 8; /*!< [7..0] The DR Transmit buffer and Receive buffer share the same + I/O address with this DATA register */ + } DR_b; + } ; + + union { + __IOM uint32_t SDHR; /*!< (@ 0x00000014) I2Cn SDA Hold Time Register */ + + struct { + __IOM uint32_t HLDT : 12; /*!< [11..0] This register is used to control SDA output timing from + the falling edge of SCL */ + } SDHR_b; + } ; + + union { + __IOM uint32_t SCLR; /*!< (@ 0x00000018) I2Cn SCL Low Period Register */ + + struct { + __IOM uint32_t SCLL : 12; /*!< [11..0] This register defines the low period of SCL in master + mode */ + } SCLR_b; + } ; + + union { + __IOM uint32_t SCHR; /*!< (@ 0x0000001C) I2Cn SCL High Period Register */ + + struct { + __IOM uint32_t SCLH : 12; /*!< [11..0] This register defines the high period of SCL in master + mode */ + } SCHR_b; + } ; +} I2C2_Type; /*!< Size = 32 (0x20) */ + + + +/* =========================================================================================================================== */ +/* ================ LCD ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief LCD Driver (LCD) + */ + +typedef struct { /*!< (@ 0x40005000) LCD Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) LCD Driver Control Register */ + + struct { + __IOM uint32_t DISP : 1; /*!< [0..0] LCD Display Control */ + __IOM uint32_t LCLK : 2; /*!< [2..1] LCD Clock Selection (When fLCD = 32.768kHz) */ + __IOM uint32_t DBS : 3; /*!< [5..3] LCD Duty and Bias Selection */ + __IOM uint32_t IRSEL : 2; /*!< [7..6] Internal LCD Bias Dividing Resistor Selection */ + } CR_b; + } ; + + union { + __IOM uint32_t BCCR; /*!< (@ 0x00000004) LCD Automatic Bias and Contrast Control Register */ + + struct { + __IOM uint32_t VLCD : 4; /*!< [3..0] VLC0 Voltage Control when the contrast is enabled */ + __IM uint32_t : 1; + __IOM uint32_t LCTEN : 1; /*!< [5..5] LCD Driver Contrast Control */ + __IM uint32_t : 2; + __IOM uint32_t BMSEL : 3; /*!< [10..8] 'Bias Mode A' Time Selection */ + __IM uint32_t : 1; + __IOM uint32_t LCDABC : 1; /*!< [12..12] LCD Automatic Bias Control */ + } BCCR_b; + } ; + __IM uint32_t RESERVED[2]; + __IOM uint8_t DR0; /*!< (@ 0x00000010) LCD Display Data Register 0 */ + __IOM uint8_t DR1; /*!< (@ 0x00000011) LCD Display Data Register 1 */ + __IOM uint8_t DR2; /*!< (@ 0x00000012) LCD Display Data Register 2 */ + __IOM uint8_t DR3; /*!< (@ 0x00000013) LCD Display Data Register 3 */ + __IOM uint8_t DR4; /*!< (@ 0x00000014) LCD Display Data Register 4 */ + __IOM uint8_t DR5; /*!< (@ 0x00000015) LCD Display Data Register 5 */ + __IOM uint8_t DR6; /*!< (@ 0x00000016) LCD Display Data Register 6 */ + __IOM uint8_t DR7; /*!< (@ 0x00000017) LCD Display Data Register 7 */ + __IOM uint8_t DR8; /*!< (@ 0x00000018) LCD Display Data Register 8 */ + __IOM uint8_t DR9; /*!< (@ 0x00000019) LCD Display Data Register 9 */ + __IOM uint8_t DR10; /*!< (@ 0x0000001A) LCD Display Data Register 10 */ + __IOM uint8_t DR11; /*!< (@ 0x0000001B) LCD Display Data Register 11 */ + __IOM uint8_t DR12; /*!< (@ 0x0000001C) LCD Display Data Register 12 */ + __IOM uint8_t DR13; /*!< (@ 0x0000001D) LCD Display Data Register 13 */ + __IOM uint8_t DR14; /*!< (@ 0x0000001E) LCD Display Data Register 14 */ + __IOM uint8_t DR15; /*!< (@ 0x0000001F) LCD Display Data Register 15 */ + __IOM uint8_t DR16; /*!< (@ 0x00000020) LCD Display Data Register 16 */ + __IOM uint8_t DR17; /*!< (@ 0x00000021) LCD Display Data Register 17 */ + __IOM uint8_t DR18; /*!< (@ 0x00000022) LCD Display Data Register 18 */ + __IOM uint8_t DR19; /*!< (@ 0x00000023) LCD Display Data Register 19 */ + __IOM uint8_t DR20; /*!< (@ 0x00000024) LCD Display Data Register 20 */ + __IOM uint8_t DR21; /*!< (@ 0x00000025) LCD Display Data Register 21 */ + __IOM uint8_t DR22; /*!< (@ 0x00000026) LCD Display Data Register 22 */ + __IOM uint8_t DR23; /*!< (@ 0x00000027) LCD Display Data Register 23 */ + __IOM uint8_t DR24; /*!< (@ 0x00000028) LCD Display Data Register 24 */ + __IOM uint8_t DR25; /*!< (@ 0x00000029) LCD Display Data Register 25 */ + __IOM uint8_t DR26; /*!< (@ 0x0000002A) LCD Display Data Register 26 */ + __IOM uint8_t DR27; /*!< (@ 0x0000002B) LCD Display Data Register 27 */ + __IOM uint8_t DR28; /*!< (@ 0x0000002C) LCD Display Data Register 28 */ + __IOM uint8_t DR29; /*!< (@ 0x0000002D) LCD Display Data Register 29 */ + __IOM uint8_t DR30; /*!< (@ 0x0000002E) LCD Display Data Register 30 */ + __IOM uint8_t DR31; /*!< (@ 0x0000002F) LCD Display Data Register 31 */ + __IOM uint8_t DR32; /*!< (@ 0x00000030) LCD Display Data Register 32 */ + __IOM uint8_t DR33; /*!< (@ 0x00000031) LCD Display Data Register 33 */ + __IOM uint8_t DR34; /*!< (@ 0x00000032) LCD Display Data Register 34 */ + __IOM uint8_t DR35; /*!< (@ 0x00000033) LCD Display Data Register 35 */ + __IOM uint8_t DR36; /*!< (@ 0x00000034) LCD Display Data Register 36 */ + __IOM uint8_t DR37; /*!< (@ 0x00000035) LCD Display Data Register 37 */ + __IOM uint8_t DR38; /*!< (@ 0x00000036) LCD Display Data Register 38 */ + __IOM uint8_t DR39; /*!< (@ 0x00000037) LCD Display Data Register 39 */ + __IOM uint8_t DR40; /*!< (@ 0x00000038) LCD Display Data Register 40 */ + __IOM uint8_t DR41; /*!< (@ 0x00000039) LCD Display Data Register 41 */ + __IOM uint8_t DR42; /*!< (@ 0x0000003A) LCD Display Data Register 42 */ + __IOM uint8_t DR43; /*!< (@ 0x0000003B) LCD Display Data Register 43 */ +} LCD_Type; /*!< Size = 60 (0x3c) */ + + + +/* =========================================================================================================================== */ +/* ================ CRC ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Cyclic Redundancy Check and Checksum (CRC) + */ + +typedef struct { /*!< (@ 0x30001000) CRC Structure */ + + union { + __IOM uint32_t CR; /*!< (@ 0x00000000) CRC/Checksum Control Register */ + + struct { + __IOM uint32_t CRCRUN : 1; /*!< [0..0] CRC/Checksum Start Control and Busy */ + __IOM uint32_t FIRSTBS : 1; /*!< [1..1] First Shifted-in Selection (CRC only) */ + __IM uint32_t : 1; + __IOM uint32_t SARINC : 1; /*!< [3..3] CRC/Checksum Start Address Auto Increment Control (User + mode only) */ + __IOM uint32_t POLYS : 1; /*!< [4..4] Polynomial Selection (CRC only) */ + __IOM uint32_t MDSEL : 1; /*!< [5..5] CRC/Checksum Selection */ + __IOM uint32_t RLTCLR : 1; /*!< [6..6] CRC/Checksum Result Data Register (CRCRLT) Initialization */ + __IOM uint32_t MODS : 1; /*!< [7..7] User/Auto Mode Selection */ + } CR_b; + } ; + + union { + __IOM uint32_t IN; /*!< (@ 0x00000004) CRC/Checksum Input Data Register */ + + struct { + __IOM uint32_t INDATA : 32; /*!< [31..0] CRC Input Data */ + } IN_b; + } ; + + union { + __IM uint32_t RLT; /*!< (@ 0x00000008) CRC/Checksum Result Data Register */ + + struct { + __IM uint32_t RLTDATA : 16; /*!< [15..0] CRC Result Data */ + } RLT_b; + } ; + + union { + __IOM uint32_t INIT; /*!< (@ 0x0000000C) CRC/Checksum Initial Data Register */ + + struct { + __IOM uint32_t INIDATA : 16; /*!< [15..0] CRC Initial Data */ + } INIT_b; + } ; + + union { + __IOM uint32_t SADR; /*!< (@ 0x00000010) CRC/Checksum Start Address Register */ + + struct { + __IM uint32_t : 2; + __IOM uint32_t SADR : 30; /*!< [31..2] CRC Start Address */ + } SADR_b; + } ; + + union { + __IOM uint32_t EADR; /*!< (@ 0x00000014) CRC/Checksum End Address Register */ + + struct { + __IM uint32_t : 2; + __IOM uint32_t EADR : 30; /*!< [31..2] CRC End Address */ + } EADR_b; + } ; +} CRC_Type; /*!< Size = 24 (0x18) */ + + + +/* =========================================================================================================================== */ +/* ================ COA0 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Configuration Option Area Page 0: System Related Trimming Value (COA0) + */ + +typedef struct { /*!< (@ 0x1FFFF000) COA0 Structure */ + __IM uint32_t TRIM00; /*!< (@ 0x00000000) System Related Trim Value 00 */ + __IM uint32_t TRIM01; /*!< (@ 0x00000004) System Related Trim Value 01 */ + __IM uint32_t TRIM02; /*!< (@ 0x00000008) System Related Trim Value 02 */ + __IM uint32_t TRIM03; /*!< (@ 0x0000000C) System Related Trim Value 03 */ + __IM uint32_t TRIM04; /*!< (@ 0x00000010) System Related Trim Value 04 */ + __IM uint32_t TRIM05; /*!< (@ 0x00000014) System Related Trim Value 05 */ + __IM uint32_t TRIM06; /*!< (@ 0x00000018) System Related Trim Value 06 */ + __IM uint32_t TRIM07; /*!< (@ 0x0000001C) System Related Trim Value 07 */ + __IM uint32_t TRIM08; /*!< (@ 0x00000020) System Related Trim Value 08 */ + __IM uint32_t TRIM09; /*!< (@ 0x00000024) System Related Trim Value 09 */ + __IM uint32_t TRIM10; /*!< (@ 0x00000028) System Related Trim Value 10 */ + __IM uint32_t TRIM11; /*!< (@ 0x0000002C) System Related Trim Value 11 */ + __IM uint32_t TRIM12; /*!< (@ 0x00000030) System Related Trim Value 12 */ + __IM uint32_t TRIM13; /*!< (@ 0x00000034) System Related Trim Value 13 */ + __IM uint32_t TRIM14; /*!< (@ 0x00000038) System Related Trim Value 14 */ + __IM uint32_t TRIM15; /*!< (@ 0x0000003C) System Related Trim Value 15 */ + __IM uint32_t TRIM16; /*!< (@ 0x00000040) System Related Trim Value 16 */ + __IM uint32_t TRIM17; /*!< (@ 0x00000044) System Related Trim Value 17 */ + __IM uint32_t TRIM18; /*!< (@ 0x00000048) System Related Trim Value 18 */ + __IM uint32_t TRIM19; /*!< (@ 0x0000004C) System Related Trim Value 19 */ + + union { + __IM uint32_t CONF_MF1CNFIG; /*!< (@ 0x00000050) Manufacture Information 1 */ + + struct { + __IM uint32_t XYCDN : 32; /*!< [31..0] X and Y Coordinates */ + } CONF_MF1CNFIG_b; + } ; + + union { + __IM uint32_t CONF_MF2CNFIG; /*!< (@ 0x00000054) Manufacture Information 2 */ + + struct { + __IM uint32_t WAFNO : 8; /*!< [7..0] Wafer Number */ + __IM uint32_t LOTNO : 24; /*!< [31..8] Lot Number [23:0] */ + } CONF_MF2CNFIG_b; + } ; + + union { + __IM uint32_t CONF_MF3CNFIG; /*!< (@ 0x00000058) Manufacture Information 3 */ + + struct { + __IM uint32_t LOTNO : 32; /*!< [31..0] Lot Number [55:24] */ + } CONF_MF3CNFIG_b; + } ; + + union { + __IM uint32_t CONF_MF4CNFIG; /*!< (@ 0x0000005C) Manufacture Information 4 */ + + struct { + __IM uint32_t LOTNO : 32; /*!< [31..0] Lot Number [87:56] */ + } CONF_MF4CNFIG_b; + } ; + __IM uint32_t TRIM24; /*!< (@ 0x00000060) System Related Trim Value 24 */ + __IM uint32_t TRIM25; /*!< (@ 0x00000064) System Related Trim Value 25 */ + __IM uint32_t TRIM26; /*!< (@ 0x00000068) System Related Trim Value 26 */ + __IM uint32_t TRIM27; /*!< (@ 0x0000006C) System Related Trim Value 27 */ + __IM uint32_t TRIM28; /*!< (@ 0x00000070) System Related Trim Value 28 */ + __IM uint32_t TRIM29; /*!< (@ 0x00000074) System Related Trim Value 29 */ + __IM uint32_t TRIM30; /*!< (@ 0x00000078) System Related Trim Value 30 */ + __IM uint32_t TRIM31; /*!< (@ 0x0000007C) System Related Trim Value 31 */ +} COA0_Type; /*!< Size = 128 (0x80) */ + + + +/* =========================================================================================================================== */ +/* ================ COA1 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Configuration Option Area Page 1: User Option (COA1) + */ + +typedef struct { /*!< (@ 0x1FFFF200) COA1 Structure */ + + union { + __IM uint32_t RPCNFIG; /*!< (@ 0x00000000) Configuration for Read Protection */ + + struct { + __IM uint32_t READP : 2; /*!< [1..0] Read Protection for Flash Memory Area */ + __IM uint32_t : 2; + __IM uint32_t WTIDKY : 28; /*!< [31..4] Write Identification Key (0x69c8a27) */ + } RPCNFIG_b; + } ; + __IM uint32_t RESERVED[2]; + + union { + __IM uint32_t WDTCNFIG; /*!< (@ 0x0000000C) Configuration for Watch-Dog Timer */ + + struct { + __IM uint32_t WCNTMF : 1; /*!< [0..0] Watch-Dog Timer Counter Enable Master Configuration */ + __IM uint32_t WRSTMF : 1; /*!< [1..1] Watch-Dog Timer Reset Enable Master Configuration */ + __IM uint32_t WCLKMF : 1; /*!< [2..2] Watch-Dog Timer Clock Selection Master Configuration */ + __IM uint32_t : 1; + __IM uint32_t WRCMF : 12; /*!< [15..4] Watch-Dog Timer RC Oscillator Master Configuration */ + } WDTCNFIG_b; + } ; + + union { + __IM uint32_t LVRCNFIG; /*!< (@ 0x00000010) Configuration for Low Voltage Reset */ + + struct { + __IM uint32_t LVRVS : 4; /*!< [3..0] LVR Voltage Selection */ + __IM uint32_t : 4; + __IM uint32_t LVRENM : 8; /*!< [15..8] LVR Reset Operation Control Master Configuration */ + } LVRCNFIG_b; + } ; + + union { + __IM uint32_t CNFIGWTP1; /*!< (@ 0x00000014) Erase/Write Protection for Configure Option Page + 1/2/3 */ + + struct { + __IM uint32_t CP1WP : 1; /*!< [0..0] Configure Option Page 1 Erase/Write Protection */ + __IM uint32_t CP2WP : 1; /*!< [1..1] Configure Option Page 2 Erase/Write Protection */ + __IM uint32_t CP3WP : 1; /*!< [2..2] Configure Option Page 3 Erase/Write Protection */ + } CNFIGWTP1_b; + } ; + __IM uint32_t RESERVED1[10]; + + union { + __IM uint32_t FMWTP1; /*!< (@ 0x00000040) Erase/Write Protection for Flash Memory */ + + struct { + __IM uint32_t SWTP0 : 1; /*!< [0..0] Flash Memory Erase/Write Protection 0 */ + __IM uint32_t SWTP1 : 1; /*!< [1..1] Flash Memory Erase/Write Protection 1 */ + __IM uint32_t SWTP2 : 1; /*!< [2..2] Flash Memory Erase/Write Protection 2 */ + __IM uint32_t SWTP3 : 1; /*!< [3..3] Flash Memory Erase/Write Protection 3 */ + __IM uint32_t SWTP4 : 1; /*!< [4..4] Flash Memory Erase/Write Protection 4 */ + __IM uint32_t SWTP5 : 1; /*!< [5..5] Flash Memory Erase/Write Protection 5 */ + __IM uint32_t SWTP6 : 1; /*!< [6..6] Flash Memory Erase/Write Protection 6 */ + __IM uint32_t SWTP7 : 1; /*!< [7..7] Flash Memory Erase/Write Protection 7 */ + __IM uint32_t SWTP8 : 1; /*!< [8..8] Flash Memory Erase/Write Protection 8 */ + __IM uint32_t SWTP9 : 1; /*!< [9..9] Flash Memory Erase/Write Protection 9 */ + __IM uint32_t SWTP10 : 1; /*!< [10..10] Flash Memory Erase/Write Protection 10 */ + __IM uint32_t SWTP11 : 1; /*!< [11..11] Flash Memory Erase/Write Protection 11 */ + __IM uint32_t SWTP12 : 1; /*!< [12..12] Flash Memory Erase/Write Protection 12 */ + __IM uint32_t SWTP13 : 1; /*!< [13..13] Flash Memory Erase/Write Protection 13 */ + __IM uint32_t SWTP14 : 1; /*!< [14..14] Flash Memory Erase/Write Protection 14 */ + __IM uint32_t SWTP15 : 1; /*!< [15..15] Flash Memory Erase/Write Protection 15 */ + __IM uint32_t SWTP16 : 1; /*!< [16..16] Flash Memory Erase/Write Protection 16 */ + __IM uint32_t SWTP17 : 1; /*!< [17..17] Flash Memory Erase/Write Protection 17 */ + __IM uint32_t SWTP18 : 1; /*!< [18..18] Flash Memory Erase/Write Protection 18 */ + __IM uint32_t SWTP19 : 1; /*!< [19..19] Flash Memory Erase/Write Protection 19 */ + __IM uint32_t SWTP20 : 1; /*!< [20..20] Flash Memory Erase/Write Protection 20 */ + __IM uint32_t SWTP21 : 1; /*!< [21..21] Flash Memory Erase/Write Protection 21 */ + __IM uint32_t SWTP22 : 1; /*!< [22..22] Flash Memory Erase/Write Protection 22 */ + __IM uint32_t SWTP23 : 1; /*!< [23..23] Flash Memory Erase/Write Protection 23 */ + __IM uint32_t SWTP24 : 1; /*!< [24..24] Flash Memory Erase/Write Protection 24 */ + __IM uint32_t SWTP25 : 1; /*!< [25..25] Flash Memory Erase/Write Protection 25 */ + __IM uint32_t SWTP26 : 1; /*!< [26..26] Flash Memory Erase/Write Protection 26 */ + __IM uint32_t SWTP27 : 1; /*!< [27..27] Flash Memory Erase/Write Protection 27 */ + __IM uint32_t SWTP28 : 1; /*!< [28..28] Flash Memory Erase/Write Protection 28 */ + __IM uint32_t SWTP29 : 1; /*!< [29..29] Flash Memory Erase/Write Protection 29 */ + __IM uint32_t SWTP30 : 1; /*!< [30..30] Flash Memory Erase/Write Protection 30 */ + __IM uint32_t SWTP31 : 1; /*!< [31..31] Flash Memory Erase/Write Protection 31 */ + } FMWTP1_b; + } ; +} COA1_Type; /*!< Size = 68 (0x44) */ + + + +/* =========================================================================================================================== */ +/* ================ COA2 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Configuration Option Area Page 2: User Data Area 0 (COA2) + */ + +typedef struct { /*!< (@ 0x1FFFF400) COA2 Structure */ + __IM uint32_t UDATA00; /*!< (@ 0x00000000) User Data 00 */ + __IM uint32_t UDATA01; /*!< (@ 0x00000004) User Data 01 */ + __IM uint32_t UDATA02; /*!< (@ 0x00000008) User Data 02 */ + __IM uint32_t UDATA03; /*!< (@ 0x0000000C) User Data 03 */ + __IM uint32_t UDATA04; /*!< (@ 0x00000010) User Data 04 */ + __IM uint32_t UDATA05; /*!< (@ 0x00000014) User Data 05 */ + __IM uint32_t UDATA06; /*!< (@ 0x00000018) User Data 06 */ + __IM uint32_t UDATA07; /*!< (@ 0x0000001C) User Data 07 */ + __IM uint32_t UDATA08; /*!< (@ 0x00000020) User Data 08 */ + __IM uint32_t UDATA09; /*!< (@ 0x00000024) User Data 09 */ + __IM uint32_t UDATA10; /*!< (@ 0x00000028) User Data 10 */ + __IM uint32_t UDATA11; /*!< (@ 0x0000002C) User Data 11 */ + __IM uint32_t UDATA12; /*!< (@ 0x00000030) User Data 12 */ + __IM uint32_t UDATA13; /*!< (@ 0x00000034) User Data 13 */ + __IM uint32_t UDATA14; /*!< (@ 0x00000038) User Data 14 */ + __IM uint32_t UDATA15; /*!< (@ 0x0000003C) User Data 15 */ + __IM uint32_t UDATA16; /*!< (@ 0x00000040) User Data 16 */ + __IM uint32_t UDATA17; /*!< (@ 0x00000044) User Data 17 */ + __IM uint32_t UDATA18; /*!< (@ 0x00000048) User Data 18 */ + __IM uint32_t UDATA19; /*!< (@ 0x0000004C) User Data 19 */ + __IM uint32_t UDATA20; /*!< (@ 0x00000050) User Data 20 */ + __IM uint32_t UDATA21; /*!< (@ 0x00000054) User Data 21 */ + __IM uint32_t UDATA22; /*!< (@ 0x00000058) User Data 22 */ + __IM uint32_t UDATA23; /*!< (@ 0x0000005C) User Data 23 */ + __IM uint32_t UDATA24; /*!< (@ 0x00000060) User Data 24 */ + __IM uint32_t UDATA25; /*!< (@ 0x00000064) User Data 25 */ + __IM uint32_t UDATA26; /*!< (@ 0x00000068) User Data 26 */ + __IM uint32_t UDATA27; /*!< (@ 0x0000006C) User Data 27 */ + __IM uint32_t UDATA28; /*!< (@ 0x00000070) User Data 28 */ + __IM uint32_t UDATA29; /*!< (@ 0x00000074) User Data 29 */ + __IM uint32_t UDATA30; /*!< (@ 0x00000078) User Data 30 */ + __IM uint32_t UDATA31; /*!< (@ 0x0000007C) User Data 31 */ +} COA2_Type; /*!< Size = 128 (0x80) */ + + + +/* =========================================================================================================================== */ +/* ================ COA3 ================ */ +/* =========================================================================================================================== */ + + +/** + * @brief Configuration Option Area Page 3: User Data Area 1 (COA3) + */ + +typedef struct { /*!< (@ 0x1FFFF600) COA3 Structure */ + __IM uint32_t UDATA00; /*!< (@ 0x00000000) User Data 00 */ + __IM uint32_t UDATA01; /*!< (@ 0x00000004) User Data 01 */ + __IM uint32_t UDATA02; /*!< (@ 0x00000008) User Data 02 */ + __IM uint32_t UDATA03; /*!< (@ 0x0000000C) User Data 03 */ + __IM uint32_t UDATA04; /*!< (@ 0x00000010) User Data 04 */ + __IM uint32_t UDATA05; /*!< (@ 0x00000014) User Data 05 */ + __IM uint32_t UDATA06; /*!< (@ 0x00000018) User Data 06 */ + __IM uint32_t UDATA07; /*!< (@ 0x0000001C) User Data 07 */ + __IM uint32_t UDATA08; /*!< (@ 0x00000020) User Data 08 */ + __IM uint32_t UDATA09; /*!< (@ 0x00000024) User Data 09 */ + __IM uint32_t UDATA10; /*!< (@ 0x00000028) User Data 10 */ + __IM uint32_t UDATA11; /*!< (@ 0x0000002C) User Data 11 */ + __IM uint32_t UDATA12; /*!< (@ 0x00000030) User Data 12 */ + __IM uint32_t UDATA13; /*!< (@ 0x00000034) User Data 13 */ + __IM uint32_t UDATA14; /*!< (@ 0x00000038) User Data 14 */ + __IM uint32_t UDATA15; /*!< (@ 0x0000003C) User Data 15 */ + __IM uint32_t UDATA16; /*!< (@ 0x00000040) User Data 16 */ + __IM uint32_t UDATA17; /*!< (@ 0x00000044) User Data 17 */ + __IM uint32_t UDATA18; /*!< (@ 0x00000048) User Data 18 */ + __IM uint32_t UDATA19; /*!< (@ 0x0000004C) User Data 19 */ + __IM uint32_t UDATA20; /*!< (@ 0x00000050) User Data 20 */ + __IM uint32_t UDATA21; /*!< (@ 0x00000054) User Data 21 */ + __IM uint32_t UDATA22; /*!< (@ 0x00000058) User Data 22 */ + __IM uint32_t UDATA23; /*!< (@ 0x0000005C) User Data 23 */ + __IM uint32_t UDATA24; /*!< (@ 0x00000060) User Data 24 */ + __IM uint32_t UDATA25; /*!< (@ 0x00000064) User Data 25 */ + __IM uint32_t UDATA26; /*!< (@ 0x00000068) User Data 26 */ + __IM uint32_t UDATA27; /*!< (@ 0x0000006C) User Data 27 */ + __IM uint32_t UDATA28; /*!< (@ 0x00000070) User Data 28 */ + __IM uint32_t UDATA29; /*!< (@ 0x00000074) User Data 29 */ + __IM uint32_t UDATA30; /*!< (@ 0x00000078) User Data 30 */ + __IM uint32_t UDATA31; /*!< (@ 0x0000007C) User Data 31 */ +} COA3_Type; /*!< Size = 128 (0x80) */ + + +/** @} */ /* End of group Device_Peripheral_peripherals */ + + +/* =========================================================================================================================== */ +/* ================ Device Specific Peripheral Address Map ================ */ +/* =========================================================================================================================== */ + + +/** @addtogroup Device_Peripheral_peripheralAddr + * @{ + */ + +#define INTC_BASE 0x40001000UL +#define SCUCC_BASE 0x4000F000UL +#define SCUCG_BASE 0x40001800UL +#define SCULV_BASE 0x40005100UL +#define Pn_BASE 0x50000000UL +#define PA_BASE 0x30000000UL +#define PB_BASE 0x30000100UL +#define PC_BASE 0x30000200UL +#define PD_BASE 0x30000300UL +#define PE_BASE 0x30000400UL +#define PF_BASE 0x30000500UL +#define FMC_BASE 0x40001B00UL +#define WDT_BASE 0x40001A00UL +#define WT_BASE 0x40002000UL +#define TIMER1n_BASE 0x51000000UL +#define TIMER10_BASE 0x40002100UL +#define TIMER11_BASE 0x40002200UL +#define TIMER12_BASE 0x40002300UL +#define TIMER13_BASE 0x40002700UL +#define TIMER14_BASE 0x40002800UL +#define TIMER15_BASE 0x40002900UL +#define TIMER16_BASE 0x40002A00UL +#define TIMER2n_BASE 0x52000000UL +#define TIMER20_BASE 0x40002500UL +#define TIMER21_BASE 0x40002600UL +#define TIMER3n_BASE 0x53000000UL +#define TIMER30_BASE 0x40002400UL +#define ADC_BASE 0x40003000UL +#define USART1n_BASE 0x54000000UL +#define USART10_BASE 0x40003800UL +#define USART11_BASE 0x40003900UL +#define USART12_BASE 0x40003A00UL +#define USART13_BASE 0x40003B00UL +#define UARTn_BASE 0x55000000UL +#define UART0_BASE 0x40004000UL +#define UART1_BASE 0x40004100UL +#define I2Cn_BASE 0x56000000UL +#define I2C0_BASE 0x40004800UL +#define I2C1_BASE 0x40004900UL +#define I2C2_BASE 0x40004A00UL +#define LCD_BASE 0x40005000UL +#define CRC_BASE 0x30001000UL +#define COA0_BASE 0x1FFFF000UL +#define COA1_BASE 0x1FFFF200UL +#define COA2_BASE 0x1FFFF400UL +#define COA3_BASE 0x1FFFF600UL + +/** @} */ /* End of group Device_Peripheral_peripheralAddr */ + + +/* =========================================================================================================================== */ +/* ================ Peripheral declaration ================ */ +/* =========================================================================================================================== */ + + +/** @addtogroup Device_Peripheral_declaration + * @{ + */ + +#define INTC ((INTC_Type*) INTC_BASE) +#define SCUCC ((SCUCC_Type*) SCUCC_BASE) +#define SCUCG ((SCUCG_Type*) SCUCG_BASE) +#define SCULV ((SCULV_Type*) SCULV_BASE) +#define Pn ((Pn_Type*) Pn_BASE) +#define PA ((PA_Type*) PA_BASE) +#define PB ((PB_Type*) PB_BASE) +#define PC ((PC_Type*) PC_BASE) +#define PD ((PD_Type*) PD_BASE) +#define PE ((PE_Type*) PE_BASE) +#define PF ((PF_Type*) PF_BASE) +#define FMC ((FMC_Type*) FMC_BASE) +#define WDT ((WDT_Type*) WDT_BASE) +#define WT ((WT_Type*) WT_BASE) +#define TIMER1n ((TIMER1n_Type*) TIMER1n_BASE) +#define TIMER10 ((TIMER10_Type*) TIMER10_BASE) +#define TIMER11 ((TIMER11_Type*) TIMER11_BASE) +#define TIMER12 ((TIMER12_Type*) TIMER12_BASE) +#define TIMER13 ((TIMER13_Type*) TIMER13_BASE) +#define TIMER14 ((TIMER14_Type*) TIMER14_BASE) +#define TIMER15 ((TIMER15_Type*) TIMER15_BASE) +#define TIMER16 ((TIMER16_Type*) TIMER16_BASE) +#define TIMER2n ((TIMER2n_Type*) TIMER2n_BASE) +#define TIMER20 ((TIMER20_Type*) TIMER20_BASE) +#define TIMER21 ((TIMER21_Type*) TIMER21_BASE) +#define TIMER3n ((TIMER3n_Type*) TIMER3n_BASE) +#define TIMER30 ((TIMER30_Type*) TIMER30_BASE) +#define ADC ((ADC_Type*) ADC_BASE) +#define USART1n ((USART1n_Type*) USART1n_BASE) +#define USART10 ((USART10_Type*) USART10_BASE) +#define USART11 ((USART11_Type*) USART11_BASE) +#define USART12 ((USART12_Type*) USART12_BASE) +#define USART13 ((USART13_Type*) USART13_BASE) +#define UARTn ((UARTn_Type*) UARTn_BASE) +#define UART0 ((UART0_Type*) UART0_BASE) +#define UART1 ((UART1_Type*) UART1_BASE) +#define I2Cn ((I2Cn_Type*) I2Cn_BASE) +#define I2C0 ((I2C0_Type*) I2C0_BASE) +#define I2C1 ((I2C1_Type*) I2C1_BASE) +#define I2C2 ((I2C2_Type*) I2C2_BASE) +#define LCD ((LCD_Type*) LCD_BASE) +#define CRC ((CRC_Type*) CRC_BASE) +#define COA0 ((COA0_Type*) COA0_BASE) +#define COA1 ((COA1_Type*) COA1_BASE) +#define COA2 ((COA2_Type*) COA2_BASE) +#define COA3 ((COA3_Type*) COA3_BASE) + +/** @} */ /* End of group Device_Peripheral_declaration */ + + +/* ========================================= End of section using anonymous unions ========================================= */ +#if defined (__CC_ARM) + #pragma pop +#elif defined (__ICCARM__) + /* leave anonymous unions enabled */ +#elif (__ARMCC_VERSION >= 6010050) + #pragma clang diagnostic pop +#elif defined (__GNUC__) + /* anonymous unions are enabled by default */ +#elif defined (__TMS470__) + /* anonymous unions are enabled by default */ +#elif defined (__TASKING__) + #pragma warning restore +#elif defined (__CSMC__) + /* anonymous unions are enabled by default */ +#endif + + +/* =========================================================================================================================== */ +/* ================ Pos/Mask Peripheral Section ================ */ +/* =========================================================================================================================== */ + + +/** @addtogroup PosMask_peripherals + * @{ + */ + + + +/* =========================================================================================================================== */ +/* ================ INTC ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== PBTRIG ========================================================= */ +#define INTC_PBTRIG_ITRIG11_Pos (11UL) /*!< INTC PBTRIG: ITRIG11 (Bit 11) */ +#define INTC_PBTRIG_ITRIG11_Msk (0x800UL) /*!< INTC PBTRIG: ITRIG11 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG10_Pos (10UL) /*!< INTC PBTRIG: ITRIG10 (Bit 10) */ +#define INTC_PBTRIG_ITRIG10_Msk (0x400UL) /*!< INTC PBTRIG: ITRIG10 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG9_Pos (9UL) /*!< INTC PBTRIG: ITRIG9 (Bit 9) */ +#define INTC_PBTRIG_ITRIG9_Msk (0x200UL) /*!< INTC PBTRIG: ITRIG9 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG8_Pos (8UL) /*!< INTC PBTRIG: ITRIG8 (Bit 8) */ +#define INTC_PBTRIG_ITRIG8_Msk (0x100UL) /*!< INTC PBTRIG: ITRIG8 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG7_Pos (7UL) /*!< INTC PBTRIG: ITRIG7 (Bit 7) */ +#define INTC_PBTRIG_ITRIG7_Msk (0x80UL) /*!< INTC PBTRIG: ITRIG7 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG6_Pos (6UL) /*!< INTC PBTRIG: ITRIG6 (Bit 6) */ +#define INTC_PBTRIG_ITRIG6_Msk (0x40UL) /*!< INTC PBTRIG: ITRIG6 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG5_Pos (5UL) /*!< INTC PBTRIG: ITRIG5 (Bit 5) */ +#define INTC_PBTRIG_ITRIG5_Msk (0x20UL) /*!< INTC PBTRIG: ITRIG5 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG4_Pos (4UL) /*!< INTC PBTRIG: ITRIG4 (Bit 4) */ +#define INTC_PBTRIG_ITRIG4_Msk (0x10UL) /*!< INTC PBTRIG: ITRIG4 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG3_Pos (3UL) /*!< INTC PBTRIG: ITRIG3 (Bit 3) */ +#define INTC_PBTRIG_ITRIG3_Msk (0x8UL) /*!< INTC PBTRIG: ITRIG3 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG2_Pos (2UL) /*!< INTC PBTRIG: ITRIG2 (Bit 2) */ +#define INTC_PBTRIG_ITRIG2_Msk (0x4UL) /*!< INTC PBTRIG: ITRIG2 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG1_Pos (1UL) /*!< INTC PBTRIG: ITRIG1 (Bit 1) */ +#define INTC_PBTRIG_ITRIG1_Msk (0x2UL) /*!< INTC PBTRIG: ITRIG1 (Bitfield-Mask: 0x01) */ +#define INTC_PBTRIG_ITRIG0_Pos (0UL) /*!< INTC PBTRIG: ITRIG0 (Bit 0) */ +#define INTC_PBTRIG_ITRIG0_Msk (0x1UL) /*!< INTC PBTRIG: ITRIG0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PCTRIG ========================================================= */ +#define INTC_PCTRIG_ITRIG3_Pos (3UL) /*!< INTC PCTRIG: ITRIG3 (Bit 3) */ +#define INTC_PCTRIG_ITRIG3_Msk (0x8UL) /*!< INTC PCTRIG: ITRIG3 (Bitfield-Mask: 0x01) */ +#define INTC_PCTRIG_ITRIG2_Pos (2UL) /*!< INTC PCTRIG: ITRIG2 (Bit 2) */ +#define INTC_PCTRIG_ITRIG2_Msk (0x4UL) /*!< INTC PCTRIG: ITRIG2 (Bitfield-Mask: 0x01) */ +#define INTC_PCTRIG_ITRIG1_Pos (1UL) /*!< INTC PCTRIG: ITRIG1 (Bit 1) */ +#define INTC_PCTRIG_ITRIG1_Msk (0x2UL) /*!< INTC PCTRIG: ITRIG1 (Bitfield-Mask: 0x01) */ +#define INTC_PCTRIG_ITRIG0_Pos (0UL) /*!< INTC PCTRIG: ITRIG0 (Bit 0) */ +#define INTC_PCTRIG_ITRIG0_Msk (0x1UL) /*!< INTC PCTRIG: ITRIG0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PETRIG ========================================================= */ +#define INTC_PETRIG_ITRIG3_Pos (3UL) /*!< INTC PETRIG: ITRIG3 (Bit 3) */ +#define INTC_PETRIG_ITRIG3_Msk (0x8UL) /*!< INTC PETRIG: ITRIG3 (Bitfield-Mask: 0x01) */ +#define INTC_PETRIG_ITRIG2_Pos (2UL) /*!< INTC PETRIG: ITRIG2 (Bit 2) */ +#define INTC_PETRIG_ITRIG2_Msk (0x4UL) /*!< INTC PETRIG: ITRIG2 (Bitfield-Mask: 0x01) */ +#define INTC_PETRIG_ITRIG1_Pos (1UL) /*!< INTC PETRIG: ITRIG1 (Bit 1) */ +#define INTC_PETRIG_ITRIG1_Msk (0x2UL) /*!< INTC PETRIG: ITRIG1 (Bitfield-Mask: 0x01) */ +#define INTC_PETRIG_ITRIG0_Pos (0UL) /*!< INTC PETRIG: ITRIG0 (Bit 0) */ +#define INTC_PETRIG_ITRIG0_Msk (0x1UL) /*!< INTC PETRIG: ITRIG0 (Bitfield-Mask: 0x01) */ +/* ========================================================= PBCR ========================================================== */ +#define INTC_PBCR_INTCTL11_Pos (22UL) /*!< INTC PBCR: INTCTL11 (Bit 22) */ +#define INTC_PBCR_INTCTL11_Msk (0xc00000UL) /*!< INTC PBCR: INTCTL11 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL10_Pos (20UL) /*!< INTC PBCR: INTCTL10 (Bit 20) */ +#define INTC_PBCR_INTCTL10_Msk (0x300000UL) /*!< INTC PBCR: INTCTL10 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL9_Pos (18UL) /*!< INTC PBCR: INTCTL9 (Bit 18) */ +#define INTC_PBCR_INTCTL9_Msk (0xc0000UL) /*!< INTC PBCR: INTCTL9 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL8_Pos (16UL) /*!< INTC PBCR: INTCTL8 (Bit 16) */ +#define INTC_PBCR_INTCTL8_Msk (0x30000UL) /*!< INTC PBCR: INTCTL8 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL7_Pos (14UL) /*!< INTC PBCR: INTCTL7 (Bit 14) */ +#define INTC_PBCR_INTCTL7_Msk (0xc000UL) /*!< INTC PBCR: INTCTL7 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL6_Pos (12UL) /*!< INTC PBCR: INTCTL6 (Bit 12) */ +#define INTC_PBCR_INTCTL6_Msk (0x3000UL) /*!< INTC PBCR: INTCTL6 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL5_Pos (10UL) /*!< INTC PBCR: INTCTL5 (Bit 10) */ +#define INTC_PBCR_INTCTL5_Msk (0xc00UL) /*!< INTC PBCR: INTCTL5 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL4_Pos (8UL) /*!< INTC PBCR: INTCTL4 (Bit 8) */ +#define INTC_PBCR_INTCTL4_Msk (0x300UL) /*!< INTC PBCR: INTCTL4 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL3_Pos (6UL) /*!< INTC PBCR: INTCTL3 (Bit 6) */ +#define INTC_PBCR_INTCTL3_Msk (0xc0UL) /*!< INTC PBCR: INTCTL3 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL2_Pos (4UL) /*!< INTC PBCR: INTCTL2 (Bit 4) */ +#define INTC_PBCR_INTCTL2_Msk (0x30UL) /*!< INTC PBCR: INTCTL2 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL1_Pos (2UL) /*!< INTC PBCR: INTCTL1 (Bit 2) */ +#define INTC_PBCR_INTCTL1_Msk (0xcUL) /*!< INTC PBCR: INTCTL1 (Bitfield-Mask: 0x03) */ +#define INTC_PBCR_INTCTL0_Pos (0UL) /*!< INTC PBCR: INTCTL0 (Bit 0) */ +#define INTC_PBCR_INTCTL0_Msk (0x3UL) /*!< INTC PBCR: INTCTL0 (Bitfield-Mask: 0x03) */ +/* ========================================================= PCCR ========================================================== */ +#define INTC_PCCR_INTCTL3_Pos (6UL) /*!< INTC PCCR: INTCTL3 (Bit 6) */ +#define INTC_PCCR_INTCTL3_Msk (0xc0UL) /*!< INTC PCCR: INTCTL3 (Bitfield-Mask: 0x03) */ +#define INTC_PCCR_INTCTL2_Pos (4UL) /*!< INTC PCCR: INTCTL2 (Bit 4) */ +#define INTC_PCCR_INTCTL2_Msk (0x30UL) /*!< INTC PCCR: INTCTL2 (Bitfield-Mask: 0x03) */ +#define INTC_PCCR_INTCTL1_Pos (2UL) /*!< INTC PCCR: INTCTL1 (Bit 2) */ +#define INTC_PCCR_INTCTL1_Msk (0xcUL) /*!< INTC PCCR: INTCTL1 (Bitfield-Mask: 0x03) */ +#define INTC_PCCR_INTCTL0_Pos (0UL) /*!< INTC PCCR: INTCTL0 (Bit 0) */ +#define INTC_PCCR_INTCTL0_Msk (0x3UL) /*!< INTC PCCR: INTCTL0 (Bitfield-Mask: 0x03) */ +/* ========================================================= PECR ========================================================== */ +#define INTC_PECR_INTCTL3_Pos (6UL) /*!< INTC PECR: INTCTL3 (Bit 6) */ +#define INTC_PECR_INTCTL3_Msk (0xc0UL) /*!< INTC PECR: INTCTL3 (Bitfield-Mask: 0x03) */ +#define INTC_PECR_INTCTL2_Pos (4UL) /*!< INTC PECR: INTCTL2 (Bit 4) */ +#define INTC_PECR_INTCTL2_Msk (0x30UL) /*!< INTC PECR: INTCTL2 (Bitfield-Mask: 0x03) */ +#define INTC_PECR_INTCTL1_Pos (2UL) /*!< INTC PECR: INTCTL1 (Bit 2) */ +#define INTC_PECR_INTCTL1_Msk (0xcUL) /*!< INTC PECR: INTCTL1 (Bitfield-Mask: 0x03) */ +#define INTC_PECR_INTCTL0_Pos (0UL) /*!< INTC PECR: INTCTL0 (Bit 0) */ +#define INTC_PECR_INTCTL0_Msk (0x3UL) /*!< INTC PECR: INTCTL0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PBFLAG ========================================================= */ +#define INTC_PBFLAG_FLAG11_Pos (11UL) /*!< INTC PBFLAG: FLAG11 (Bit 11) */ +#define INTC_PBFLAG_FLAG11_Msk (0x800UL) /*!< INTC PBFLAG: FLAG11 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG10_Pos (10UL) /*!< INTC PBFLAG: FLAG10 (Bit 10) */ +#define INTC_PBFLAG_FLAG10_Msk (0x400UL) /*!< INTC PBFLAG: FLAG10 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG9_Pos (9UL) /*!< INTC PBFLAG: FLAG9 (Bit 9) */ +#define INTC_PBFLAG_FLAG9_Msk (0x200UL) /*!< INTC PBFLAG: FLAG9 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG8_Pos (8UL) /*!< INTC PBFLAG: FLAG8 (Bit 8) */ +#define INTC_PBFLAG_FLAG8_Msk (0x100UL) /*!< INTC PBFLAG: FLAG8 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG7_Pos (7UL) /*!< INTC PBFLAG: FLAG7 (Bit 7) */ +#define INTC_PBFLAG_FLAG7_Msk (0x80UL) /*!< INTC PBFLAG: FLAG7 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG6_Pos (6UL) /*!< INTC PBFLAG: FLAG6 (Bit 6) */ +#define INTC_PBFLAG_FLAG6_Msk (0x40UL) /*!< INTC PBFLAG: FLAG6 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG5_Pos (5UL) /*!< INTC PBFLAG: FLAG5 (Bit 5) */ +#define INTC_PBFLAG_FLAG5_Msk (0x20UL) /*!< INTC PBFLAG: FLAG5 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG4_Pos (4UL) /*!< INTC PBFLAG: FLAG4 (Bit 4) */ +#define INTC_PBFLAG_FLAG4_Msk (0x10UL) /*!< INTC PBFLAG: FLAG4 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG3_Pos (3UL) /*!< INTC PBFLAG: FLAG3 (Bit 3) */ +#define INTC_PBFLAG_FLAG3_Msk (0x8UL) /*!< INTC PBFLAG: FLAG3 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG2_Pos (2UL) /*!< INTC PBFLAG: FLAG2 (Bit 2) */ +#define INTC_PBFLAG_FLAG2_Msk (0x4UL) /*!< INTC PBFLAG: FLAG2 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG1_Pos (1UL) /*!< INTC PBFLAG: FLAG1 (Bit 1) */ +#define INTC_PBFLAG_FLAG1_Msk (0x2UL) /*!< INTC PBFLAG: FLAG1 (Bitfield-Mask: 0x01) */ +#define INTC_PBFLAG_FLAG0_Pos (0UL) /*!< INTC PBFLAG: FLAG0 (Bit 0) */ +#define INTC_PBFLAG_FLAG0_Msk (0x1UL) /*!< INTC PBFLAG: FLAG0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PCFLAG ========================================================= */ +#define INTC_PCFLAG_FLAG3_Pos (3UL) /*!< INTC PCFLAG: FLAG3 (Bit 3) */ +#define INTC_PCFLAG_FLAG3_Msk (0x8UL) /*!< INTC PCFLAG: FLAG3 (Bitfield-Mask: 0x01) */ +#define INTC_PCFLAG_FLAG2_Pos (2UL) /*!< INTC PCFLAG: FLAG2 (Bit 2) */ +#define INTC_PCFLAG_FLAG2_Msk (0x4UL) /*!< INTC PCFLAG: FLAG2 (Bitfield-Mask: 0x01) */ +#define INTC_PCFLAG_FLAG1_Pos (1UL) /*!< INTC PCFLAG: FLAG1 (Bit 1) */ +#define INTC_PCFLAG_FLAG1_Msk (0x2UL) /*!< INTC PCFLAG: FLAG1 (Bitfield-Mask: 0x01) */ +#define INTC_PCFLAG_FLAG0_Pos (0UL) /*!< INTC PCFLAG: FLAG0 (Bit 0) */ +#define INTC_PCFLAG_FLAG0_Msk (0x1UL) /*!< INTC PCFLAG: FLAG0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PEFLAG ========================================================= */ +#define INTC_PEFLAG_FLAG3_Pos (3UL) /*!< INTC PEFLAG: FLAG3 (Bit 3) */ +#define INTC_PEFLAG_FLAG3_Msk (0x8UL) /*!< INTC PEFLAG: FLAG3 (Bitfield-Mask: 0x01) */ +#define INTC_PEFLAG_FLAG2_Pos (2UL) /*!< INTC PEFLAG: FLAG2 (Bit 2) */ +#define INTC_PEFLAG_FLAG2_Msk (0x4UL) /*!< INTC PEFLAG: FLAG2 (Bitfield-Mask: 0x01) */ +#define INTC_PEFLAG_FLAG1_Pos (1UL) /*!< INTC PEFLAG: FLAG1 (Bit 1) */ +#define INTC_PEFLAG_FLAG1_Msk (0x2UL) /*!< INTC PEFLAG: FLAG1 (Bitfield-Mask: 0x01) */ +#define INTC_PEFLAG_FLAG0_Pos (0UL) /*!< INTC PEFLAG: FLAG0 (Bit 0) */ +#define INTC_PEFLAG_FLAG0_Msk (0x1UL) /*!< INTC PEFLAG: FLAG0 (Bitfield-Mask: 0x01) */ +/* ====================================================== EINT0CONF1 ======================================================= */ +#define INTC_EINT0CONF1_CONF7_Pos (28UL) /*!< INTC EINT0CONF1: CONF7 (Bit 28) */ +#define INTC_EINT0CONF1_CONF7_Msk (0xf0000000UL) /*!< INTC EINT0CONF1: CONF7 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF6_Pos (24UL) /*!< INTC EINT0CONF1: CONF6 (Bit 24) */ +#define INTC_EINT0CONF1_CONF6_Msk (0xf000000UL) /*!< INTC EINT0CONF1: CONF6 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF5_Pos (20UL) /*!< INTC EINT0CONF1: CONF5 (Bit 20) */ +#define INTC_EINT0CONF1_CONF5_Msk (0xf00000UL) /*!< INTC EINT0CONF1: CONF5 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF4_Pos (16UL) /*!< INTC EINT0CONF1: CONF4 (Bit 16) */ +#define INTC_EINT0CONF1_CONF4_Msk (0xf0000UL) /*!< INTC EINT0CONF1: CONF4 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF3_Pos (12UL) /*!< INTC EINT0CONF1: CONF3 (Bit 12) */ +#define INTC_EINT0CONF1_CONF3_Msk (0xf000UL) /*!< INTC EINT0CONF1: CONF3 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF2_Pos (8UL) /*!< INTC EINT0CONF1: CONF2 (Bit 8) */ +#define INTC_EINT0CONF1_CONF2_Msk (0xf00UL) /*!< INTC EINT0CONF1: CONF2 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF1_Pos (4UL) /*!< INTC EINT0CONF1: CONF1 (Bit 4) */ +#define INTC_EINT0CONF1_CONF1_Msk (0xf0UL) /*!< INTC EINT0CONF1: CONF1 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF1_CONF0_Pos (0UL) /*!< INTC EINT0CONF1: CONF0 (Bit 0) */ +#define INTC_EINT0CONF1_CONF0_Msk (0xfUL) /*!< INTC EINT0CONF1: CONF0 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT1CONF1 ======================================================= */ +#define INTC_EINT1CONF1_CONF7_Pos (28UL) /*!< INTC EINT1CONF1: CONF7 (Bit 28) */ +#define INTC_EINT1CONF1_CONF7_Msk (0xf0000000UL) /*!< INTC EINT1CONF1: CONF7 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF6_Pos (24UL) /*!< INTC EINT1CONF1: CONF6 (Bit 24) */ +#define INTC_EINT1CONF1_CONF6_Msk (0xf000000UL) /*!< INTC EINT1CONF1: CONF6 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF5_Pos (20UL) /*!< INTC EINT1CONF1: CONF5 (Bit 20) */ +#define INTC_EINT1CONF1_CONF5_Msk (0xf00000UL) /*!< INTC EINT1CONF1: CONF5 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF4_Pos (16UL) /*!< INTC EINT1CONF1: CONF4 (Bit 16) */ +#define INTC_EINT1CONF1_CONF4_Msk (0xf0000UL) /*!< INTC EINT1CONF1: CONF4 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF3_Pos (12UL) /*!< INTC EINT1CONF1: CONF3 (Bit 12) */ +#define INTC_EINT1CONF1_CONF3_Msk (0xf000UL) /*!< INTC EINT1CONF1: CONF3 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF2_Pos (8UL) /*!< INTC EINT1CONF1: CONF2 (Bit 8) */ +#define INTC_EINT1CONF1_CONF2_Msk (0xf00UL) /*!< INTC EINT1CONF1: CONF2 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF1_Pos (4UL) /*!< INTC EINT1CONF1: CONF1 (Bit 4) */ +#define INTC_EINT1CONF1_CONF1_Msk (0xf0UL) /*!< INTC EINT1CONF1: CONF1 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF1_CONF0_Pos (0UL) /*!< INTC EINT1CONF1: CONF0 (Bit 0) */ +#define INTC_EINT1CONF1_CONF0_Msk (0xfUL) /*!< INTC EINT1CONF1: CONF0 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT2CONF1 ======================================================= */ +#define INTC_EINT2CONF1_CONF7_Pos (28UL) /*!< INTC EINT2CONF1: CONF7 (Bit 28) */ +#define INTC_EINT2CONF1_CONF7_Msk (0xf0000000UL) /*!< INTC EINT2CONF1: CONF7 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF6_Pos (24UL) /*!< INTC EINT2CONF1: CONF6 (Bit 24) */ +#define INTC_EINT2CONF1_CONF6_Msk (0xf000000UL) /*!< INTC EINT2CONF1: CONF6 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF5_Pos (20UL) /*!< INTC EINT2CONF1: CONF5 (Bit 20) */ +#define INTC_EINT2CONF1_CONF5_Msk (0xf00000UL) /*!< INTC EINT2CONF1: CONF5 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF4_Pos (16UL) /*!< INTC EINT2CONF1: CONF4 (Bit 16) */ +#define INTC_EINT2CONF1_CONF4_Msk (0xf0000UL) /*!< INTC EINT2CONF1: CONF4 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF3_Pos (12UL) /*!< INTC EINT2CONF1: CONF3 (Bit 12) */ +#define INTC_EINT2CONF1_CONF3_Msk (0xf000UL) /*!< INTC EINT2CONF1: CONF3 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF2_Pos (8UL) /*!< INTC EINT2CONF1: CONF2 (Bit 8) */ +#define INTC_EINT2CONF1_CONF2_Msk (0xf00UL) /*!< INTC EINT2CONF1: CONF2 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF1_Pos (4UL) /*!< INTC EINT2CONF1: CONF1 (Bit 4) */ +#define INTC_EINT2CONF1_CONF1_Msk (0xf0UL) /*!< INTC EINT2CONF1: CONF1 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF1_CONF0_Pos (0UL) /*!< INTC EINT2CONF1: CONF0 (Bit 0) */ +#define INTC_EINT2CONF1_CONF0_Msk (0xfUL) /*!< INTC EINT2CONF1: CONF0 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT3CONF1 ======================================================= */ +#define INTC_EINT3CONF1_CONF7_Pos (28UL) /*!< INTC EINT3CONF1: CONF7 (Bit 28) */ +#define INTC_EINT3CONF1_CONF7_Msk (0xf0000000UL) /*!< INTC EINT3CONF1: CONF7 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF6_Pos (24UL) /*!< INTC EINT3CONF1: CONF6 (Bit 24) */ +#define INTC_EINT3CONF1_CONF6_Msk (0xf000000UL) /*!< INTC EINT3CONF1: CONF6 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF5_Pos (20UL) /*!< INTC EINT3CONF1: CONF5 (Bit 20) */ +#define INTC_EINT3CONF1_CONF5_Msk (0xf00000UL) /*!< INTC EINT3CONF1: CONF5 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF4_Pos (16UL) /*!< INTC EINT3CONF1: CONF4 (Bit 16) */ +#define INTC_EINT3CONF1_CONF4_Msk (0xf0000UL) /*!< INTC EINT3CONF1: CONF4 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF3_Pos (12UL) /*!< INTC EINT3CONF1: CONF3 (Bit 12) */ +#define INTC_EINT3CONF1_CONF3_Msk (0xf000UL) /*!< INTC EINT3CONF1: CONF3 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF2_Pos (8UL) /*!< INTC EINT3CONF1: CONF2 (Bit 8) */ +#define INTC_EINT3CONF1_CONF2_Msk (0xf00UL) /*!< INTC EINT3CONF1: CONF2 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF1_Pos (4UL) /*!< INTC EINT3CONF1: CONF1 (Bit 4) */ +#define INTC_EINT3CONF1_CONF1_Msk (0xf0UL) /*!< INTC EINT3CONF1: CONF1 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF1_CONF0_Pos (0UL) /*!< INTC EINT3CONF1: CONF0 (Bit 0) */ +#define INTC_EINT3CONF1_CONF0_Msk (0xfUL) /*!< INTC EINT3CONF1: CONF0 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT0CONF2 ======================================================= */ +#define INTC_EINT0CONF2_CONF11_Pos (12UL) /*!< INTC EINT0CONF2: CONF11 (Bit 12) */ +#define INTC_EINT0CONF2_CONF11_Msk (0xf000UL) /*!< INTC EINT0CONF2: CONF11 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF2_CONF10_Pos (8UL) /*!< INTC EINT0CONF2: CONF10 (Bit 8) */ +#define INTC_EINT0CONF2_CONF10_Msk (0xf00UL) /*!< INTC EINT0CONF2: CONF10 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF2_CONF9_Pos (4UL) /*!< INTC EINT0CONF2: CONF9 (Bit 4) */ +#define INTC_EINT0CONF2_CONF9_Msk (0xf0UL) /*!< INTC EINT0CONF2: CONF9 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT0CONF2_CONF8_Pos (0UL) /*!< INTC EINT0CONF2: CONF8 (Bit 0) */ +#define INTC_EINT0CONF2_CONF8_Msk (0xfUL) /*!< INTC EINT0CONF2: CONF8 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT1CONF2 ======================================================= */ +#define INTC_EINT1CONF2_CONF11_Pos (12UL) /*!< INTC EINT1CONF2: CONF11 (Bit 12) */ +#define INTC_EINT1CONF2_CONF11_Msk (0xf000UL) /*!< INTC EINT1CONF2: CONF11 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF2_CONF10_Pos (8UL) /*!< INTC EINT1CONF2: CONF10 (Bit 8) */ +#define INTC_EINT1CONF2_CONF10_Msk (0xf00UL) /*!< INTC EINT1CONF2: CONF10 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF2_CONF9_Pos (4UL) /*!< INTC EINT1CONF2: CONF9 (Bit 4) */ +#define INTC_EINT1CONF2_CONF9_Msk (0xf0UL) /*!< INTC EINT1CONF2: CONF9 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT1CONF2_CONF8_Pos (0UL) /*!< INTC EINT1CONF2: CONF8 (Bit 0) */ +#define INTC_EINT1CONF2_CONF8_Msk (0xfUL) /*!< INTC EINT1CONF2: CONF8 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT2CONF2 ======================================================= */ +#define INTC_EINT2CONF2_CONF11_Pos (12UL) /*!< INTC EINT2CONF2: CONF11 (Bit 12) */ +#define INTC_EINT2CONF2_CONF11_Msk (0xf000UL) /*!< INTC EINT2CONF2: CONF11 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF2_CONF10_Pos (8UL) /*!< INTC EINT2CONF2: CONF10 (Bit 8) */ +#define INTC_EINT2CONF2_CONF10_Msk (0xf00UL) /*!< INTC EINT2CONF2: CONF10 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF2_CONF9_Pos (4UL) /*!< INTC EINT2CONF2: CONF9 (Bit 4) */ +#define INTC_EINT2CONF2_CONF9_Msk (0xf0UL) /*!< INTC EINT2CONF2: CONF9 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT2CONF2_CONF8_Pos (0UL) /*!< INTC EINT2CONF2: CONF8 (Bit 0) */ +#define INTC_EINT2CONF2_CONF8_Msk (0xfUL) /*!< INTC EINT2CONF2: CONF8 (Bitfield-Mask: 0x0f) */ +/* ====================================================== EINT3CONF2 ======================================================= */ +#define INTC_EINT3CONF2_CONF11_Pos (12UL) /*!< INTC EINT3CONF2: CONF11 (Bit 12) */ +#define INTC_EINT3CONF2_CONF11_Msk (0xf000UL) /*!< INTC EINT3CONF2: CONF11 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF2_CONF10_Pos (8UL) /*!< INTC EINT3CONF2: CONF10 (Bit 8) */ +#define INTC_EINT3CONF2_CONF10_Msk (0xf00UL) /*!< INTC EINT3CONF2: CONF10 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF2_CONF9_Pos (4UL) /*!< INTC EINT3CONF2: CONF9 (Bit 4) */ +#define INTC_EINT3CONF2_CONF9_Msk (0xf0UL) /*!< INTC EINT3CONF2: CONF9 (Bitfield-Mask: 0x0f) */ +#define INTC_EINT3CONF2_CONF8_Pos (0UL) /*!< INTC EINT3CONF2: CONF8 (Bit 0) */ +#define INTC_EINT3CONF2_CONF8_Msk (0xfUL) /*!< INTC EINT3CONF2: CONF8 (Bitfield-Mask: 0x0f) */ +/* ========================================================== MSK ========================================================== */ +#define INTC_MSK_IMSK31_NULL_Pos (31UL) /*!< INTC MSK: IMSK31_NULL (Bit 31) */ +#define INTC_MSK_IMSK31_NULL_Msk (0x80000000UL) /*!< INTC MSK: IMSK31_NULL (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK30_NULL_Pos (30UL) /*!< INTC MSK: IMSK30_NULL (Bit 30) */ +#define INTC_MSK_IMSK30_NULL_Msk (0x40000000UL) /*!< INTC MSK: IMSK30_NULL (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK29_NULL_Pos (29UL) /*!< INTC MSK: IMSK29_NULL (Bit 29) */ +#define INTC_MSK_IMSK29_NULL_Msk (0x20000000UL) /*!< INTC MSK: IMSK29_NULL (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK28_NULL_Pos (28UL) /*!< INTC MSK: IMSK28_NULL (Bit 28) */ +#define INTC_MSK_IMSK28_NULL_Msk (0x10000000UL) /*!< INTC MSK: IMSK28_NULL (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK27_USART13_Pos (27UL) /*!< INTC MSK: IMSK27_USART13 (Bit 27) */ +#define INTC_MSK_IMSK27_USART13_Msk (0x8000000UL) /*!< INTC MSK: IMSK27_USART13 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK26_USART12_Pos (26UL) /*!< INTC MSK: IMSK26_USART12 (Bit 26) */ +#define INTC_MSK_IMSK26_USART12_Msk (0x4000000UL) /*!< INTC MSK: IMSK26_USART12 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK25_I2C2_Pos (25UL) /*!< INTC MSK: IMSK25_I2C2 (Bit 25) */ +#define INTC_MSK_IMSK25_I2C2_Msk (0x2000000UL) /*!< INTC MSK: IMSK25_I2C2 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK24_TIMER16_Pos (24UL) /*!< INTC MSK: IMSK24_TIMER16 (Bit 24) */ +#define INTC_MSK_IMSK24_TIMER16_Msk (0x1000000UL) /*!< INTC MSK: IMSK24_TIMER16 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK23_TIMER15_Pos (23UL) /*!< INTC MSK: IMSK23_TIMER15 (Bit 23) */ +#define INTC_MSK_IMSK23_TIMER15_Msk (0x800000UL) /*!< INTC MSK: IMSK23_TIMER15 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK22_TIMER14_Pos (22UL) /*!< INTC MSK: IMSK22_TIMER14 (Bit 22) */ +#define INTC_MSK_IMSK22_TIMER14_Msk (0x400000UL) /*!< INTC MSK: IMSK22_TIMER14 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK21_TIMER13_Pos (21UL) /*!< INTC MSK: IMSK21_TIMER13 (Bit 21) */ +#define INTC_MSK_IMSK21_TIMER13_Msk (0x200000UL) /*!< INTC MSK: IMSK21_TIMER13 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK20_UART1_Pos (20UL) /*!< INTC MSK: IMSK20_UART1 (Bit 20) */ +#define INTC_MSK_IMSK20_UART1_Msk (0x100000UL) /*!< INTC MSK: IMSK20_UART1 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK19_UART0_Pos (19UL) /*!< INTC MSK: IMSK19_UART0 (Bit 19) */ +#define INTC_MSK_IMSK19_UART0_Msk (0x80000UL) /*!< INTC MSK: IMSK19_UART0 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK18_ADC_Pos (18UL) /*!< INTC MSK: IMSK18_ADC (Bit 18) */ +#define INTC_MSK_IMSK18_ADC_Msk (0x40000UL) /*!< INTC MSK: IMSK18_ADC (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK17_USART11_Pos (17UL) /*!< INTC MSK: IMSK17_USART11 (Bit 17) */ +#define INTC_MSK_IMSK17_USART11_Msk (0x20000UL) /*!< INTC MSK: IMSK17_USART11 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK16_TIMER21_Pos (16UL) /*!< INTC MSK: IMSK16_TIMER21 (Bit 16) */ +#define INTC_MSK_IMSK16_TIMER21_Msk (0x10000UL) /*!< INTC MSK: IMSK16_TIMER21 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK15_TIMER20_Pos (15UL) /*!< INTC MSK: IMSK15_TIMER20 (Bit 15) */ +#define INTC_MSK_IMSK15_TIMER20_Msk (0x8000UL) /*!< INTC MSK: IMSK15_TIMER20 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK14_I2C1_Pos (14UL) /*!< INTC MSK: IMSK14_I2C1 (Bit 14) */ +#define INTC_MSK_IMSK14_I2C1_Msk (0x4000UL) /*!< INTC MSK: IMSK14_I2C1 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK13_TIMER30_Pos (13UL) /*!< INTC MSK: IMSK13_TIMER30 (Bit 13) */ +#define INTC_MSK_IMSK13_TIMER30_Msk (0x2000UL) /*!< INTC MSK: IMSK13_TIMER30 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK12_WT_Pos (12UL) /*!< INTC MSK: IMSK12_WT (Bit 12) */ +#define INTC_MSK_IMSK12_WT_Msk (0x1000UL) /*!< INTC MSK: IMSK12_WT (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK11_USART10_Pos (11UL) /*!< INTC MSK: IMSK11_USART10 (Bit 11) */ +#define INTC_MSK_IMSK11_USART10_Msk (0x800UL) /*!< INTC MSK: IMSK11_USART10 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK10_I2C0_Pos (10UL) /*!< INTC MSK: IMSK10_I2C0 (Bit 10) */ +#define INTC_MSK_IMSK10_I2C0_Msk (0x400UL) /*!< INTC MSK: IMSK10_I2C0 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK9_TIMER12_Pos (9UL) /*!< INTC MSK: IMSK9_TIMER12 (Bit 9) */ +#define INTC_MSK_IMSK9_TIMER12_Msk (0x200UL) /*!< INTC MSK: IMSK9_TIMER12 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK8_TIMER11_Pos (8UL) /*!< INTC MSK: IMSK8_TIMER11 (Bit 8) */ +#define INTC_MSK_IMSK8_TIMER11_Msk (0x100UL) /*!< INTC MSK: IMSK8_TIMER11 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK7_TIMER10_Pos (7UL) /*!< INTC MSK: IMSK7_TIMER10 (Bit 7) */ +#define INTC_MSK_IMSK7_TIMER10_Msk (0x80UL) /*!< INTC MSK: IMSK7_TIMER10 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK6_EINT3_Pos (6UL) /*!< INTC MSK: IMSK6_EINT3 (Bit 6) */ +#define INTC_MSK_IMSK6_EINT3_Msk (0x40UL) /*!< INTC MSK: IMSK6_EINT3 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK5_EINT2_Pos (5UL) /*!< INTC MSK: IMSK5_EINT2 (Bit 5) */ +#define INTC_MSK_IMSK5_EINT2_Msk (0x20UL) /*!< INTC MSK: IMSK5_EINT2 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK4_EINT1_Pos (4UL) /*!< INTC MSK: IMSK4_EINT1 (Bit 4) */ +#define INTC_MSK_IMSK4_EINT1_Msk (0x10UL) /*!< INTC MSK: IMSK4_EINT1 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK3_EINT0_Pos (3UL) /*!< INTC MSK: IMSK3_EINT0 (Bit 3) */ +#define INTC_MSK_IMSK3_EINT0_Msk (0x8UL) /*!< INTC MSK: IMSK3_EINT0 (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK2_WDT_Pos (2UL) /*!< INTC MSK: IMSK2_WDT (Bit 2) */ +#define INTC_MSK_IMSK2_WDT_Msk (0x4UL) /*!< INTC MSK: IMSK2_WDT (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK1_WUT_Pos (1UL) /*!< INTC MSK: IMSK1_WUT (Bit 1) */ +#define INTC_MSK_IMSK1_WUT_Msk (0x2UL) /*!< INTC MSK: IMSK1_WUT (Bitfield-Mask: 0x01) */ +#define INTC_MSK_IMSK0_LVI_Pos (0UL) /*!< INTC MSK: IMSK0_LVI (Bit 0) */ +#define INTC_MSK_IMSK0_LVI_Msk (0x1UL) /*!< INTC MSK: IMSK0_LVI (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ SCUCC ================ */ +/* =========================================================================================================================== */ + +/* ======================================================= VENDORID ======================================================== */ +#define SCUCC_VENDORID_VENDID_Pos (0UL) /*!< SCUCC VENDORID: VENDID (Bit 0) */ +#define SCUCC_VENDORID_VENDID_Msk (0xffffffffUL) /*!< SCUCC VENDORID: VENDID (Bitfield-Mask: 0xffffffff) */ +/* ======================================================== CHIPID ========================================================= */ +#define SCUCC_CHIPID_CHIPID_Pos (0UL) /*!< SCUCC CHIPID: CHIPID (Bit 0) */ +#define SCUCC_CHIPID_CHIPID_Msk (0xffffffffUL) /*!< SCUCC CHIPID: CHIPID (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= REVNR ========================================================= */ +#define SCUCC_REVNR_REVNO_Pos (0UL) /*!< SCUCC REVNR: REVNO (Bit 0) */ +#define SCUCC_REVNR_REVNO_Msk (0xffUL) /*!< SCUCC REVNR: REVNO (Bitfield-Mask: 0xff) */ +/* ======================================================== PMREMAP ======================================================== */ +#define SCUCC_PMREMAP_WTIDKY_Pos (16UL) /*!< SCUCC PMREMAP: WTIDKY (Bit 16) */ +#define SCUCC_PMREMAP_WTIDKY_Msk (0xffff0000UL) /*!< SCUCC PMREMAP: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCC_PMREMAP_nPMREM_Pos (8UL) /*!< SCUCC PMREMAP: nPMREM (Bit 8) */ +#define SCUCC_PMREMAP_nPMREM_Msk (0xff00UL) /*!< SCUCC PMREMAP: nPMREM (Bitfield-Mask: 0xff) */ +#define SCUCC_PMREMAP_PMREM_Pos (0UL) /*!< SCUCC PMREMAP: PMREM (Bit 0) */ +#define SCUCC_PMREMAP_PMREM_Msk (0xffUL) /*!< SCUCC PMREMAP: PMREM (Bitfield-Mask: 0xff) */ +/* ======================================================== BTPSCR ========================================================= */ +#define SCUCC_BTPSCR_BFIND_Pos (5UL) /*!< SCUCC BTPSCR: BFIND (Bit 5) */ +#define SCUCC_BTPSCR_BFIND_Msk (0x60UL) /*!< SCUCC BTPSCR: BFIND (Bitfield-Mask: 0x03) */ +#define SCUCC_BTPSCR_BTPSTA_Pos (0UL) /*!< SCUCC BTPSCR: BTPSTA (Bit 0) */ +#define SCUCC_BTPSCR_BTPSTA_Msk (0x1UL) /*!< SCUCC BTPSCR: BTPSTA (Bitfield-Mask: 0x01) */ +/* ======================================================== RSTSSR ========================================================= */ +#define SCUCC_RSTSSR_MONSTA_Pos (5UL) /*!< SCUCC RSTSSR: MONSTA (Bit 5) */ +#define SCUCC_RSTSSR_MONSTA_Msk (0x20UL) /*!< SCUCC RSTSSR: MONSTA (Bitfield-Mask: 0x01) */ +#define SCUCC_RSTSSR_SWSTA_Pos (4UL) /*!< SCUCC RSTSSR: SWSTA (Bit 4) */ +#define SCUCC_RSTSSR_SWSTA_Msk (0x10UL) /*!< SCUCC RSTSSR: SWSTA (Bitfield-Mask: 0x01) */ +#define SCUCC_RSTSSR_EXTSTA_Pos (3UL) /*!< SCUCC RSTSSR: EXTSTA (Bit 3) */ +#define SCUCC_RSTSSR_EXTSTA_Msk (0x8UL) /*!< SCUCC RSTSSR: EXTSTA (Bitfield-Mask: 0x01) */ +#define SCUCC_RSTSSR_WDTSTA_Pos (2UL) /*!< SCUCC RSTSSR: WDTSTA (Bit 2) */ +#define SCUCC_RSTSSR_WDTSTA_Msk (0x4UL) /*!< SCUCC RSTSSR: WDTSTA (Bitfield-Mask: 0x01) */ +#define SCUCC_RSTSSR_LVRSTA_Pos (1UL) /*!< SCUCC RSTSSR: LVRSTA (Bit 1) */ +#define SCUCC_RSTSSR_LVRSTA_Msk (0x2UL) /*!< SCUCC RSTSSR: LVRSTA (Bitfield-Mask: 0x01) */ +#define SCUCC_RSTSSR_PORSTA_Pos (0UL) /*!< SCUCC RSTSSR: PORSTA (Bit 0) */ +#define SCUCC_RSTSSR_PORSTA_Msk (0x1UL) /*!< SCUCC RSTSSR: PORSTA (Bitfield-Mask: 0x01) */ +/* ======================================================== NMISRCR ======================================================== */ +#define SCUCC_NMISRCR_NMICON_Pos (7UL) /*!< SCUCC NMISRCR: NMICON (Bit 7) */ +#define SCUCC_NMISRCR_NMICON_Msk (0x80UL) /*!< SCUCC NMISRCR: NMICON (Bitfield-Mask: 0x01) */ +#define SCUCC_NMISRCR_MONINT_Pos (6UL) /*!< SCUCC NMISRCR: MONINT (Bit 6) */ +#define SCUCC_NMISRCR_MONINT_Msk (0x40UL) /*!< SCUCC NMISRCR: MONINT (Bitfield-Mask: 0x01) */ +#define SCUCC_NMISRCR_NMISRC_Pos (0UL) /*!< SCUCC NMISRCR: NMISRC (Bit 0) */ +#define SCUCC_NMISRCR_NMISRC_Msk (0x1fUL) /*!< SCUCC NMISRCR: NMISRC (Bitfield-Mask: 0x1f) */ +/* ======================================================== SWRSTR ========================================================= */ +#define SCUCC_SWRSTR_WTIDKY_Pos (16UL) /*!< SCUCC SWRSTR: WTIDKY (Bit 16) */ +#define SCUCC_SWRSTR_WTIDKY_Msk (0xffff0000UL) /*!< SCUCC SWRSTR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCC_SWRSTR_SWRST_Pos (0UL) /*!< SCUCC SWRSTR: SWRST (Bit 0) */ +#define SCUCC_SWRSTR_SWRST_Msk (0xffUL) /*!< SCUCC SWRSTR: SWRST (Bitfield-Mask: 0xff) */ +/* ======================================================== SRSTVR ========================================================= */ +#define SCUCC_SRSTVR_VALID_Pos (0UL) /*!< SCUCC SRSTVR: VALID (Bit 0) */ +#define SCUCC_SRSTVR_VALID_Msk (0xffUL) /*!< SCUCC SRSTVR: VALID (Bitfield-Mask: 0xff) */ +/* ========================================================= WUTCR ========================================================= */ +#define SCUCC_WUTCR_WUTIEN_Pos (7UL) /*!< SCUCC WUTCR: WUTIEN (Bit 7) */ +#define SCUCC_WUTCR_WUTIEN_Msk (0x80UL) /*!< SCUCC WUTCR: WUTIEN (Bitfield-Mask: 0x01) */ +#define SCUCC_WUTCR_CNTRLD_Pos (1UL) /*!< SCUCC WUTCR: CNTRLD (Bit 1) */ +#define SCUCC_WUTCR_CNTRLD_Msk (0x2UL) /*!< SCUCC WUTCR: CNTRLD (Bitfield-Mask: 0x01) */ +#define SCUCC_WUTCR_WUTIFLAG_Pos (0UL) /*!< SCUCC WUTCR: WUTIFLAG (Bit 0) */ +#define SCUCC_WUTCR_WUTIFLAG_Msk (0x1UL) /*!< SCUCC WUTCR: WUTIFLAG (Bitfield-Mask: 0x01) */ +/* ========================================================= WUTDR ========================================================= */ +#define SCUCC_WUTDR_WUTDATA_Pos (0UL) /*!< SCUCC WUTDR: WUTDATA (Bit 0) */ +#define SCUCC_WUTDR_WUTDATA_Msk (0xffffUL) /*!< SCUCC WUTDR: WUTDATA (Bitfield-Mask: 0xffff) */ +/* ======================================================== HIRCTRM ======================================================== */ +#define SCUCC_HIRCTRM_WTIDKY_Pos (16UL) /*!< SCUCC HIRCTRM: WTIDKY (Bit 16) */ +#define SCUCC_HIRCTRM_WTIDKY_Msk (0xffff0000UL) /*!< SCUCC HIRCTRM: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCC_HIRCTRM_nTRMH_Pos (8UL) /*!< SCUCC HIRCTRM: nTRMH (Bit 8) */ +#define SCUCC_HIRCTRM_nTRMH_Msk (0xff00UL) /*!< SCUCC HIRCTRM: nTRMH (Bitfield-Mask: 0xff) */ +#define SCUCC_HIRCTRM_CTRMH_Pos (5UL) /*!< SCUCC HIRCTRM: CTRMH (Bit 5) */ +#define SCUCC_HIRCTRM_CTRMH_Msk (0xe0UL) /*!< SCUCC HIRCTRM: CTRMH (Bitfield-Mask: 0x07) */ +#define SCUCC_HIRCTRM_FTRMH_Pos (0UL) /*!< SCUCC HIRCTRM: FTRMH (Bit 0) */ +#define SCUCC_HIRCTRM_FTRMH_Msk (0x1fUL) /*!< SCUCC HIRCTRM: FTRMH (Bitfield-Mask: 0x1f) */ +/* ======================================================= WDTRCTRM ======================================================== */ +#define SCUCC_WDTRCTRM_WTIDKY_Pos (16UL) /*!< SCUCC WDTRCTRM: WTIDKY (Bit 16) */ +#define SCUCC_WDTRCTRM_WTIDKY_Msk (0xffff0000UL) /*!< SCUCC WDTRCTRM: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCC_WDTRCTRM_nTRMW_Pos (8UL) /*!< SCUCC WDTRCTRM: nTRMW (Bit 8) */ +#define SCUCC_WDTRCTRM_nTRMW_Msk (0xff00UL) /*!< SCUCC WDTRCTRM: nTRMW (Bitfield-Mask: 0xff) */ +#define SCUCC_WDTRCTRM_CTRMW_Pos (4UL) /*!< SCUCC WDTRCTRM: CTRMW (Bit 4) */ +#define SCUCC_WDTRCTRM_CTRMW_Msk (0xf0UL) /*!< SCUCC WDTRCTRM: CTRMW (Bitfield-Mask: 0x0f) */ +#define SCUCC_WDTRCTRM_FTRMW_Pos (0UL) /*!< SCUCC WDTRCTRM: FTRMW (Bit 0) */ +#define SCUCC_WDTRCTRM_FTRMW_Msk (0x7UL) /*!< SCUCC WDTRCTRM: FTRMW (Bitfield-Mask: 0x07) */ + + +/* =========================================================================================================================== */ +/* ================ SCUCG ================ */ +/* =========================================================================================================================== */ + +/* ========================================================= SCCR ========================================================== */ +#define SCUCG_SCCR_WTIDKY_Pos (16UL) /*!< SCUCG SCCR: WTIDKY (Bit 16) */ +#define SCUCG_SCCR_WTIDKY_Msk (0xffff0000UL) /*!< SCUCG SCCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCG_SCCR_MCLKSEL_Pos (0UL) /*!< SCUCG SCCR: MCLKSEL (Bit 0) */ +#define SCUCG_SCCR_MCLKSEL_Msk (0x3UL) /*!< SCUCG SCCR: MCLKSEL (Bitfield-Mask: 0x03) */ +/* ======================================================== CLKSRCR ======================================================== */ +#define SCUCG_CLKSRCR_WTIDKY_Pos (16UL) /*!< SCUCG CLKSRCR: WTIDKY (Bit 16) */ +#define SCUCG_CLKSRCR_WTIDKY_Msk (0xffff0000UL) /*!< SCUCG CLKSRCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCG_CLKSRCR_HIRCSEL_Pos (12UL) /*!< SCUCG CLKSRCR: HIRCSEL (Bit 12) */ +#define SCUCG_CLKSRCR_HIRCSEL_Msk (0x3000UL) /*!< SCUCG CLKSRCR: HIRCSEL (Bitfield-Mask: 0x03) */ +#define SCUCG_CLKSRCR_XMFRNG_Pos (8UL) /*!< SCUCG CLKSRCR: XMFRNG (Bit 8) */ +#define SCUCG_CLKSRCR_XMFRNG_Msk (0x100UL) /*!< SCUCG CLKSRCR: XMFRNG (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKSRCR_WDTRCEN_Pos (3UL) /*!< SCUCG CLKSRCR: WDTRCEN (Bit 3) */ +#define SCUCG_CLKSRCR_WDTRCEN_Msk (0x8UL) /*!< SCUCG CLKSRCR: WDTRCEN (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKSRCR_HIRCEN_Pos (2UL) /*!< SCUCG CLKSRCR: HIRCEN (Bit 2) */ +#define SCUCG_CLKSRCR_HIRCEN_Msk (0x4UL) /*!< SCUCG CLKSRCR: HIRCEN (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKSRCR_XMOSCEN_Pos (1UL) /*!< SCUCG CLKSRCR: XMOSCEN (Bit 1) */ +#define SCUCG_CLKSRCR_XMOSCEN_Msk (0x2UL) /*!< SCUCG CLKSRCR: XMOSCEN (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKSRCR_XSOSCEN_Pos (0UL) /*!< SCUCG CLKSRCR: XSOSCEN (Bit 0) */ +#define SCUCG_CLKSRCR_XSOSCEN_Msk (0x1UL) /*!< SCUCG CLKSRCR: XSOSCEN (Bitfield-Mask: 0x01) */ +/* ======================================================== SCDIVR1 ======================================================== */ +#define SCUCG_SCDIVR1_WLDIV_Pos (4UL) /*!< SCUCG SCDIVR1: WLDIV (Bit 4) */ +#define SCUCG_SCDIVR1_WLDIV_Msk (0x70UL) /*!< SCUCG SCDIVR1: WLDIV (Bitfield-Mask: 0x07) */ +#define SCUCG_SCDIVR1_HDIV_Pos (0UL) /*!< SCUCG SCDIVR1: HDIV (Bit 0) */ +#define SCUCG_SCDIVR1_HDIV_Msk (0x7UL) /*!< SCUCG SCDIVR1: HDIV (Bitfield-Mask: 0x07) */ +/* ======================================================== SCDIVR2 ======================================================== */ +#define SCUCG_SCDIVR2_SYSTDIV_Pos (4UL) /*!< SCUCG SCDIVR2: SYSTDIV (Bit 4) */ +#define SCUCG_SCDIVR2_SYSTDIV_Msk (0x30UL) /*!< SCUCG SCDIVR2: SYSTDIV (Bitfield-Mask: 0x03) */ +#define SCUCG_SCDIVR2_PDIV_Pos (0UL) /*!< SCUCG SCDIVR2: PDIV (Bit 0) */ +#define SCUCG_SCDIVR2_PDIV_Msk (0x3UL) /*!< SCUCG SCDIVR2: PDIV (Bitfield-Mask: 0x03) */ +/* ======================================================== CLKOCR ========================================================= */ +#define SCUCG_CLKOCR_CLKOEN_Pos (7UL) /*!< SCUCG CLKOCR: CLKOEN (Bit 7) */ +#define SCUCG_CLKOCR_CLKOEN_Msk (0x80UL) /*!< SCUCG CLKOCR: CLKOEN (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKOCR_POLSEL_Pos (6UL) /*!< SCUCG CLKOCR: POLSEL (Bit 6) */ +#define SCUCG_CLKOCR_POLSEL_Msk (0x40UL) /*!< SCUCG CLKOCR: POLSEL (Bitfield-Mask: 0x01) */ +#define SCUCG_CLKOCR_CLKODIV_Pos (3UL) /*!< SCUCG CLKOCR: CLKODIV (Bit 3) */ +#define SCUCG_CLKOCR_CLKODIV_Msk (0x38UL) /*!< SCUCG CLKOCR: CLKODIV (Bitfield-Mask: 0x07) */ +#define SCUCG_CLKOCR_CLKOS_Pos (0UL) /*!< SCUCG CLKOCR: CLKOS (Bit 0) */ +#define SCUCG_CLKOCR_CLKOS_Msk (0x7UL) /*!< SCUCG CLKOCR: CLKOS (Bitfield-Mask: 0x07) */ +/* ======================================================== CMONCR ========================================================= */ +#define SCUCG_CMONCR_MONEN_Pos (7UL) /*!< SCUCG CMONCR: MONEN (Bit 7) */ +#define SCUCG_CMONCR_MONEN_Msk (0x80UL) /*!< SCUCG CMONCR: MONEN (Bitfield-Mask: 0x01) */ +#define SCUCG_CMONCR_MACTS_Pos (5UL) /*!< SCUCG CMONCR: MACTS (Bit 5) */ +#define SCUCG_CMONCR_MACTS_Msk (0x60UL) /*!< SCUCG CMONCR: MACTS (Bitfield-Mask: 0x03) */ +#define SCUCG_CMONCR_MONFLAG_Pos (3UL) /*!< SCUCG CMONCR: MONFLAG (Bit 3) */ +#define SCUCG_CMONCR_MONFLAG_Msk (0x8UL) /*!< SCUCG CMONCR: MONFLAG (Bitfield-Mask: 0x01) */ +#define SCUCG_CMONCR_NMINTFG_Pos (2UL) /*!< SCUCG CMONCR: NMINTFG (Bit 2) */ +#define SCUCG_CMONCR_NMINTFG_Msk (0x4UL) /*!< SCUCG CMONCR: NMINTFG (Bitfield-Mask: 0x01) */ +#define SCUCG_CMONCR_MONCS_Pos (0UL) /*!< SCUCG CMONCR: MONCS (Bit 0) */ +#define SCUCG_CMONCR_MONCS_Msk (0x3UL) /*!< SCUCG CMONCR: MONCS (Bitfield-Mask: 0x03) */ +/* ======================================================= PPCLKEN1 ======================================================== */ +#define SCUCG_PPCLKEN1_T21CLKE_Pos (21UL) /*!< SCUCG PPCLKEN1: T21CLKE (Bit 21) */ +#define SCUCG_PPCLKEN1_T21CLKE_Msk (0x200000UL) /*!< SCUCG PPCLKEN1: T21CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T20CLKE_Pos (20UL) /*!< SCUCG PPCLKEN1: T20CLKE (Bit 20) */ +#define SCUCG_PPCLKEN1_T20CLKE_Msk (0x100000UL) /*!< SCUCG PPCLKEN1: T20CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T30CLKE_Pos (19UL) /*!< SCUCG PPCLKEN1: T30CLKE (Bit 19) */ +#define SCUCG_PPCLKEN1_T30CLKE_Msk (0x80000UL) /*!< SCUCG PPCLKEN1: T30CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T12CLKE_Pos (18UL) /*!< SCUCG PPCLKEN1: T12CLKE (Bit 18) */ +#define SCUCG_PPCLKEN1_T12CLKE_Msk (0x40000UL) /*!< SCUCG PPCLKEN1: T12CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T11CLKE_Pos (17UL) /*!< SCUCG PPCLKEN1: T11CLKE (Bit 17) */ +#define SCUCG_PPCLKEN1_T11CLKE_Msk (0x20000UL) /*!< SCUCG PPCLKEN1: T11CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T10CLKE_Pos (16UL) /*!< SCUCG PPCLKEN1: T10CLKE (Bit 16) */ +#define SCUCG_PPCLKEN1_T10CLKE_Msk (0x10000UL) /*!< SCUCG PPCLKEN1: T10CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T16CLKE_Pos (11UL) /*!< SCUCG PPCLKEN1: T16CLKE (Bit 11) */ +#define SCUCG_PPCLKEN1_T16CLKE_Msk (0x800UL) /*!< SCUCG PPCLKEN1: T16CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T15CLKE_Pos (10UL) /*!< SCUCG PPCLKEN1: T15CLKE (Bit 10) */ +#define SCUCG_PPCLKEN1_T15CLKE_Msk (0x400UL) /*!< SCUCG PPCLKEN1: T15CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T14CLKE_Pos (9UL) /*!< SCUCG PPCLKEN1: T14CLKE (Bit 9) */ +#define SCUCG_PPCLKEN1_T14CLKE_Msk (0x200UL) /*!< SCUCG PPCLKEN1: T14CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_T13CLKE_Pos (8UL) /*!< SCUCG PPCLKEN1: T13CLKE (Bit 8) */ +#define SCUCG_PPCLKEN1_T13CLKE_Msk (0x100UL) /*!< SCUCG PPCLKEN1: T13CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PFCLKE_Pos (5UL) /*!< SCUCG PPCLKEN1: PFCLKE (Bit 5) */ +#define SCUCG_PPCLKEN1_PFCLKE_Msk (0x20UL) /*!< SCUCG PPCLKEN1: PFCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PECLKE_Pos (4UL) /*!< SCUCG PPCLKEN1: PECLKE (Bit 4) */ +#define SCUCG_PPCLKEN1_PECLKE_Msk (0x10UL) /*!< SCUCG PPCLKEN1: PECLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PDCLKE_Pos (3UL) /*!< SCUCG PPCLKEN1: PDCLKE (Bit 3) */ +#define SCUCG_PPCLKEN1_PDCLKE_Msk (0x8UL) /*!< SCUCG PPCLKEN1: PDCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PCCLKE_Pos (2UL) /*!< SCUCG PPCLKEN1: PCCLKE (Bit 2) */ +#define SCUCG_PPCLKEN1_PCCLKE_Msk (0x4UL) /*!< SCUCG PPCLKEN1: PCCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PBCLKE_Pos (1UL) /*!< SCUCG PPCLKEN1: PBCLKE (Bit 1) */ +#define SCUCG_PPCLKEN1_PBCLKE_Msk (0x2UL) /*!< SCUCG PPCLKEN1: PBCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN1_PACLKE_Pos (0UL) /*!< SCUCG PPCLKEN1: PACLKE (Bit 0) */ +#define SCUCG_PPCLKEN1_PACLKE_Msk (0x1UL) /*!< SCUCG PPCLKEN1: PACLKE (Bitfield-Mask: 0x01) */ +/* ======================================================= PPCLKEN2 ======================================================== */ +#define SCUCG_PPCLKEN2_FMCLKE_Pos (19UL) /*!< SCUCG PPCLKEN2: FMCLKE (Bit 19) */ +#define SCUCG_PPCLKEN2_FMCLKE_Msk (0x80000UL) /*!< SCUCG PPCLKEN2: FMCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_LVICLKE_Pos (18UL) /*!< SCUCG PPCLKEN2: LVICLKE (Bit 18) */ +#define SCUCG_PPCLKEN2_LVICLKE_Msk (0x40000UL) /*!< SCUCG PPCLKEN2: LVICLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_WDTCLKE_Pos (17UL) /*!< SCUCG PPCLKEN2: WDTCLKE (Bit 17) */ +#define SCUCG_PPCLKEN2_WDTCLKE_Msk (0x20000UL) /*!< SCUCG PPCLKEN2: WDTCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_WTCLKE_Pos (16UL) /*!< SCUCG PPCLKEN2: WTCLKE (Bit 16) */ +#define SCUCG_PPCLKEN2_WTCLKE_Msk (0x10000UL) /*!< SCUCG PPCLKEN2: WTCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_LCDCLKE_Pos (13UL) /*!< SCUCG PPCLKEN2: LCDCLKE (Bit 13) */ +#define SCUCG_PPCLKEN2_LCDCLKE_Msk (0x2000UL) /*!< SCUCG PPCLKEN2: LCDCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_CRCLKE_Pos (12UL) /*!< SCUCG PPCLKEN2: CRCLKE (Bit 12) */ +#define SCUCG_PPCLKEN2_CRCLKE_Msk (0x1000UL) /*!< SCUCG PPCLKEN2: CRCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_ADCLKE_Pos (10UL) /*!< SCUCG PPCLKEN2: ADCLKE (Bit 10) */ +#define SCUCG_PPCLKEN2_ADCLKE_Msk (0x400UL) /*!< SCUCG PPCLKEN2: ADCLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_I2C2CLKE_Pos (8UL) /*!< SCUCG PPCLKEN2: I2C2CLKE (Bit 8) */ +#define SCUCG_PPCLKEN2_I2C2CLKE_Msk (0x100UL) /*!< SCUCG PPCLKEN2: I2C2CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_I2C1CLKE_Pos (7UL) /*!< SCUCG PPCLKEN2: I2C1CLKE (Bit 7) */ +#define SCUCG_PPCLKEN2_I2C1CLKE_Msk (0x80UL) /*!< SCUCG PPCLKEN2: I2C1CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_I2C0CLKE_Pos (6UL) /*!< SCUCG PPCLKEN2: I2C0CLKE (Bit 6) */ +#define SCUCG_PPCLKEN2_I2C0CLKE_Msk (0x40UL) /*!< SCUCG PPCLKEN2: I2C0CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UST13CLKE_Pos (5UL) /*!< SCUCG PPCLKEN2: UST13CLKE (Bit 5) */ +#define SCUCG_PPCLKEN2_UST13CLKE_Msk (0x20UL) /*!< SCUCG PPCLKEN2: UST13CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UST12CLKE_Pos (4UL) /*!< SCUCG PPCLKEN2: UST12CLKE (Bit 4) */ +#define SCUCG_PPCLKEN2_UST12CLKE_Msk (0x10UL) /*!< SCUCG PPCLKEN2: UST12CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UT1CLKE_Pos (3UL) /*!< SCUCG PPCLKEN2: UT1CLKE (Bit 3) */ +#define SCUCG_PPCLKEN2_UT1CLKE_Msk (0x8UL) /*!< SCUCG PPCLKEN2: UT1CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UT0CLKE_Pos (2UL) /*!< SCUCG PPCLKEN2: UT0CLKE (Bit 2) */ +#define SCUCG_PPCLKEN2_UT0CLKE_Msk (0x4UL) /*!< SCUCG PPCLKEN2: UT0CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UST11CLKE_Pos (1UL) /*!< SCUCG PPCLKEN2: UST11CLKE (Bit 1) */ +#define SCUCG_PPCLKEN2_UST11CLKE_Msk (0x2UL) /*!< SCUCG PPCLKEN2: UST11CLKE (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKEN2_UST10CLKE_Pos (0UL) /*!< SCUCG PPCLKEN2: UST10CLKE (Bit 0) */ +#define SCUCG_PPCLKEN2_UST10CLKE_Msk (0x1UL) /*!< SCUCG PPCLKEN2: UST10CLKE (Bitfield-Mask: 0x01) */ +/* ======================================================== PPCLKSR ======================================================== */ +#define SCUCG_PPCLKSR_T20CLK_Pos (20UL) /*!< SCUCG PPCLKSR: T20CLK (Bit 20) */ +#define SCUCG_PPCLKSR_T20CLK_Msk (0x100000UL) /*!< SCUCG PPCLKSR: T20CLK (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKSR_T30CLK_Pos (17UL) /*!< SCUCG PPCLKSR: T30CLK (Bit 17) */ +#define SCUCG_PPCLKSR_T30CLK_Msk (0x20000UL) /*!< SCUCG PPCLKSR: T30CLK (Bitfield-Mask: 0x01) */ +#define SCUCG_PPCLKSR_LCDCLK_Pos (6UL) /*!< SCUCG PPCLKSR: LCDCLK (Bit 6) */ +#define SCUCG_PPCLKSR_LCDCLK_Msk (0xc0UL) /*!< SCUCG PPCLKSR: LCDCLK (Bitfield-Mask: 0x03) */ +#define SCUCG_PPCLKSR_WTCLK_Pos (3UL) /*!< SCUCG PPCLKSR: WTCLK (Bit 3) */ +#define SCUCG_PPCLKSR_WTCLK_Msk (0x18UL) /*!< SCUCG PPCLKSR: WTCLK (Bitfield-Mask: 0x03) */ +#define SCUCG_PPCLKSR_WDTCLK_Pos (0UL) /*!< SCUCG PPCLKSR: WDTCLK (Bit 0) */ +#define SCUCG_PPCLKSR_WDTCLK_Msk (0x1UL) /*!< SCUCG PPCLKSR: WDTCLK (Bitfield-Mask: 0x01) */ +/* ======================================================== PPRST1 ========================================================= */ +#define SCUCG_PPRST1_T21RST_Pos (21UL) /*!< SCUCG PPRST1: T21RST (Bit 21) */ +#define SCUCG_PPRST1_T21RST_Msk (0x200000UL) /*!< SCUCG PPRST1: T21RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T20RST_Pos (20UL) /*!< SCUCG PPRST1: T20RST (Bit 20) */ +#define SCUCG_PPRST1_T20RST_Msk (0x100000UL) /*!< SCUCG PPRST1: T20RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T30RST_Pos (19UL) /*!< SCUCG PPRST1: T30RST (Bit 19) */ +#define SCUCG_PPRST1_T30RST_Msk (0x80000UL) /*!< SCUCG PPRST1: T30RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T12RST_Pos (18UL) /*!< SCUCG PPRST1: T12RST (Bit 18) */ +#define SCUCG_PPRST1_T12RST_Msk (0x40000UL) /*!< SCUCG PPRST1: T12RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T11RST_Pos (17UL) /*!< SCUCG PPRST1: T11RST (Bit 17) */ +#define SCUCG_PPRST1_T11RST_Msk (0x20000UL) /*!< SCUCG PPRST1: T11RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T10RST_Pos (16UL) /*!< SCUCG PPRST1: T10RST (Bit 16) */ +#define SCUCG_PPRST1_T10RST_Msk (0x10000UL) /*!< SCUCG PPRST1: T10RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T16RST_Pos (11UL) /*!< SCUCG PPRST1: T16RST (Bit 11) */ +#define SCUCG_PPRST1_T16RST_Msk (0x800UL) /*!< SCUCG PPRST1: T16RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T15RST_Pos (10UL) /*!< SCUCG PPRST1: T15RST (Bit 10) */ +#define SCUCG_PPRST1_T15RST_Msk (0x400UL) /*!< SCUCG PPRST1: T15RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T14RST_Pos (9UL) /*!< SCUCG PPRST1: T14RST (Bit 9) */ +#define SCUCG_PPRST1_T14RST_Msk (0x200UL) /*!< SCUCG PPRST1: T14RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_T13RST_Pos (8UL) /*!< SCUCG PPRST1: T13RST (Bit 8) */ +#define SCUCG_PPRST1_T13RST_Msk (0x100UL) /*!< SCUCG PPRST1: T13RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PFRST_Pos (5UL) /*!< SCUCG PPRST1: PFRST (Bit 5) */ +#define SCUCG_PPRST1_PFRST_Msk (0x20UL) /*!< SCUCG PPRST1: PFRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PERST_Pos (4UL) /*!< SCUCG PPRST1: PERST (Bit 4) */ +#define SCUCG_PPRST1_PERST_Msk (0x10UL) /*!< SCUCG PPRST1: PERST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PDRST_Pos (3UL) /*!< SCUCG PPRST1: PDRST (Bit 3) */ +#define SCUCG_PPRST1_PDRST_Msk (0x8UL) /*!< SCUCG PPRST1: PDRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PCRST_Pos (2UL) /*!< SCUCG PPRST1: PCRST (Bit 2) */ +#define SCUCG_PPRST1_PCRST_Msk (0x4UL) /*!< SCUCG PPRST1: PCRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PBRST_Pos (1UL) /*!< SCUCG PPRST1: PBRST (Bit 1) */ +#define SCUCG_PPRST1_PBRST_Msk (0x2UL) /*!< SCUCG PPRST1: PBRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST1_PARST_Pos (0UL) /*!< SCUCG PPRST1: PARST (Bit 0) */ +#define SCUCG_PPRST1_PARST_Msk (0x1UL) /*!< SCUCG PPRST1: PARST (Bitfield-Mask: 0x01) */ +/* ======================================================== PPRST2 ========================================================= */ +#define SCUCG_PPRST2_FMCRST_Pos (19UL) /*!< SCUCG PPRST2: FMCRST (Bit 19) */ +#define SCUCG_PPRST2_FMCRST_Msk (0x80000UL) /*!< SCUCG PPRST2: FMCRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_LVIRST_Pos (18UL) /*!< SCUCG PPRST2: LVIRST (Bit 18) */ +#define SCUCG_PPRST2_LVIRST_Msk (0x40000UL) /*!< SCUCG PPRST2: LVIRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_WTRST_Pos (16UL) /*!< SCUCG PPRST2: WTRST (Bit 16) */ +#define SCUCG_PPRST2_WTRST_Msk (0x10000UL) /*!< SCUCG PPRST2: WTRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_LCDRST_Pos (13UL) /*!< SCUCG PPRST2: LCDRST (Bit 13) */ +#define SCUCG_PPRST2_LCDRST_Msk (0x2000UL) /*!< SCUCG PPRST2: LCDRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_CRRST_Pos (12UL) /*!< SCUCG PPRST2: CRRST (Bit 12) */ +#define SCUCG_PPRST2_CRRST_Msk (0x1000UL) /*!< SCUCG PPRST2: CRRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_ADRST_Pos (10UL) /*!< SCUCG PPRST2: ADRST (Bit 10) */ +#define SCUCG_PPRST2_ADRST_Msk (0x400UL) /*!< SCUCG PPRST2: ADRST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_I2C2RST_Pos (8UL) /*!< SCUCG PPRST2: I2C2RST (Bit 8) */ +#define SCUCG_PPRST2_I2C2RST_Msk (0x100UL) /*!< SCUCG PPRST2: I2C2RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_I2C1RST_Pos (7UL) /*!< SCUCG PPRST2: I2C1RST (Bit 7) */ +#define SCUCG_PPRST2_I2C1RST_Msk (0x80UL) /*!< SCUCG PPRST2: I2C1RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_I2C0RST_Pos (6UL) /*!< SCUCG PPRST2: I2C0RST (Bit 6) */ +#define SCUCG_PPRST2_I2C0RST_Msk (0x40UL) /*!< SCUCG PPRST2: I2C0RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UST13RST_Pos (5UL) /*!< SCUCG PPRST2: UST13RST (Bit 5) */ +#define SCUCG_PPRST2_UST13RST_Msk (0x20UL) /*!< SCUCG PPRST2: UST13RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UST12RST_Pos (4UL) /*!< SCUCG PPRST2: UST12RST (Bit 4) */ +#define SCUCG_PPRST2_UST12RST_Msk (0x10UL) /*!< SCUCG PPRST2: UST12RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UT1RST_Pos (3UL) /*!< SCUCG PPRST2: UT1RST (Bit 3) */ +#define SCUCG_PPRST2_UT1RST_Msk (0x8UL) /*!< SCUCG PPRST2: UT1RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UT0RST_Pos (2UL) /*!< SCUCG PPRST2: UT0RST (Bit 2) */ +#define SCUCG_PPRST2_UT0RST_Msk (0x4UL) /*!< SCUCG PPRST2: UT0RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UST11RST_Pos (1UL) /*!< SCUCG PPRST2: UST11RST (Bit 1) */ +#define SCUCG_PPRST2_UST11RST_Msk (0x2UL) /*!< SCUCG PPRST2: UST11RST (Bitfield-Mask: 0x01) */ +#define SCUCG_PPRST2_UST10RST_Pos (0UL) /*!< SCUCG PPRST2: UST10RST (Bit 0) */ +#define SCUCG_PPRST2_UST10RST_Msk (0x1UL) /*!< SCUCG PPRST2: UST10RST (Bitfield-Mask: 0x01) */ +/* ======================================================== XTFLSR ========================================================= */ +#define SCUCG_XTFLSR_WTIDKY_Pos (16UL) /*!< SCUCG XTFLSR: WTIDKY (Bit 16) */ +#define SCUCG_XTFLSR_WTIDKY_Msk (0xffff0000UL) /*!< SCUCG XTFLSR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define SCUCG_XTFLSR_XRNS_Pos (0UL) /*!< SCUCG XTFLSR: XRNS (Bit 0) */ +#define SCUCG_XTFLSR_XRNS_Msk (0x7UL) /*!< SCUCG XTFLSR: XRNS (Bitfield-Mask: 0x07) */ + + +/* =========================================================================================================================== */ +/* ================ SCULV ================ */ +/* =========================================================================================================================== */ + +/* ========================================================= LVICR ========================================================= */ +#define SCULV_LVICR_LVIEN_Pos (7UL) /*!< SCULV LVICR: LVIEN (Bit 7) */ +#define SCULV_LVICR_LVIEN_Msk (0x80UL) /*!< SCULV LVICR: LVIEN (Bitfield-Mask: 0x01) */ +#define SCULV_LVICR_LVINTEN_Pos (5UL) /*!< SCULV LVICR: LVINTEN (Bit 5) */ +#define SCULV_LVICR_LVINTEN_Msk (0x20UL) /*!< SCULV LVICR: LVINTEN (Bitfield-Mask: 0x01) */ +#define SCULV_LVICR_LVIFLAG_Pos (4UL) /*!< SCULV LVICR: LVIFLAG (Bit 4) */ +#define SCULV_LVICR_LVIFLAG_Msk (0x10UL) /*!< SCULV LVICR: LVIFLAG (Bitfield-Mask: 0x01) */ +#define SCULV_LVICR_LVIVS_Pos (0UL) /*!< SCULV LVICR: LVIVS (Bit 0) */ +#define SCULV_LVICR_LVIVS_Msk (0xfUL) /*!< SCULV LVICR: LVIVS (Bitfield-Mask: 0x0f) */ +/* ========================================================= LVRCR ========================================================= */ +#define SCULV_LVRCR_LVREN_Pos (0UL) /*!< SCULV LVRCR: LVREN (Bit 0) */ +#define SCULV_LVRCR_LVREN_Msk (0xffUL) /*!< SCULV LVRCR: LVREN (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ Pn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define Pn_MOD_MODE15_Pos (30UL) /*!< Pn MOD: MODE15 (Bit 30) */ +#define Pn_MOD_MODE15_Msk (0xc0000000UL) /*!< Pn MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE14_Pos (28UL) /*!< Pn MOD: MODE14 (Bit 28) */ +#define Pn_MOD_MODE14_Msk (0x30000000UL) /*!< Pn MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE13_Pos (26UL) /*!< Pn MOD: MODE13 (Bit 26) */ +#define Pn_MOD_MODE13_Msk (0xc000000UL) /*!< Pn MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE12_Pos (24UL) /*!< Pn MOD: MODE12 (Bit 24) */ +#define Pn_MOD_MODE12_Msk (0x3000000UL) /*!< Pn MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE11_Pos (22UL) /*!< Pn MOD: MODE11 (Bit 22) */ +#define Pn_MOD_MODE11_Msk (0xc00000UL) /*!< Pn MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE10_Pos (20UL) /*!< Pn MOD: MODE10 (Bit 20) */ +#define Pn_MOD_MODE10_Msk (0x300000UL) /*!< Pn MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE9_Pos (18UL) /*!< Pn MOD: MODE9 (Bit 18) */ +#define Pn_MOD_MODE9_Msk (0xc0000UL) /*!< Pn MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE8_Pos (16UL) /*!< Pn MOD: MODE8 (Bit 16) */ +#define Pn_MOD_MODE8_Msk (0x30000UL) /*!< Pn MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE7_Pos (14UL) /*!< Pn MOD: MODE7 (Bit 14) */ +#define Pn_MOD_MODE7_Msk (0xc000UL) /*!< Pn MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE6_Pos (12UL) /*!< Pn MOD: MODE6 (Bit 12) */ +#define Pn_MOD_MODE6_Msk (0x3000UL) /*!< Pn MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE5_Pos (10UL) /*!< Pn MOD: MODE5 (Bit 10) */ +#define Pn_MOD_MODE5_Msk (0xc00UL) /*!< Pn MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE4_Pos (8UL) /*!< Pn MOD: MODE4 (Bit 8) */ +#define Pn_MOD_MODE4_Msk (0x300UL) /*!< Pn MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE3_Pos (6UL) /*!< Pn MOD: MODE3 (Bit 6) */ +#define Pn_MOD_MODE3_Msk (0xc0UL) /*!< Pn MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE2_Pos (4UL) /*!< Pn MOD: MODE2 (Bit 4) */ +#define Pn_MOD_MODE2_Msk (0x30UL) /*!< Pn MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE1_Pos (2UL) /*!< Pn MOD: MODE1 (Bit 2) */ +#define Pn_MOD_MODE1_Msk (0xcUL) /*!< Pn MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define Pn_MOD_MODE0_Pos (0UL) /*!< Pn MOD: MODE0 (Bit 0) */ +#define Pn_MOD_MODE0_Msk (0x3UL) /*!< Pn MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define Pn_TYP_TYP15_Pos (15UL) /*!< Pn TYP: TYP15 (Bit 15) */ +#define Pn_TYP_TYP15_Msk (0x8000UL) /*!< Pn TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP14_Pos (14UL) /*!< Pn TYP: TYP14 (Bit 14) */ +#define Pn_TYP_TYP14_Msk (0x4000UL) /*!< Pn TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP13_Pos (13UL) /*!< Pn TYP: TYP13 (Bit 13) */ +#define Pn_TYP_TYP13_Msk (0x2000UL) /*!< Pn TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP12_Pos (12UL) /*!< Pn TYP: TYP12 (Bit 12) */ +#define Pn_TYP_TYP12_Msk (0x1000UL) /*!< Pn TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP11_Pos (11UL) /*!< Pn TYP: TYP11 (Bit 11) */ +#define Pn_TYP_TYP11_Msk (0x800UL) /*!< Pn TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP10_Pos (10UL) /*!< Pn TYP: TYP10 (Bit 10) */ +#define Pn_TYP_TYP10_Msk (0x400UL) /*!< Pn TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP9_Pos (9UL) /*!< Pn TYP: TYP9 (Bit 9) */ +#define Pn_TYP_TYP9_Msk (0x200UL) /*!< Pn TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP8_Pos (8UL) /*!< Pn TYP: TYP8 (Bit 8) */ +#define Pn_TYP_TYP8_Msk (0x100UL) /*!< Pn TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP7_Pos (7UL) /*!< Pn TYP: TYP7 (Bit 7) */ +#define Pn_TYP_TYP7_Msk (0x80UL) /*!< Pn TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP6_Pos (6UL) /*!< Pn TYP: TYP6 (Bit 6) */ +#define Pn_TYP_TYP6_Msk (0x40UL) /*!< Pn TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP5_Pos (5UL) /*!< Pn TYP: TYP5 (Bit 5) */ +#define Pn_TYP_TYP5_Msk (0x20UL) /*!< Pn TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP4_Pos (4UL) /*!< Pn TYP: TYP4 (Bit 4) */ +#define Pn_TYP_TYP4_Msk (0x10UL) /*!< Pn TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP3_Pos (3UL) /*!< Pn TYP: TYP3 (Bit 3) */ +#define Pn_TYP_TYP3_Msk (0x8UL) /*!< Pn TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP2_Pos (2UL) /*!< Pn TYP: TYP2 (Bit 2) */ +#define Pn_TYP_TYP2_Msk (0x4UL) /*!< Pn TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP1_Pos (1UL) /*!< Pn TYP: TYP1 (Bit 1) */ +#define Pn_TYP_TYP1_Msk (0x2UL) /*!< Pn TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define Pn_TYP_TYP0_Pos (0UL) /*!< Pn TYP: TYP0 (Bit 0) */ +#define Pn_TYP_TYP0_Msk (0x1UL) /*!< Pn TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define Pn_AFSR1_AFSR7_Pos (28UL) /*!< Pn AFSR1: AFSR7 (Bit 28) */ +#define Pn_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< Pn AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR6_Pos (24UL) /*!< Pn AFSR1: AFSR6 (Bit 24) */ +#define Pn_AFSR1_AFSR6_Msk (0xf000000UL) /*!< Pn AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR5_Pos (20UL) /*!< Pn AFSR1: AFSR5 (Bit 20) */ +#define Pn_AFSR1_AFSR5_Msk (0xf00000UL) /*!< Pn AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR4_Pos (16UL) /*!< Pn AFSR1: AFSR4 (Bit 16) */ +#define Pn_AFSR1_AFSR4_Msk (0xf0000UL) /*!< Pn AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR3_Pos (12UL) /*!< Pn AFSR1: AFSR3 (Bit 12) */ +#define Pn_AFSR1_AFSR3_Msk (0xf000UL) /*!< Pn AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR2_Pos (8UL) /*!< Pn AFSR1: AFSR2 (Bit 8) */ +#define Pn_AFSR1_AFSR2_Msk (0xf00UL) /*!< Pn AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR1_Pos (4UL) /*!< Pn AFSR1: AFSR1 (Bit 4) */ +#define Pn_AFSR1_AFSR1_Msk (0xf0UL) /*!< Pn AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR1_AFSR0_Pos (0UL) /*!< Pn AFSR1: AFSR0 (Bit 0) */ +#define Pn_AFSR1_AFSR0_Msk (0xfUL) /*!< Pn AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define Pn_AFSR2_AFSR15_Pos (28UL) /*!< Pn AFSR2: AFSR15 (Bit 28) */ +#define Pn_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< Pn AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR14_Pos (24UL) /*!< Pn AFSR2: AFSR14 (Bit 24) */ +#define Pn_AFSR2_AFSR14_Msk (0xf000000UL) /*!< Pn AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR13_Pos (20UL) /*!< Pn AFSR2: AFSR13 (Bit 20) */ +#define Pn_AFSR2_AFSR13_Msk (0xf00000UL) /*!< Pn AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR12_Pos (16UL) /*!< Pn AFSR2: AFSR12 (Bit 16) */ +#define Pn_AFSR2_AFSR12_Msk (0xf0000UL) /*!< Pn AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR11_Pos (12UL) /*!< Pn AFSR2: AFSR11 (Bit 12) */ +#define Pn_AFSR2_AFSR11_Msk (0xf000UL) /*!< Pn AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR10_Pos (8UL) /*!< Pn AFSR2: AFSR10 (Bit 8) */ +#define Pn_AFSR2_AFSR10_Msk (0xf00UL) /*!< Pn AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR9_Pos (4UL) /*!< Pn AFSR2: AFSR9 (Bit 4) */ +#define Pn_AFSR2_AFSR9_Msk (0xf0UL) /*!< Pn AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define Pn_AFSR2_AFSR8_Pos (0UL) /*!< Pn AFSR2: AFSR8 (Bit 0) */ +#define Pn_AFSR2_AFSR8_Msk (0xfUL) /*!< Pn AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define Pn_PUPD_PUPD15_Pos (30UL) /*!< Pn PUPD: PUPD15 (Bit 30) */ +#define Pn_PUPD_PUPD15_Msk (0xc0000000UL) /*!< Pn PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD14_Pos (28UL) /*!< Pn PUPD: PUPD14 (Bit 28) */ +#define Pn_PUPD_PUPD14_Msk (0x30000000UL) /*!< Pn PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD13_Pos (26UL) /*!< Pn PUPD: PUPD13 (Bit 26) */ +#define Pn_PUPD_PUPD13_Msk (0xc000000UL) /*!< Pn PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD12_Pos (24UL) /*!< Pn PUPD: PUPD12 (Bit 24) */ +#define Pn_PUPD_PUPD12_Msk (0x3000000UL) /*!< Pn PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD11_Pos (22UL) /*!< Pn PUPD: PUPD11 (Bit 22) */ +#define Pn_PUPD_PUPD11_Msk (0xc00000UL) /*!< Pn PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD10_Pos (20UL) /*!< Pn PUPD: PUPD10 (Bit 20) */ +#define Pn_PUPD_PUPD10_Msk (0x300000UL) /*!< Pn PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD9_Pos (18UL) /*!< Pn PUPD: PUPD9 (Bit 18) */ +#define Pn_PUPD_PUPD9_Msk (0xc0000UL) /*!< Pn PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD8_Pos (16UL) /*!< Pn PUPD: PUPD8 (Bit 16) */ +#define Pn_PUPD_PUPD8_Msk (0x30000UL) /*!< Pn PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD7_Pos (14UL) /*!< Pn PUPD: PUPD7 (Bit 14) */ +#define Pn_PUPD_PUPD7_Msk (0xc000UL) /*!< Pn PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD6_Pos (12UL) /*!< Pn PUPD: PUPD6 (Bit 12) */ +#define Pn_PUPD_PUPD6_Msk (0x3000UL) /*!< Pn PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD5_Pos (10UL) /*!< Pn PUPD: PUPD5 (Bit 10) */ +#define Pn_PUPD_PUPD5_Msk (0xc00UL) /*!< Pn PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD4_Pos (8UL) /*!< Pn PUPD: PUPD4 (Bit 8) */ +#define Pn_PUPD_PUPD4_Msk (0x300UL) /*!< Pn PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD3_Pos (6UL) /*!< Pn PUPD: PUPD3 (Bit 6) */ +#define Pn_PUPD_PUPD3_Msk (0xc0UL) /*!< Pn PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD2_Pos (4UL) /*!< Pn PUPD: PUPD2 (Bit 4) */ +#define Pn_PUPD_PUPD2_Msk (0x30UL) /*!< Pn PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD1_Pos (2UL) /*!< Pn PUPD: PUPD1 (Bit 2) */ +#define Pn_PUPD_PUPD1_Msk (0xcUL) /*!< Pn PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define Pn_PUPD_PUPD0_Pos (0UL) /*!< Pn PUPD: PUPD0 (Bit 0) */ +#define Pn_PUPD_PUPD0_Msk (0x3UL) /*!< Pn PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define Pn_INDR_INDR15_Pos (15UL) /*!< Pn INDR: INDR15 (Bit 15) */ +#define Pn_INDR_INDR15_Msk (0x8000UL) /*!< Pn INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR14_Pos (14UL) /*!< Pn INDR: INDR14 (Bit 14) */ +#define Pn_INDR_INDR14_Msk (0x4000UL) /*!< Pn INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR13_Pos (13UL) /*!< Pn INDR: INDR13 (Bit 13) */ +#define Pn_INDR_INDR13_Msk (0x2000UL) /*!< Pn INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR12_Pos (12UL) /*!< Pn INDR: INDR12 (Bit 12) */ +#define Pn_INDR_INDR12_Msk (0x1000UL) /*!< Pn INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR11_Pos (11UL) /*!< Pn INDR: INDR11 (Bit 11) */ +#define Pn_INDR_INDR11_Msk (0x800UL) /*!< Pn INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR10_Pos (10UL) /*!< Pn INDR: INDR10 (Bit 10) */ +#define Pn_INDR_INDR10_Msk (0x400UL) /*!< Pn INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR9_Pos (9UL) /*!< Pn INDR: INDR9 (Bit 9) */ +#define Pn_INDR_INDR9_Msk (0x200UL) /*!< Pn INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR8_Pos (8UL) /*!< Pn INDR: INDR8 (Bit 8) */ +#define Pn_INDR_INDR8_Msk (0x100UL) /*!< Pn INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR7_Pos (7UL) /*!< Pn INDR: INDR7 (Bit 7) */ +#define Pn_INDR_INDR7_Msk (0x80UL) /*!< Pn INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR6_Pos (6UL) /*!< Pn INDR: INDR6 (Bit 6) */ +#define Pn_INDR_INDR6_Msk (0x40UL) /*!< Pn INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR5_Pos (5UL) /*!< Pn INDR: INDR5 (Bit 5) */ +#define Pn_INDR_INDR5_Msk (0x20UL) /*!< Pn INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR4_Pos (4UL) /*!< Pn INDR: INDR4 (Bit 4) */ +#define Pn_INDR_INDR4_Msk (0x10UL) /*!< Pn INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR3_Pos (3UL) /*!< Pn INDR: INDR3 (Bit 3) */ +#define Pn_INDR_INDR3_Msk (0x8UL) /*!< Pn INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR2_Pos (2UL) /*!< Pn INDR: INDR2 (Bit 2) */ +#define Pn_INDR_INDR2_Msk (0x4UL) /*!< Pn INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR1_Pos (1UL) /*!< Pn INDR: INDR1 (Bit 1) */ +#define Pn_INDR_INDR1_Msk (0x2UL) /*!< Pn INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define Pn_INDR_INDR0_Pos (0UL) /*!< Pn INDR: INDR0 (Bit 0) */ +#define Pn_INDR_INDR0_Msk (0x1UL) /*!< Pn INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define Pn_OUTDR_OUTDR15_Pos (15UL) /*!< Pn OUTDR: OUTDR15 (Bit 15) */ +#define Pn_OUTDR_OUTDR15_Msk (0x8000UL) /*!< Pn OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR14_Pos (14UL) /*!< Pn OUTDR: OUTDR14 (Bit 14) */ +#define Pn_OUTDR_OUTDR14_Msk (0x4000UL) /*!< Pn OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR13_Pos (13UL) /*!< Pn OUTDR: OUTDR13 (Bit 13) */ +#define Pn_OUTDR_OUTDR13_Msk (0x2000UL) /*!< Pn OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR12_Pos (12UL) /*!< Pn OUTDR: OUTDR12 (Bit 12) */ +#define Pn_OUTDR_OUTDR12_Msk (0x1000UL) /*!< Pn OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR11_Pos (11UL) /*!< Pn OUTDR: OUTDR11 (Bit 11) */ +#define Pn_OUTDR_OUTDR11_Msk (0x800UL) /*!< Pn OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR10_Pos (10UL) /*!< Pn OUTDR: OUTDR10 (Bit 10) */ +#define Pn_OUTDR_OUTDR10_Msk (0x400UL) /*!< Pn OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR9_Pos (9UL) /*!< Pn OUTDR: OUTDR9 (Bit 9) */ +#define Pn_OUTDR_OUTDR9_Msk (0x200UL) /*!< Pn OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR8_Pos (8UL) /*!< Pn OUTDR: OUTDR8 (Bit 8) */ +#define Pn_OUTDR_OUTDR8_Msk (0x100UL) /*!< Pn OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR7_Pos (7UL) /*!< Pn OUTDR: OUTDR7 (Bit 7) */ +#define Pn_OUTDR_OUTDR7_Msk (0x80UL) /*!< Pn OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR6_Pos (6UL) /*!< Pn OUTDR: OUTDR6 (Bit 6) */ +#define Pn_OUTDR_OUTDR6_Msk (0x40UL) /*!< Pn OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR5_Pos (5UL) /*!< Pn OUTDR: OUTDR5 (Bit 5) */ +#define Pn_OUTDR_OUTDR5_Msk (0x20UL) /*!< Pn OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR4_Pos (4UL) /*!< Pn OUTDR: OUTDR4 (Bit 4) */ +#define Pn_OUTDR_OUTDR4_Msk (0x10UL) /*!< Pn OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR3_Pos (3UL) /*!< Pn OUTDR: OUTDR3 (Bit 3) */ +#define Pn_OUTDR_OUTDR3_Msk (0x8UL) /*!< Pn OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR2_Pos (2UL) /*!< Pn OUTDR: OUTDR2 (Bit 2) */ +#define Pn_OUTDR_OUTDR2_Msk (0x4UL) /*!< Pn OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR1_Pos (1UL) /*!< Pn OUTDR: OUTDR1 (Bit 1) */ +#define Pn_OUTDR_OUTDR1_Msk (0x2UL) /*!< Pn OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDR_OUTDR0_Pos (0UL) /*!< Pn OUTDR: OUTDR0 (Bit 0) */ +#define Pn_OUTDR_OUTDR0_Msk (0x1UL) /*!< Pn OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define Pn_BSR_BSR15_Pos (15UL) /*!< Pn BSR: BSR15 (Bit 15) */ +#define Pn_BSR_BSR15_Msk (0x8000UL) /*!< Pn BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR14_Pos (14UL) /*!< Pn BSR: BSR14 (Bit 14) */ +#define Pn_BSR_BSR14_Msk (0x4000UL) /*!< Pn BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR13_Pos (13UL) /*!< Pn BSR: BSR13 (Bit 13) */ +#define Pn_BSR_BSR13_Msk (0x2000UL) /*!< Pn BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR12_Pos (12UL) /*!< Pn BSR: BSR12 (Bit 12) */ +#define Pn_BSR_BSR12_Msk (0x1000UL) /*!< Pn BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR11_Pos (11UL) /*!< Pn BSR: BSR11 (Bit 11) */ +#define Pn_BSR_BSR11_Msk (0x800UL) /*!< Pn BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR10_Pos (10UL) /*!< Pn BSR: BSR10 (Bit 10) */ +#define Pn_BSR_BSR10_Msk (0x400UL) /*!< Pn BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR9_Pos (9UL) /*!< Pn BSR: BSR9 (Bit 9) */ +#define Pn_BSR_BSR9_Msk (0x200UL) /*!< Pn BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR8_Pos (8UL) /*!< Pn BSR: BSR8 (Bit 8) */ +#define Pn_BSR_BSR8_Msk (0x100UL) /*!< Pn BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR7_Pos (7UL) /*!< Pn BSR: BSR7 (Bit 7) */ +#define Pn_BSR_BSR7_Msk (0x80UL) /*!< Pn BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR6_Pos (6UL) /*!< Pn BSR: BSR6 (Bit 6) */ +#define Pn_BSR_BSR6_Msk (0x40UL) /*!< Pn BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR5_Pos (5UL) /*!< Pn BSR: BSR5 (Bit 5) */ +#define Pn_BSR_BSR5_Msk (0x20UL) /*!< Pn BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR4_Pos (4UL) /*!< Pn BSR: BSR4 (Bit 4) */ +#define Pn_BSR_BSR4_Msk (0x10UL) /*!< Pn BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR3_Pos (3UL) /*!< Pn BSR: BSR3 (Bit 3) */ +#define Pn_BSR_BSR3_Msk (0x8UL) /*!< Pn BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR2_Pos (2UL) /*!< Pn BSR: BSR2 (Bit 2) */ +#define Pn_BSR_BSR2_Msk (0x4UL) /*!< Pn BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR1_Pos (1UL) /*!< Pn BSR: BSR1 (Bit 1) */ +#define Pn_BSR_BSR1_Msk (0x2UL) /*!< Pn BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define Pn_BSR_BSR0_Pos (0UL) /*!< Pn BSR: BSR0 (Bit 0) */ +#define Pn_BSR_BSR0_Msk (0x1UL) /*!< Pn BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define Pn_BCR_BCR15_Pos (15UL) /*!< Pn BCR: BCR15 (Bit 15) */ +#define Pn_BCR_BCR15_Msk (0x8000UL) /*!< Pn BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR14_Pos (14UL) /*!< Pn BCR: BCR14 (Bit 14) */ +#define Pn_BCR_BCR14_Msk (0x4000UL) /*!< Pn BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR13_Pos (13UL) /*!< Pn BCR: BCR13 (Bit 13) */ +#define Pn_BCR_BCR13_Msk (0x2000UL) /*!< Pn BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR12_Pos (12UL) /*!< Pn BCR: BCR12 (Bit 12) */ +#define Pn_BCR_BCR12_Msk (0x1000UL) /*!< Pn BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR11_Pos (11UL) /*!< Pn BCR: BCR11 (Bit 11) */ +#define Pn_BCR_BCR11_Msk (0x800UL) /*!< Pn BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR10_Pos (10UL) /*!< Pn BCR: BCR10 (Bit 10) */ +#define Pn_BCR_BCR10_Msk (0x400UL) /*!< Pn BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR9_Pos (9UL) /*!< Pn BCR: BCR9 (Bit 9) */ +#define Pn_BCR_BCR9_Msk (0x200UL) /*!< Pn BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR8_Pos (8UL) /*!< Pn BCR: BCR8 (Bit 8) */ +#define Pn_BCR_BCR8_Msk (0x100UL) /*!< Pn BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR7_Pos (7UL) /*!< Pn BCR: BCR7 (Bit 7) */ +#define Pn_BCR_BCR7_Msk (0x80UL) /*!< Pn BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR6_Pos (6UL) /*!< Pn BCR: BCR6 (Bit 6) */ +#define Pn_BCR_BCR6_Msk (0x40UL) /*!< Pn BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR5_Pos (5UL) /*!< Pn BCR: BCR5 (Bit 5) */ +#define Pn_BCR_BCR5_Msk (0x20UL) /*!< Pn BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR4_Pos (4UL) /*!< Pn BCR: BCR4 (Bit 4) */ +#define Pn_BCR_BCR4_Msk (0x10UL) /*!< Pn BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR3_Pos (3UL) /*!< Pn BCR: BCR3 (Bit 3) */ +#define Pn_BCR_BCR3_Msk (0x8UL) /*!< Pn BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR2_Pos (2UL) /*!< Pn BCR: BCR2 (Bit 2) */ +#define Pn_BCR_BCR2_Msk (0x4UL) /*!< Pn BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR1_Pos (1UL) /*!< Pn BCR: BCR1 (Bit 1) */ +#define Pn_BCR_BCR1_Msk (0x2UL) /*!< Pn BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define Pn_BCR_BCR0_Pos (0UL) /*!< Pn BCR: BCR0 (Bit 0) */ +#define Pn_BCR_BCR0_Msk (0x1UL) /*!< Pn BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define Pn_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< Pn OUTDMSK: OUTDMSK15 (Bit 15) */ +#define Pn_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< Pn OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< Pn OUTDMSK: OUTDMSK14 (Bit 14) */ +#define Pn_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< Pn OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< Pn OUTDMSK: OUTDMSK13 (Bit 13) */ +#define Pn_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< Pn OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< Pn OUTDMSK: OUTDMSK12 (Bit 12) */ +#define Pn_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< Pn OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< Pn OUTDMSK: OUTDMSK11 (Bit 11) */ +#define Pn_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< Pn OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< Pn OUTDMSK: OUTDMSK10 (Bit 10) */ +#define Pn_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< Pn OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< Pn OUTDMSK: OUTDMSK9 (Bit 9) */ +#define Pn_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< Pn OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< Pn OUTDMSK: OUTDMSK8 (Bit 8) */ +#define Pn_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< Pn OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< Pn OUTDMSK: OUTDMSK7 (Bit 7) */ +#define Pn_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< Pn OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< Pn OUTDMSK: OUTDMSK6 (Bit 6) */ +#define Pn_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< Pn OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< Pn OUTDMSK: OUTDMSK5 (Bit 5) */ +#define Pn_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< Pn OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< Pn OUTDMSK: OUTDMSK4 (Bit 4) */ +#define Pn_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< Pn OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< Pn OUTDMSK: OUTDMSK3 (Bit 3) */ +#define Pn_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< Pn OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< Pn OUTDMSK: OUTDMSK2 (Bit 2) */ +#define Pn_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< Pn OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< Pn OUTDMSK: OUTDMSK1 (Bit 1) */ +#define Pn_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< Pn OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define Pn_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< Pn OUTDMSK: OUTDMSK0 (Bit 0) */ +#define Pn_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< Pn OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define Pn_DBCR_DBCLK_Pos (16UL) /*!< Pn DBCR: DBCLK (Bit 16) */ +#define Pn_DBCR_DBCLK_Msk (0x70000UL) /*!< Pn DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define Pn_DBCR_DBEN11_Pos (11UL) /*!< Pn DBCR: DBEN11 (Bit 11) */ +#define Pn_DBCR_DBEN11_Msk (0x800UL) /*!< Pn DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN10_Pos (10UL) /*!< Pn DBCR: DBEN10 (Bit 10) */ +#define Pn_DBCR_DBEN10_Msk (0x400UL) /*!< Pn DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN9_Pos (9UL) /*!< Pn DBCR: DBEN9 (Bit 9) */ +#define Pn_DBCR_DBEN9_Msk (0x200UL) /*!< Pn DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN8_Pos (8UL) /*!< Pn DBCR: DBEN8 (Bit 8) */ +#define Pn_DBCR_DBEN8_Msk (0x100UL) /*!< Pn DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN7_Pos (7UL) /*!< Pn DBCR: DBEN7 (Bit 7) */ +#define Pn_DBCR_DBEN7_Msk (0x80UL) /*!< Pn DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN6_Pos (6UL) /*!< Pn DBCR: DBEN6 (Bit 6) */ +#define Pn_DBCR_DBEN6_Msk (0x40UL) /*!< Pn DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN5_Pos (5UL) /*!< Pn DBCR: DBEN5 (Bit 5) */ +#define Pn_DBCR_DBEN5_Msk (0x20UL) /*!< Pn DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN4_Pos (4UL) /*!< Pn DBCR: DBEN4 (Bit 4) */ +#define Pn_DBCR_DBEN4_Msk (0x10UL) /*!< Pn DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN3_Pos (3UL) /*!< Pn DBCR: DBEN3 (Bit 3) */ +#define Pn_DBCR_DBEN3_Msk (0x8UL) /*!< Pn DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN2_Pos (2UL) /*!< Pn DBCR: DBEN2 (Bit 2) */ +#define Pn_DBCR_DBEN2_Msk (0x4UL) /*!< Pn DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN1_Pos (1UL) /*!< Pn DBCR: DBEN1 (Bit 1) */ +#define Pn_DBCR_DBEN1_Msk (0x2UL) /*!< Pn DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define Pn_DBCR_DBEN0_Pos (0UL) /*!< Pn DBCR: DBEN0 (Bit 0) */ +#define Pn_DBCR_DBEN0_Msk (0x1UL) /*!< Pn DBCR: DBEN0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PA ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PA_MOD_MODE15_Pos (30UL) /*!< PA MOD: MODE15 (Bit 30) */ +#define PA_MOD_MODE15_Msk (0xc0000000UL) /*!< PA MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE14_Pos (28UL) /*!< PA MOD: MODE14 (Bit 28) */ +#define PA_MOD_MODE14_Msk (0x30000000UL) /*!< PA MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE13_Pos (26UL) /*!< PA MOD: MODE13 (Bit 26) */ +#define PA_MOD_MODE13_Msk (0xc000000UL) /*!< PA MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE12_Pos (24UL) /*!< PA MOD: MODE12 (Bit 24) */ +#define PA_MOD_MODE12_Msk (0x3000000UL) /*!< PA MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE11_Pos (22UL) /*!< PA MOD: MODE11 (Bit 22) */ +#define PA_MOD_MODE11_Msk (0xc00000UL) /*!< PA MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE10_Pos (20UL) /*!< PA MOD: MODE10 (Bit 20) */ +#define PA_MOD_MODE10_Msk (0x300000UL) /*!< PA MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE9_Pos (18UL) /*!< PA MOD: MODE9 (Bit 18) */ +#define PA_MOD_MODE9_Msk (0xc0000UL) /*!< PA MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE8_Pos (16UL) /*!< PA MOD: MODE8 (Bit 16) */ +#define PA_MOD_MODE8_Msk (0x30000UL) /*!< PA MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE7_Pos (14UL) /*!< PA MOD: MODE7 (Bit 14) */ +#define PA_MOD_MODE7_Msk (0xc000UL) /*!< PA MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE6_Pos (12UL) /*!< PA MOD: MODE6 (Bit 12) */ +#define PA_MOD_MODE6_Msk (0x3000UL) /*!< PA MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE5_Pos (10UL) /*!< PA MOD: MODE5 (Bit 10) */ +#define PA_MOD_MODE5_Msk (0xc00UL) /*!< PA MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE4_Pos (8UL) /*!< PA MOD: MODE4 (Bit 8) */ +#define PA_MOD_MODE4_Msk (0x300UL) /*!< PA MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE3_Pos (6UL) /*!< PA MOD: MODE3 (Bit 6) */ +#define PA_MOD_MODE3_Msk (0xc0UL) /*!< PA MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE2_Pos (4UL) /*!< PA MOD: MODE2 (Bit 4) */ +#define PA_MOD_MODE2_Msk (0x30UL) /*!< PA MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE1_Pos (2UL) /*!< PA MOD: MODE1 (Bit 2) */ +#define PA_MOD_MODE1_Msk (0xcUL) /*!< PA MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PA_MOD_MODE0_Pos (0UL) /*!< PA MOD: MODE0 (Bit 0) */ +#define PA_MOD_MODE0_Msk (0x3UL) /*!< PA MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PA_TYP_TYP15_Pos (15UL) /*!< PA TYP: TYP15 (Bit 15) */ +#define PA_TYP_TYP15_Msk (0x8000UL) /*!< PA TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP14_Pos (14UL) /*!< PA TYP: TYP14 (Bit 14) */ +#define PA_TYP_TYP14_Msk (0x4000UL) /*!< PA TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP13_Pos (13UL) /*!< PA TYP: TYP13 (Bit 13) */ +#define PA_TYP_TYP13_Msk (0x2000UL) /*!< PA TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP12_Pos (12UL) /*!< PA TYP: TYP12 (Bit 12) */ +#define PA_TYP_TYP12_Msk (0x1000UL) /*!< PA TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP11_Pos (11UL) /*!< PA TYP: TYP11 (Bit 11) */ +#define PA_TYP_TYP11_Msk (0x800UL) /*!< PA TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP10_Pos (10UL) /*!< PA TYP: TYP10 (Bit 10) */ +#define PA_TYP_TYP10_Msk (0x400UL) /*!< PA TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP9_Pos (9UL) /*!< PA TYP: TYP9 (Bit 9) */ +#define PA_TYP_TYP9_Msk (0x200UL) /*!< PA TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP8_Pos (8UL) /*!< PA TYP: TYP8 (Bit 8) */ +#define PA_TYP_TYP8_Msk (0x100UL) /*!< PA TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP7_Pos (7UL) /*!< PA TYP: TYP7 (Bit 7) */ +#define PA_TYP_TYP7_Msk (0x80UL) /*!< PA TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP6_Pos (6UL) /*!< PA TYP: TYP6 (Bit 6) */ +#define PA_TYP_TYP6_Msk (0x40UL) /*!< PA TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP5_Pos (5UL) /*!< PA TYP: TYP5 (Bit 5) */ +#define PA_TYP_TYP5_Msk (0x20UL) /*!< PA TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP4_Pos (4UL) /*!< PA TYP: TYP4 (Bit 4) */ +#define PA_TYP_TYP4_Msk (0x10UL) /*!< PA TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP3_Pos (3UL) /*!< PA TYP: TYP3 (Bit 3) */ +#define PA_TYP_TYP3_Msk (0x8UL) /*!< PA TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP2_Pos (2UL) /*!< PA TYP: TYP2 (Bit 2) */ +#define PA_TYP_TYP2_Msk (0x4UL) /*!< PA TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP1_Pos (1UL) /*!< PA TYP: TYP1 (Bit 1) */ +#define PA_TYP_TYP1_Msk (0x2UL) /*!< PA TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PA_TYP_TYP0_Pos (0UL) /*!< PA TYP: TYP0 (Bit 0) */ +#define PA_TYP_TYP0_Msk (0x1UL) /*!< PA TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PA_AFSR1_AFSR7_Pos (28UL) /*!< PA AFSR1: AFSR7 (Bit 28) */ +#define PA_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PA AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR6_Pos (24UL) /*!< PA AFSR1: AFSR6 (Bit 24) */ +#define PA_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PA AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR5_Pos (20UL) /*!< PA AFSR1: AFSR5 (Bit 20) */ +#define PA_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PA AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR4_Pos (16UL) /*!< PA AFSR1: AFSR4 (Bit 16) */ +#define PA_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PA AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR3_Pos (12UL) /*!< PA AFSR1: AFSR3 (Bit 12) */ +#define PA_AFSR1_AFSR3_Msk (0xf000UL) /*!< PA AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR2_Pos (8UL) /*!< PA AFSR1: AFSR2 (Bit 8) */ +#define PA_AFSR1_AFSR2_Msk (0xf00UL) /*!< PA AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR1_Pos (4UL) /*!< PA AFSR1: AFSR1 (Bit 4) */ +#define PA_AFSR1_AFSR1_Msk (0xf0UL) /*!< PA AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR1_AFSR0_Pos (0UL) /*!< PA AFSR1: AFSR0 (Bit 0) */ +#define PA_AFSR1_AFSR0_Msk (0xfUL) /*!< PA AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PA_AFSR2_AFSR15_Pos (28UL) /*!< PA AFSR2: AFSR15 (Bit 28) */ +#define PA_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PA AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR14_Pos (24UL) /*!< PA AFSR2: AFSR14 (Bit 24) */ +#define PA_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PA AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR13_Pos (20UL) /*!< PA AFSR2: AFSR13 (Bit 20) */ +#define PA_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PA AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR12_Pos (16UL) /*!< PA AFSR2: AFSR12 (Bit 16) */ +#define PA_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PA AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR11_Pos (12UL) /*!< PA AFSR2: AFSR11 (Bit 12) */ +#define PA_AFSR2_AFSR11_Msk (0xf000UL) /*!< PA AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR10_Pos (8UL) /*!< PA AFSR2: AFSR10 (Bit 8) */ +#define PA_AFSR2_AFSR10_Msk (0xf00UL) /*!< PA AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR9_Pos (4UL) /*!< PA AFSR2: AFSR9 (Bit 4) */ +#define PA_AFSR2_AFSR9_Msk (0xf0UL) /*!< PA AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PA_AFSR2_AFSR8_Pos (0UL) /*!< PA AFSR2: AFSR8 (Bit 0) */ +#define PA_AFSR2_AFSR8_Msk (0xfUL) /*!< PA AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PA_PUPD_PUPD15_Pos (30UL) /*!< PA PUPD: PUPD15 (Bit 30) */ +#define PA_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PA PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD14_Pos (28UL) /*!< PA PUPD: PUPD14 (Bit 28) */ +#define PA_PUPD_PUPD14_Msk (0x30000000UL) /*!< PA PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD13_Pos (26UL) /*!< PA PUPD: PUPD13 (Bit 26) */ +#define PA_PUPD_PUPD13_Msk (0xc000000UL) /*!< PA PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD12_Pos (24UL) /*!< PA PUPD: PUPD12 (Bit 24) */ +#define PA_PUPD_PUPD12_Msk (0x3000000UL) /*!< PA PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD11_Pos (22UL) /*!< PA PUPD: PUPD11 (Bit 22) */ +#define PA_PUPD_PUPD11_Msk (0xc00000UL) /*!< PA PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD10_Pos (20UL) /*!< PA PUPD: PUPD10 (Bit 20) */ +#define PA_PUPD_PUPD10_Msk (0x300000UL) /*!< PA PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD9_Pos (18UL) /*!< PA PUPD: PUPD9 (Bit 18) */ +#define PA_PUPD_PUPD9_Msk (0xc0000UL) /*!< PA PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD8_Pos (16UL) /*!< PA PUPD: PUPD8 (Bit 16) */ +#define PA_PUPD_PUPD8_Msk (0x30000UL) /*!< PA PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD7_Pos (14UL) /*!< PA PUPD: PUPD7 (Bit 14) */ +#define PA_PUPD_PUPD7_Msk (0xc000UL) /*!< PA PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD6_Pos (12UL) /*!< PA PUPD: PUPD6 (Bit 12) */ +#define PA_PUPD_PUPD6_Msk (0x3000UL) /*!< PA PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD5_Pos (10UL) /*!< PA PUPD: PUPD5 (Bit 10) */ +#define PA_PUPD_PUPD5_Msk (0xc00UL) /*!< PA PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD4_Pos (8UL) /*!< PA PUPD: PUPD4 (Bit 8) */ +#define PA_PUPD_PUPD4_Msk (0x300UL) /*!< PA PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD3_Pos (6UL) /*!< PA PUPD: PUPD3 (Bit 6) */ +#define PA_PUPD_PUPD3_Msk (0xc0UL) /*!< PA PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD2_Pos (4UL) /*!< PA PUPD: PUPD2 (Bit 4) */ +#define PA_PUPD_PUPD2_Msk (0x30UL) /*!< PA PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD1_Pos (2UL) /*!< PA PUPD: PUPD1 (Bit 2) */ +#define PA_PUPD_PUPD1_Msk (0xcUL) /*!< PA PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PA_PUPD_PUPD0_Pos (0UL) /*!< PA PUPD: PUPD0 (Bit 0) */ +#define PA_PUPD_PUPD0_Msk (0x3UL) /*!< PA PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PA_INDR_INDR15_Pos (15UL) /*!< PA INDR: INDR15 (Bit 15) */ +#define PA_INDR_INDR15_Msk (0x8000UL) /*!< PA INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR14_Pos (14UL) /*!< PA INDR: INDR14 (Bit 14) */ +#define PA_INDR_INDR14_Msk (0x4000UL) /*!< PA INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR13_Pos (13UL) /*!< PA INDR: INDR13 (Bit 13) */ +#define PA_INDR_INDR13_Msk (0x2000UL) /*!< PA INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR12_Pos (12UL) /*!< PA INDR: INDR12 (Bit 12) */ +#define PA_INDR_INDR12_Msk (0x1000UL) /*!< PA INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR11_Pos (11UL) /*!< PA INDR: INDR11 (Bit 11) */ +#define PA_INDR_INDR11_Msk (0x800UL) /*!< PA INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR10_Pos (10UL) /*!< PA INDR: INDR10 (Bit 10) */ +#define PA_INDR_INDR10_Msk (0x400UL) /*!< PA INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR9_Pos (9UL) /*!< PA INDR: INDR9 (Bit 9) */ +#define PA_INDR_INDR9_Msk (0x200UL) /*!< PA INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR8_Pos (8UL) /*!< PA INDR: INDR8 (Bit 8) */ +#define PA_INDR_INDR8_Msk (0x100UL) /*!< PA INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR7_Pos (7UL) /*!< PA INDR: INDR7 (Bit 7) */ +#define PA_INDR_INDR7_Msk (0x80UL) /*!< PA INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR6_Pos (6UL) /*!< PA INDR: INDR6 (Bit 6) */ +#define PA_INDR_INDR6_Msk (0x40UL) /*!< PA INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR5_Pos (5UL) /*!< PA INDR: INDR5 (Bit 5) */ +#define PA_INDR_INDR5_Msk (0x20UL) /*!< PA INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR4_Pos (4UL) /*!< PA INDR: INDR4 (Bit 4) */ +#define PA_INDR_INDR4_Msk (0x10UL) /*!< PA INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR3_Pos (3UL) /*!< PA INDR: INDR3 (Bit 3) */ +#define PA_INDR_INDR3_Msk (0x8UL) /*!< PA INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR2_Pos (2UL) /*!< PA INDR: INDR2 (Bit 2) */ +#define PA_INDR_INDR2_Msk (0x4UL) /*!< PA INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR1_Pos (1UL) /*!< PA INDR: INDR1 (Bit 1) */ +#define PA_INDR_INDR1_Msk (0x2UL) /*!< PA INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PA_INDR_INDR0_Pos (0UL) /*!< PA INDR: INDR0 (Bit 0) */ +#define PA_INDR_INDR0_Msk (0x1UL) /*!< PA INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PA_OUTDR_OUTDR15_Pos (15UL) /*!< PA OUTDR: OUTDR15 (Bit 15) */ +#define PA_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PA OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR14_Pos (14UL) /*!< PA OUTDR: OUTDR14 (Bit 14) */ +#define PA_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PA OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR13_Pos (13UL) /*!< PA OUTDR: OUTDR13 (Bit 13) */ +#define PA_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PA OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR12_Pos (12UL) /*!< PA OUTDR: OUTDR12 (Bit 12) */ +#define PA_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PA OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR11_Pos (11UL) /*!< PA OUTDR: OUTDR11 (Bit 11) */ +#define PA_OUTDR_OUTDR11_Msk (0x800UL) /*!< PA OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR10_Pos (10UL) /*!< PA OUTDR: OUTDR10 (Bit 10) */ +#define PA_OUTDR_OUTDR10_Msk (0x400UL) /*!< PA OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR9_Pos (9UL) /*!< PA OUTDR: OUTDR9 (Bit 9) */ +#define PA_OUTDR_OUTDR9_Msk (0x200UL) /*!< PA OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR8_Pos (8UL) /*!< PA OUTDR: OUTDR8 (Bit 8) */ +#define PA_OUTDR_OUTDR8_Msk (0x100UL) /*!< PA OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR7_Pos (7UL) /*!< PA OUTDR: OUTDR7 (Bit 7) */ +#define PA_OUTDR_OUTDR7_Msk (0x80UL) /*!< PA OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR6_Pos (6UL) /*!< PA OUTDR: OUTDR6 (Bit 6) */ +#define PA_OUTDR_OUTDR6_Msk (0x40UL) /*!< PA OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR5_Pos (5UL) /*!< PA OUTDR: OUTDR5 (Bit 5) */ +#define PA_OUTDR_OUTDR5_Msk (0x20UL) /*!< PA OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR4_Pos (4UL) /*!< PA OUTDR: OUTDR4 (Bit 4) */ +#define PA_OUTDR_OUTDR4_Msk (0x10UL) /*!< PA OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR3_Pos (3UL) /*!< PA OUTDR: OUTDR3 (Bit 3) */ +#define PA_OUTDR_OUTDR3_Msk (0x8UL) /*!< PA OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR2_Pos (2UL) /*!< PA OUTDR: OUTDR2 (Bit 2) */ +#define PA_OUTDR_OUTDR2_Msk (0x4UL) /*!< PA OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR1_Pos (1UL) /*!< PA OUTDR: OUTDR1 (Bit 1) */ +#define PA_OUTDR_OUTDR1_Msk (0x2UL) /*!< PA OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PA_OUTDR_OUTDR0_Pos (0UL) /*!< PA OUTDR: OUTDR0 (Bit 0) */ +#define PA_OUTDR_OUTDR0_Msk (0x1UL) /*!< PA OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PA_BSR_BSR15_Pos (15UL) /*!< PA BSR: BSR15 (Bit 15) */ +#define PA_BSR_BSR15_Msk (0x8000UL) /*!< PA BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR14_Pos (14UL) /*!< PA BSR: BSR14 (Bit 14) */ +#define PA_BSR_BSR14_Msk (0x4000UL) /*!< PA BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR13_Pos (13UL) /*!< PA BSR: BSR13 (Bit 13) */ +#define PA_BSR_BSR13_Msk (0x2000UL) /*!< PA BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR12_Pos (12UL) /*!< PA BSR: BSR12 (Bit 12) */ +#define PA_BSR_BSR12_Msk (0x1000UL) /*!< PA BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR11_Pos (11UL) /*!< PA BSR: BSR11 (Bit 11) */ +#define PA_BSR_BSR11_Msk (0x800UL) /*!< PA BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR10_Pos (10UL) /*!< PA BSR: BSR10 (Bit 10) */ +#define PA_BSR_BSR10_Msk (0x400UL) /*!< PA BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR9_Pos (9UL) /*!< PA BSR: BSR9 (Bit 9) */ +#define PA_BSR_BSR9_Msk (0x200UL) /*!< PA BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR8_Pos (8UL) /*!< PA BSR: BSR8 (Bit 8) */ +#define PA_BSR_BSR8_Msk (0x100UL) /*!< PA BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR7_Pos (7UL) /*!< PA BSR: BSR7 (Bit 7) */ +#define PA_BSR_BSR7_Msk (0x80UL) /*!< PA BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR6_Pos (6UL) /*!< PA BSR: BSR6 (Bit 6) */ +#define PA_BSR_BSR6_Msk (0x40UL) /*!< PA BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR5_Pos (5UL) /*!< PA BSR: BSR5 (Bit 5) */ +#define PA_BSR_BSR5_Msk (0x20UL) /*!< PA BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR4_Pos (4UL) /*!< PA BSR: BSR4 (Bit 4) */ +#define PA_BSR_BSR4_Msk (0x10UL) /*!< PA BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR3_Pos (3UL) /*!< PA BSR: BSR3 (Bit 3) */ +#define PA_BSR_BSR3_Msk (0x8UL) /*!< PA BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR2_Pos (2UL) /*!< PA BSR: BSR2 (Bit 2) */ +#define PA_BSR_BSR2_Msk (0x4UL) /*!< PA BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR1_Pos (1UL) /*!< PA BSR: BSR1 (Bit 1) */ +#define PA_BSR_BSR1_Msk (0x2UL) /*!< PA BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PA_BSR_BSR0_Pos (0UL) /*!< PA BSR: BSR0 (Bit 0) */ +#define PA_BSR_BSR0_Msk (0x1UL) /*!< PA BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PA_BCR_BCR15_Pos (15UL) /*!< PA BCR: BCR15 (Bit 15) */ +#define PA_BCR_BCR15_Msk (0x8000UL) /*!< PA BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR14_Pos (14UL) /*!< PA BCR: BCR14 (Bit 14) */ +#define PA_BCR_BCR14_Msk (0x4000UL) /*!< PA BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR13_Pos (13UL) /*!< PA BCR: BCR13 (Bit 13) */ +#define PA_BCR_BCR13_Msk (0x2000UL) /*!< PA BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR12_Pos (12UL) /*!< PA BCR: BCR12 (Bit 12) */ +#define PA_BCR_BCR12_Msk (0x1000UL) /*!< PA BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR11_Pos (11UL) /*!< PA BCR: BCR11 (Bit 11) */ +#define PA_BCR_BCR11_Msk (0x800UL) /*!< PA BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR10_Pos (10UL) /*!< PA BCR: BCR10 (Bit 10) */ +#define PA_BCR_BCR10_Msk (0x400UL) /*!< PA BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR9_Pos (9UL) /*!< PA BCR: BCR9 (Bit 9) */ +#define PA_BCR_BCR9_Msk (0x200UL) /*!< PA BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR8_Pos (8UL) /*!< PA BCR: BCR8 (Bit 8) */ +#define PA_BCR_BCR8_Msk (0x100UL) /*!< PA BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR7_Pos (7UL) /*!< PA BCR: BCR7 (Bit 7) */ +#define PA_BCR_BCR7_Msk (0x80UL) /*!< PA BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR6_Pos (6UL) /*!< PA BCR: BCR6 (Bit 6) */ +#define PA_BCR_BCR6_Msk (0x40UL) /*!< PA BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR5_Pos (5UL) /*!< PA BCR: BCR5 (Bit 5) */ +#define PA_BCR_BCR5_Msk (0x20UL) /*!< PA BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR4_Pos (4UL) /*!< PA BCR: BCR4 (Bit 4) */ +#define PA_BCR_BCR4_Msk (0x10UL) /*!< PA BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR3_Pos (3UL) /*!< PA BCR: BCR3 (Bit 3) */ +#define PA_BCR_BCR3_Msk (0x8UL) /*!< PA BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR2_Pos (2UL) /*!< PA BCR: BCR2 (Bit 2) */ +#define PA_BCR_BCR2_Msk (0x4UL) /*!< PA BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR1_Pos (1UL) /*!< PA BCR: BCR1 (Bit 1) */ +#define PA_BCR_BCR1_Msk (0x2UL) /*!< PA BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PA_BCR_BCR0_Pos (0UL) /*!< PA BCR: BCR0 (Bit 0) */ +#define PA_BCR_BCR0_Msk (0x1UL) /*!< PA BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PA_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PA OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PA_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PA OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PA OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PA_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PA OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PA OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PA_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PA OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PA OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PA_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PA OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PA OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PA_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PA OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PA OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PA_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PA OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PA OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PA_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PA OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PA OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PA_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PA OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PA OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PA_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PA OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PA OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PA_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PA OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PA OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PA_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PA OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PA OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PA_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PA OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PA OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PA_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PA OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PA OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PA_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PA OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PA OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PA_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PA OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PA_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PA OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PA_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PA OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PA_DBCR_DBCLK_Pos (16UL) /*!< PA DBCR: DBCLK (Bit 16) */ +#define PA_DBCR_DBCLK_Msk (0x70000UL) /*!< PA DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PA_DBCR_DBEN11_Pos (11UL) /*!< PA DBCR: DBEN11 (Bit 11) */ +#define PA_DBCR_DBEN11_Msk (0x800UL) /*!< PA DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN10_Pos (10UL) /*!< PA DBCR: DBEN10 (Bit 10) */ +#define PA_DBCR_DBEN10_Msk (0x400UL) /*!< PA DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN9_Pos (9UL) /*!< PA DBCR: DBEN9 (Bit 9) */ +#define PA_DBCR_DBEN9_Msk (0x200UL) /*!< PA DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN8_Pos (8UL) /*!< PA DBCR: DBEN8 (Bit 8) */ +#define PA_DBCR_DBEN8_Msk (0x100UL) /*!< PA DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN7_Pos (7UL) /*!< PA DBCR: DBEN7 (Bit 7) */ +#define PA_DBCR_DBEN7_Msk (0x80UL) /*!< PA DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN6_Pos (6UL) /*!< PA DBCR: DBEN6 (Bit 6) */ +#define PA_DBCR_DBEN6_Msk (0x40UL) /*!< PA DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN5_Pos (5UL) /*!< PA DBCR: DBEN5 (Bit 5) */ +#define PA_DBCR_DBEN5_Msk (0x20UL) /*!< PA DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN4_Pos (4UL) /*!< PA DBCR: DBEN4 (Bit 4) */ +#define PA_DBCR_DBEN4_Msk (0x10UL) /*!< PA DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN3_Pos (3UL) /*!< PA DBCR: DBEN3 (Bit 3) */ +#define PA_DBCR_DBEN3_Msk (0x8UL) /*!< PA DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN2_Pos (2UL) /*!< PA DBCR: DBEN2 (Bit 2) */ +#define PA_DBCR_DBEN2_Msk (0x4UL) /*!< PA DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN1_Pos (1UL) /*!< PA DBCR: DBEN1 (Bit 1) */ +#define PA_DBCR_DBEN1_Msk (0x2UL) /*!< PA DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PA_DBCR_DBEN0_Pos (0UL) /*!< PA DBCR: DBEN0 (Bit 0) */ +#define PA_DBCR_DBEN0_Msk (0x1UL) /*!< PA DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PA_MOD ========================================================= */ +#define PA_PA_MOD_MODE11_Pos (22UL) /*!< PA PA_MOD: MODE11 (Bit 22) */ +#define PA_PA_MOD_MODE11_Msk (0xc00000UL) /*!< PA PA_MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE10_Pos (20UL) /*!< PA PA_MOD: MODE10 (Bit 20) */ +#define PA_PA_MOD_MODE10_Msk (0x300000UL) /*!< PA PA_MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE9_Pos (18UL) /*!< PA PA_MOD: MODE9 (Bit 18) */ +#define PA_PA_MOD_MODE9_Msk (0xc0000UL) /*!< PA PA_MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE8_Pos (16UL) /*!< PA PA_MOD: MODE8 (Bit 16) */ +#define PA_PA_MOD_MODE8_Msk (0x30000UL) /*!< PA PA_MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE7_Pos (14UL) /*!< PA PA_MOD: MODE7 (Bit 14) */ +#define PA_PA_MOD_MODE7_Msk (0xc000UL) /*!< PA PA_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE6_Pos (12UL) /*!< PA PA_MOD: MODE6 (Bit 12) */ +#define PA_PA_MOD_MODE6_Msk (0x3000UL) /*!< PA PA_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE5_Pos (10UL) /*!< PA PA_MOD: MODE5 (Bit 10) */ +#define PA_PA_MOD_MODE5_Msk (0xc00UL) /*!< PA PA_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE4_Pos (8UL) /*!< PA PA_MOD: MODE4 (Bit 8) */ +#define PA_PA_MOD_MODE4_Msk (0x300UL) /*!< PA PA_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE3_Pos (6UL) /*!< PA PA_MOD: MODE3 (Bit 6) */ +#define PA_PA_MOD_MODE3_Msk (0xc0UL) /*!< PA PA_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE2_Pos (4UL) /*!< PA PA_MOD: MODE2 (Bit 4) */ +#define PA_PA_MOD_MODE2_Msk (0x30UL) /*!< PA PA_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE1_Pos (2UL) /*!< PA PA_MOD: MODE1 (Bit 2) */ +#define PA_PA_MOD_MODE1_Msk (0xcUL) /*!< PA PA_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PA_PA_MOD_MODE0_Pos (0UL) /*!< PA PA_MOD: MODE0 (Bit 0) */ +#define PA_PA_MOD_MODE0_Msk (0x3UL) /*!< PA PA_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PA_TYP ========================================================= */ +#define PA_PA_TYP_TYP11_Pos (11UL) /*!< PA PA_TYP: TYP11 (Bit 11) */ +#define PA_PA_TYP_TYP11_Msk (0x800UL) /*!< PA PA_TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP10_Pos (10UL) /*!< PA PA_TYP: TYP10 (Bit 10) */ +#define PA_PA_TYP_TYP10_Msk (0x400UL) /*!< PA PA_TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP9_Pos (9UL) /*!< PA PA_TYP: TYP9 (Bit 9) */ +#define PA_PA_TYP_TYP9_Msk (0x200UL) /*!< PA PA_TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP8_Pos (8UL) /*!< PA PA_TYP: TYP8 (Bit 8) */ +#define PA_PA_TYP_TYP8_Msk (0x100UL) /*!< PA PA_TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP7_Pos (7UL) /*!< PA PA_TYP: TYP7 (Bit 7) */ +#define PA_PA_TYP_TYP7_Msk (0x80UL) /*!< PA PA_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP6_Pos (6UL) /*!< PA PA_TYP: TYP6 (Bit 6) */ +#define PA_PA_TYP_TYP6_Msk (0x40UL) /*!< PA PA_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP5_Pos (5UL) /*!< PA PA_TYP: TYP5 (Bit 5) */ +#define PA_PA_TYP_TYP5_Msk (0x20UL) /*!< PA PA_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP4_Pos (4UL) /*!< PA PA_TYP: TYP4 (Bit 4) */ +#define PA_PA_TYP_TYP4_Msk (0x10UL) /*!< PA PA_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP3_Pos (3UL) /*!< PA PA_TYP: TYP3 (Bit 3) */ +#define PA_PA_TYP_TYP3_Msk (0x8UL) /*!< PA PA_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP2_Pos (2UL) /*!< PA PA_TYP: TYP2 (Bit 2) */ +#define PA_PA_TYP_TYP2_Msk (0x4UL) /*!< PA PA_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP1_Pos (1UL) /*!< PA PA_TYP: TYP1 (Bit 1) */ +#define PA_PA_TYP_TYP1_Msk (0x2UL) /*!< PA PA_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PA_PA_TYP_TYP0_Pos (0UL) /*!< PA PA_TYP: TYP0 (Bit 0) */ +#define PA_PA_TYP_TYP0_Msk (0x1UL) /*!< PA PA_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PA_AFSR1 ======================================================== */ +#define PA_PA_AFSR1_AFSR7_Pos (28UL) /*!< PA PA_AFSR1: AFSR7 (Bit 28) */ +#define PA_PA_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PA PA_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR6_Pos (24UL) /*!< PA PA_AFSR1: AFSR6 (Bit 24) */ +#define PA_PA_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PA PA_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR5_Pos (20UL) /*!< PA PA_AFSR1: AFSR5 (Bit 20) */ +#define PA_PA_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PA PA_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR4_Pos (16UL) /*!< PA PA_AFSR1: AFSR4 (Bit 16) */ +#define PA_PA_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PA PA_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR3_Pos (12UL) /*!< PA PA_AFSR1: AFSR3 (Bit 12) */ +#define PA_PA_AFSR1_AFSR3_Msk (0xf000UL) /*!< PA PA_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR2_Pos (8UL) /*!< PA PA_AFSR1: AFSR2 (Bit 8) */ +#define PA_PA_AFSR1_AFSR2_Msk (0xf00UL) /*!< PA PA_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR1_Pos (4UL) /*!< PA PA_AFSR1: AFSR1 (Bit 4) */ +#define PA_PA_AFSR1_AFSR1_Msk (0xf0UL) /*!< PA PA_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR1_AFSR0_Pos (0UL) /*!< PA PA_AFSR1: AFSR0 (Bit 0) */ +#define PA_PA_AFSR1_AFSR0_Msk (0xfUL) /*!< PA PA_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PA_AFSR2 ======================================================== */ +#define PA_PA_AFSR2_AFSR11_Pos (12UL) /*!< PA PA_AFSR2: AFSR11 (Bit 12) */ +#define PA_PA_AFSR2_AFSR11_Msk (0xf000UL) /*!< PA PA_AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR2_AFSR10_Pos (8UL) /*!< PA PA_AFSR2: AFSR10 (Bit 8) */ +#define PA_PA_AFSR2_AFSR10_Msk (0xf00UL) /*!< PA PA_AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR2_AFSR9_Pos (4UL) /*!< PA PA_AFSR2: AFSR9 (Bit 4) */ +#define PA_PA_AFSR2_AFSR9_Msk (0xf0UL) /*!< PA PA_AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PA_PA_AFSR2_AFSR8_Pos (0UL) /*!< PA PA_AFSR2: AFSR8 (Bit 0) */ +#define PA_PA_AFSR2_AFSR8_Msk (0xfUL) /*!< PA PA_AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ======================================================== PA_PUPD ======================================================== */ +#define PA_PA_PUPD_PUPD11_Pos (22UL) /*!< PA PA_PUPD: PUPD11 (Bit 22) */ +#define PA_PA_PUPD_PUPD11_Msk (0xc00000UL) /*!< PA PA_PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD10_Pos (20UL) /*!< PA PA_PUPD: PUPD10 (Bit 20) */ +#define PA_PA_PUPD_PUPD10_Msk (0x300000UL) /*!< PA PA_PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD9_Pos (18UL) /*!< PA PA_PUPD: PUPD9 (Bit 18) */ +#define PA_PA_PUPD_PUPD9_Msk (0xc0000UL) /*!< PA PA_PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD8_Pos (16UL) /*!< PA PA_PUPD: PUPD8 (Bit 16) */ +#define PA_PA_PUPD_PUPD8_Msk (0x30000UL) /*!< PA PA_PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD7_Pos (14UL) /*!< PA PA_PUPD: PUPD7 (Bit 14) */ +#define PA_PA_PUPD_PUPD7_Msk (0xc000UL) /*!< PA PA_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD6_Pos (12UL) /*!< PA PA_PUPD: PUPD6 (Bit 12) */ +#define PA_PA_PUPD_PUPD6_Msk (0x3000UL) /*!< PA PA_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD5_Pos (10UL) /*!< PA PA_PUPD: PUPD5 (Bit 10) */ +#define PA_PA_PUPD_PUPD5_Msk (0xc00UL) /*!< PA PA_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD4_Pos (8UL) /*!< PA PA_PUPD: PUPD4 (Bit 8) */ +#define PA_PA_PUPD_PUPD4_Msk (0x300UL) /*!< PA PA_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD3_Pos (6UL) /*!< PA PA_PUPD: PUPD3 (Bit 6) */ +#define PA_PA_PUPD_PUPD3_Msk (0xc0UL) /*!< PA PA_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD2_Pos (4UL) /*!< PA PA_PUPD: PUPD2 (Bit 4) */ +#define PA_PA_PUPD_PUPD2_Msk (0x30UL) /*!< PA PA_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD1_Pos (2UL) /*!< PA PA_PUPD: PUPD1 (Bit 2) */ +#define PA_PA_PUPD_PUPD1_Msk (0xcUL) /*!< PA PA_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PA_PA_PUPD_PUPD0_Pos (0UL) /*!< PA PA_PUPD: PUPD0 (Bit 0) */ +#define PA_PA_PUPD_PUPD0_Msk (0x3UL) /*!< PA PA_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PA_INDR ======================================================== */ +#define PA_PA_INDR_INDR11_Pos (11UL) /*!< PA PA_INDR: INDR11 (Bit 11) */ +#define PA_PA_INDR_INDR11_Msk (0x800UL) /*!< PA PA_INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR10_Pos (10UL) /*!< PA PA_INDR: INDR10 (Bit 10) */ +#define PA_PA_INDR_INDR10_Msk (0x400UL) /*!< PA PA_INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR9_Pos (9UL) /*!< PA PA_INDR: INDR9 (Bit 9) */ +#define PA_PA_INDR_INDR9_Msk (0x200UL) /*!< PA PA_INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR8_Pos (8UL) /*!< PA PA_INDR: INDR8 (Bit 8) */ +#define PA_PA_INDR_INDR8_Msk (0x100UL) /*!< PA PA_INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR7_Pos (7UL) /*!< PA PA_INDR: INDR7 (Bit 7) */ +#define PA_PA_INDR_INDR7_Msk (0x80UL) /*!< PA PA_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR6_Pos (6UL) /*!< PA PA_INDR: INDR6 (Bit 6) */ +#define PA_PA_INDR_INDR6_Msk (0x40UL) /*!< PA PA_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR5_Pos (5UL) /*!< PA PA_INDR: INDR5 (Bit 5) */ +#define PA_PA_INDR_INDR5_Msk (0x20UL) /*!< PA PA_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR4_Pos (4UL) /*!< PA PA_INDR: INDR4 (Bit 4) */ +#define PA_PA_INDR_INDR4_Msk (0x10UL) /*!< PA PA_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR3_Pos (3UL) /*!< PA PA_INDR: INDR3 (Bit 3) */ +#define PA_PA_INDR_INDR3_Msk (0x8UL) /*!< PA PA_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR2_Pos (2UL) /*!< PA PA_INDR: INDR2 (Bit 2) */ +#define PA_PA_INDR_INDR2_Msk (0x4UL) /*!< PA PA_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR1_Pos (1UL) /*!< PA PA_INDR: INDR1 (Bit 1) */ +#define PA_PA_INDR_INDR1_Msk (0x2UL) /*!< PA PA_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PA_PA_INDR_INDR0_Pos (0UL) /*!< PA PA_INDR: INDR0 (Bit 0) */ +#define PA_PA_INDR_INDR0_Msk (0x1UL) /*!< PA PA_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PA_OUTDR ======================================================== */ +#define PA_PA_OUTDR_OUTDR11_Pos (11UL) /*!< PA PA_OUTDR: OUTDR11 (Bit 11) */ +#define PA_PA_OUTDR_OUTDR11_Msk (0x800UL) /*!< PA PA_OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR10_Pos (10UL) /*!< PA PA_OUTDR: OUTDR10 (Bit 10) */ +#define PA_PA_OUTDR_OUTDR10_Msk (0x400UL) /*!< PA PA_OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR9_Pos (9UL) /*!< PA PA_OUTDR: OUTDR9 (Bit 9) */ +#define PA_PA_OUTDR_OUTDR9_Msk (0x200UL) /*!< PA PA_OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR8_Pos (8UL) /*!< PA PA_OUTDR: OUTDR8 (Bit 8) */ +#define PA_PA_OUTDR_OUTDR8_Msk (0x100UL) /*!< PA PA_OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR7_Pos (7UL) /*!< PA PA_OUTDR: OUTDR7 (Bit 7) */ +#define PA_PA_OUTDR_OUTDR7_Msk (0x80UL) /*!< PA PA_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR6_Pos (6UL) /*!< PA PA_OUTDR: OUTDR6 (Bit 6) */ +#define PA_PA_OUTDR_OUTDR6_Msk (0x40UL) /*!< PA PA_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR5_Pos (5UL) /*!< PA PA_OUTDR: OUTDR5 (Bit 5) */ +#define PA_PA_OUTDR_OUTDR5_Msk (0x20UL) /*!< PA PA_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR4_Pos (4UL) /*!< PA PA_OUTDR: OUTDR4 (Bit 4) */ +#define PA_PA_OUTDR_OUTDR4_Msk (0x10UL) /*!< PA PA_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR3_Pos (3UL) /*!< PA PA_OUTDR: OUTDR3 (Bit 3) */ +#define PA_PA_OUTDR_OUTDR3_Msk (0x8UL) /*!< PA PA_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR2_Pos (2UL) /*!< PA PA_OUTDR: OUTDR2 (Bit 2) */ +#define PA_PA_OUTDR_OUTDR2_Msk (0x4UL) /*!< PA PA_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR1_Pos (1UL) /*!< PA PA_OUTDR: OUTDR1 (Bit 1) */ +#define PA_PA_OUTDR_OUTDR1_Msk (0x2UL) /*!< PA PA_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDR_OUTDR0_Pos (0UL) /*!< PA PA_OUTDR: OUTDR0 (Bit 0) */ +#define PA_PA_OUTDR_OUTDR0_Msk (0x1UL) /*!< PA PA_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PA_BSR ========================================================= */ +#define PA_PA_BSR_BSR11_Pos (11UL) /*!< PA PA_BSR: BSR11 (Bit 11) */ +#define PA_PA_BSR_BSR11_Msk (0x800UL) /*!< PA PA_BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR10_Pos (10UL) /*!< PA PA_BSR: BSR10 (Bit 10) */ +#define PA_PA_BSR_BSR10_Msk (0x400UL) /*!< PA PA_BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR9_Pos (9UL) /*!< PA PA_BSR: BSR9 (Bit 9) */ +#define PA_PA_BSR_BSR9_Msk (0x200UL) /*!< PA PA_BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR8_Pos (8UL) /*!< PA PA_BSR: BSR8 (Bit 8) */ +#define PA_PA_BSR_BSR8_Msk (0x100UL) /*!< PA PA_BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR7_Pos (7UL) /*!< PA PA_BSR: BSR7 (Bit 7) */ +#define PA_PA_BSR_BSR7_Msk (0x80UL) /*!< PA PA_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR6_Pos (6UL) /*!< PA PA_BSR: BSR6 (Bit 6) */ +#define PA_PA_BSR_BSR6_Msk (0x40UL) /*!< PA PA_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR5_Pos (5UL) /*!< PA PA_BSR: BSR5 (Bit 5) */ +#define PA_PA_BSR_BSR5_Msk (0x20UL) /*!< PA PA_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR4_Pos (4UL) /*!< PA PA_BSR: BSR4 (Bit 4) */ +#define PA_PA_BSR_BSR4_Msk (0x10UL) /*!< PA PA_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR3_Pos (3UL) /*!< PA PA_BSR: BSR3 (Bit 3) */ +#define PA_PA_BSR_BSR3_Msk (0x8UL) /*!< PA PA_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR2_Pos (2UL) /*!< PA PA_BSR: BSR2 (Bit 2) */ +#define PA_PA_BSR_BSR2_Msk (0x4UL) /*!< PA PA_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR1_Pos (1UL) /*!< PA PA_BSR: BSR1 (Bit 1) */ +#define PA_PA_BSR_BSR1_Msk (0x2UL) /*!< PA PA_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PA_PA_BSR_BSR0_Pos (0UL) /*!< PA PA_BSR: BSR0 (Bit 0) */ +#define PA_PA_BSR_BSR0_Msk (0x1UL) /*!< PA PA_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PA_BCR ========================================================= */ +#define PA_PA_BCR_BCR11_Pos (11UL) /*!< PA PA_BCR: BCR11 (Bit 11) */ +#define PA_PA_BCR_BCR11_Msk (0x800UL) /*!< PA PA_BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR10_Pos (10UL) /*!< PA PA_BCR: BCR10 (Bit 10) */ +#define PA_PA_BCR_BCR10_Msk (0x400UL) /*!< PA PA_BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR9_Pos (9UL) /*!< PA PA_BCR: BCR9 (Bit 9) */ +#define PA_PA_BCR_BCR9_Msk (0x200UL) /*!< PA PA_BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR8_Pos (8UL) /*!< PA PA_BCR: BCR8 (Bit 8) */ +#define PA_PA_BCR_BCR8_Msk (0x100UL) /*!< PA PA_BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR7_Pos (7UL) /*!< PA PA_BCR: BCR7 (Bit 7) */ +#define PA_PA_BCR_BCR7_Msk (0x80UL) /*!< PA PA_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR6_Pos (6UL) /*!< PA PA_BCR: BCR6 (Bit 6) */ +#define PA_PA_BCR_BCR6_Msk (0x40UL) /*!< PA PA_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR5_Pos (5UL) /*!< PA PA_BCR: BCR5 (Bit 5) */ +#define PA_PA_BCR_BCR5_Msk (0x20UL) /*!< PA PA_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR4_Pos (4UL) /*!< PA PA_BCR: BCR4 (Bit 4) */ +#define PA_PA_BCR_BCR4_Msk (0x10UL) /*!< PA PA_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR3_Pos (3UL) /*!< PA PA_BCR: BCR3 (Bit 3) */ +#define PA_PA_BCR_BCR3_Msk (0x8UL) /*!< PA PA_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR2_Pos (2UL) /*!< PA PA_BCR: BCR2 (Bit 2) */ +#define PA_PA_BCR_BCR2_Msk (0x4UL) /*!< PA PA_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR1_Pos (1UL) /*!< PA PA_BCR: BCR1 (Bit 1) */ +#define PA_PA_BCR_BCR1_Msk (0x2UL) /*!< PA PA_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PA_PA_BCR_BCR0_Pos (0UL) /*!< PA PA_BCR: BCR0 (Bit 0) */ +#define PA_PA_BCR_BCR0_Msk (0x1UL) /*!< PA PA_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PA_OUTDMSK ======================================================= */ +#define PA_PA_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PA PA_OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PA_PA_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PA PA_OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PA PA_OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PA_PA_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PA PA_OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PA PA_OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PA_PA_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PA PA_OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PA PA_OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PA_PA_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PA PA_OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PA PA_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PA_PA_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PA PA_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PA PA_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PA_PA_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PA PA_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PA PA_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PA_PA_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PA PA_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PA PA_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PA_PA_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PA PA_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PA PA_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PA_PA_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PA PA_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PA PA_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PA_PA_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PA PA_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PA PA_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PA_PA_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PA PA_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PA_PA_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PA PA_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PA_PA_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PA PA_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PB ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PB_MOD_MODE15_Pos (30UL) /*!< PB MOD: MODE15 (Bit 30) */ +#define PB_MOD_MODE15_Msk (0xc0000000UL) /*!< PB MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE14_Pos (28UL) /*!< PB MOD: MODE14 (Bit 28) */ +#define PB_MOD_MODE14_Msk (0x30000000UL) /*!< PB MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE13_Pos (26UL) /*!< PB MOD: MODE13 (Bit 26) */ +#define PB_MOD_MODE13_Msk (0xc000000UL) /*!< PB MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE12_Pos (24UL) /*!< PB MOD: MODE12 (Bit 24) */ +#define PB_MOD_MODE12_Msk (0x3000000UL) /*!< PB MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE11_Pos (22UL) /*!< PB MOD: MODE11 (Bit 22) */ +#define PB_MOD_MODE11_Msk (0xc00000UL) /*!< PB MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE10_Pos (20UL) /*!< PB MOD: MODE10 (Bit 20) */ +#define PB_MOD_MODE10_Msk (0x300000UL) /*!< PB MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE9_Pos (18UL) /*!< PB MOD: MODE9 (Bit 18) */ +#define PB_MOD_MODE9_Msk (0xc0000UL) /*!< PB MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE8_Pos (16UL) /*!< PB MOD: MODE8 (Bit 16) */ +#define PB_MOD_MODE8_Msk (0x30000UL) /*!< PB MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE7_Pos (14UL) /*!< PB MOD: MODE7 (Bit 14) */ +#define PB_MOD_MODE7_Msk (0xc000UL) /*!< PB MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE6_Pos (12UL) /*!< PB MOD: MODE6 (Bit 12) */ +#define PB_MOD_MODE6_Msk (0x3000UL) /*!< PB MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE5_Pos (10UL) /*!< PB MOD: MODE5 (Bit 10) */ +#define PB_MOD_MODE5_Msk (0xc00UL) /*!< PB MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE4_Pos (8UL) /*!< PB MOD: MODE4 (Bit 8) */ +#define PB_MOD_MODE4_Msk (0x300UL) /*!< PB MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE3_Pos (6UL) /*!< PB MOD: MODE3 (Bit 6) */ +#define PB_MOD_MODE3_Msk (0xc0UL) /*!< PB MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE2_Pos (4UL) /*!< PB MOD: MODE2 (Bit 4) */ +#define PB_MOD_MODE2_Msk (0x30UL) /*!< PB MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE1_Pos (2UL) /*!< PB MOD: MODE1 (Bit 2) */ +#define PB_MOD_MODE1_Msk (0xcUL) /*!< PB MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PB_MOD_MODE0_Pos (0UL) /*!< PB MOD: MODE0 (Bit 0) */ +#define PB_MOD_MODE0_Msk (0x3UL) /*!< PB MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PB_TYP_TYP15_Pos (15UL) /*!< PB TYP: TYP15 (Bit 15) */ +#define PB_TYP_TYP15_Msk (0x8000UL) /*!< PB TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP14_Pos (14UL) /*!< PB TYP: TYP14 (Bit 14) */ +#define PB_TYP_TYP14_Msk (0x4000UL) /*!< PB TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP13_Pos (13UL) /*!< PB TYP: TYP13 (Bit 13) */ +#define PB_TYP_TYP13_Msk (0x2000UL) /*!< PB TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP12_Pos (12UL) /*!< PB TYP: TYP12 (Bit 12) */ +#define PB_TYP_TYP12_Msk (0x1000UL) /*!< PB TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP11_Pos (11UL) /*!< PB TYP: TYP11 (Bit 11) */ +#define PB_TYP_TYP11_Msk (0x800UL) /*!< PB TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP10_Pos (10UL) /*!< PB TYP: TYP10 (Bit 10) */ +#define PB_TYP_TYP10_Msk (0x400UL) /*!< PB TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP9_Pos (9UL) /*!< PB TYP: TYP9 (Bit 9) */ +#define PB_TYP_TYP9_Msk (0x200UL) /*!< PB TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP8_Pos (8UL) /*!< PB TYP: TYP8 (Bit 8) */ +#define PB_TYP_TYP8_Msk (0x100UL) /*!< PB TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP7_Pos (7UL) /*!< PB TYP: TYP7 (Bit 7) */ +#define PB_TYP_TYP7_Msk (0x80UL) /*!< PB TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP6_Pos (6UL) /*!< PB TYP: TYP6 (Bit 6) */ +#define PB_TYP_TYP6_Msk (0x40UL) /*!< PB TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP5_Pos (5UL) /*!< PB TYP: TYP5 (Bit 5) */ +#define PB_TYP_TYP5_Msk (0x20UL) /*!< PB TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP4_Pos (4UL) /*!< PB TYP: TYP4 (Bit 4) */ +#define PB_TYP_TYP4_Msk (0x10UL) /*!< PB TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP3_Pos (3UL) /*!< PB TYP: TYP3 (Bit 3) */ +#define PB_TYP_TYP3_Msk (0x8UL) /*!< PB TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP2_Pos (2UL) /*!< PB TYP: TYP2 (Bit 2) */ +#define PB_TYP_TYP2_Msk (0x4UL) /*!< PB TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP1_Pos (1UL) /*!< PB TYP: TYP1 (Bit 1) */ +#define PB_TYP_TYP1_Msk (0x2UL) /*!< PB TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PB_TYP_TYP0_Pos (0UL) /*!< PB TYP: TYP0 (Bit 0) */ +#define PB_TYP_TYP0_Msk (0x1UL) /*!< PB TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PB_AFSR1_AFSR7_Pos (28UL) /*!< PB AFSR1: AFSR7 (Bit 28) */ +#define PB_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PB AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR6_Pos (24UL) /*!< PB AFSR1: AFSR6 (Bit 24) */ +#define PB_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PB AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR5_Pos (20UL) /*!< PB AFSR1: AFSR5 (Bit 20) */ +#define PB_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PB AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR4_Pos (16UL) /*!< PB AFSR1: AFSR4 (Bit 16) */ +#define PB_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PB AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR3_Pos (12UL) /*!< PB AFSR1: AFSR3 (Bit 12) */ +#define PB_AFSR1_AFSR3_Msk (0xf000UL) /*!< PB AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR2_Pos (8UL) /*!< PB AFSR1: AFSR2 (Bit 8) */ +#define PB_AFSR1_AFSR2_Msk (0xf00UL) /*!< PB AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR1_Pos (4UL) /*!< PB AFSR1: AFSR1 (Bit 4) */ +#define PB_AFSR1_AFSR1_Msk (0xf0UL) /*!< PB AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR1_AFSR0_Pos (0UL) /*!< PB AFSR1: AFSR0 (Bit 0) */ +#define PB_AFSR1_AFSR0_Msk (0xfUL) /*!< PB AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PB_AFSR2_AFSR15_Pos (28UL) /*!< PB AFSR2: AFSR15 (Bit 28) */ +#define PB_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PB AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR14_Pos (24UL) /*!< PB AFSR2: AFSR14 (Bit 24) */ +#define PB_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PB AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR13_Pos (20UL) /*!< PB AFSR2: AFSR13 (Bit 20) */ +#define PB_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PB AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR12_Pos (16UL) /*!< PB AFSR2: AFSR12 (Bit 16) */ +#define PB_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PB AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR11_Pos (12UL) /*!< PB AFSR2: AFSR11 (Bit 12) */ +#define PB_AFSR2_AFSR11_Msk (0xf000UL) /*!< PB AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR10_Pos (8UL) /*!< PB AFSR2: AFSR10 (Bit 8) */ +#define PB_AFSR2_AFSR10_Msk (0xf00UL) /*!< PB AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR9_Pos (4UL) /*!< PB AFSR2: AFSR9 (Bit 4) */ +#define PB_AFSR2_AFSR9_Msk (0xf0UL) /*!< PB AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PB_AFSR2_AFSR8_Pos (0UL) /*!< PB AFSR2: AFSR8 (Bit 0) */ +#define PB_AFSR2_AFSR8_Msk (0xfUL) /*!< PB AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PB_PUPD_PUPD15_Pos (30UL) /*!< PB PUPD: PUPD15 (Bit 30) */ +#define PB_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PB PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD14_Pos (28UL) /*!< PB PUPD: PUPD14 (Bit 28) */ +#define PB_PUPD_PUPD14_Msk (0x30000000UL) /*!< PB PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD13_Pos (26UL) /*!< PB PUPD: PUPD13 (Bit 26) */ +#define PB_PUPD_PUPD13_Msk (0xc000000UL) /*!< PB PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD12_Pos (24UL) /*!< PB PUPD: PUPD12 (Bit 24) */ +#define PB_PUPD_PUPD12_Msk (0x3000000UL) /*!< PB PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD11_Pos (22UL) /*!< PB PUPD: PUPD11 (Bit 22) */ +#define PB_PUPD_PUPD11_Msk (0xc00000UL) /*!< PB PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD10_Pos (20UL) /*!< PB PUPD: PUPD10 (Bit 20) */ +#define PB_PUPD_PUPD10_Msk (0x300000UL) /*!< PB PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD9_Pos (18UL) /*!< PB PUPD: PUPD9 (Bit 18) */ +#define PB_PUPD_PUPD9_Msk (0xc0000UL) /*!< PB PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD8_Pos (16UL) /*!< PB PUPD: PUPD8 (Bit 16) */ +#define PB_PUPD_PUPD8_Msk (0x30000UL) /*!< PB PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD7_Pos (14UL) /*!< PB PUPD: PUPD7 (Bit 14) */ +#define PB_PUPD_PUPD7_Msk (0xc000UL) /*!< PB PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD6_Pos (12UL) /*!< PB PUPD: PUPD6 (Bit 12) */ +#define PB_PUPD_PUPD6_Msk (0x3000UL) /*!< PB PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD5_Pos (10UL) /*!< PB PUPD: PUPD5 (Bit 10) */ +#define PB_PUPD_PUPD5_Msk (0xc00UL) /*!< PB PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD4_Pos (8UL) /*!< PB PUPD: PUPD4 (Bit 8) */ +#define PB_PUPD_PUPD4_Msk (0x300UL) /*!< PB PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD3_Pos (6UL) /*!< PB PUPD: PUPD3 (Bit 6) */ +#define PB_PUPD_PUPD3_Msk (0xc0UL) /*!< PB PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD2_Pos (4UL) /*!< PB PUPD: PUPD2 (Bit 4) */ +#define PB_PUPD_PUPD2_Msk (0x30UL) /*!< PB PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD1_Pos (2UL) /*!< PB PUPD: PUPD1 (Bit 2) */ +#define PB_PUPD_PUPD1_Msk (0xcUL) /*!< PB PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PB_PUPD_PUPD0_Pos (0UL) /*!< PB PUPD: PUPD0 (Bit 0) */ +#define PB_PUPD_PUPD0_Msk (0x3UL) /*!< PB PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PB_INDR_INDR15_Pos (15UL) /*!< PB INDR: INDR15 (Bit 15) */ +#define PB_INDR_INDR15_Msk (0x8000UL) /*!< PB INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR14_Pos (14UL) /*!< PB INDR: INDR14 (Bit 14) */ +#define PB_INDR_INDR14_Msk (0x4000UL) /*!< PB INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR13_Pos (13UL) /*!< PB INDR: INDR13 (Bit 13) */ +#define PB_INDR_INDR13_Msk (0x2000UL) /*!< PB INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR12_Pos (12UL) /*!< PB INDR: INDR12 (Bit 12) */ +#define PB_INDR_INDR12_Msk (0x1000UL) /*!< PB INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR11_Pos (11UL) /*!< PB INDR: INDR11 (Bit 11) */ +#define PB_INDR_INDR11_Msk (0x800UL) /*!< PB INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR10_Pos (10UL) /*!< PB INDR: INDR10 (Bit 10) */ +#define PB_INDR_INDR10_Msk (0x400UL) /*!< PB INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR9_Pos (9UL) /*!< PB INDR: INDR9 (Bit 9) */ +#define PB_INDR_INDR9_Msk (0x200UL) /*!< PB INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR8_Pos (8UL) /*!< PB INDR: INDR8 (Bit 8) */ +#define PB_INDR_INDR8_Msk (0x100UL) /*!< PB INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR7_Pos (7UL) /*!< PB INDR: INDR7 (Bit 7) */ +#define PB_INDR_INDR7_Msk (0x80UL) /*!< PB INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR6_Pos (6UL) /*!< PB INDR: INDR6 (Bit 6) */ +#define PB_INDR_INDR6_Msk (0x40UL) /*!< PB INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR5_Pos (5UL) /*!< PB INDR: INDR5 (Bit 5) */ +#define PB_INDR_INDR5_Msk (0x20UL) /*!< PB INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR4_Pos (4UL) /*!< PB INDR: INDR4 (Bit 4) */ +#define PB_INDR_INDR4_Msk (0x10UL) /*!< PB INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR3_Pos (3UL) /*!< PB INDR: INDR3 (Bit 3) */ +#define PB_INDR_INDR3_Msk (0x8UL) /*!< PB INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR2_Pos (2UL) /*!< PB INDR: INDR2 (Bit 2) */ +#define PB_INDR_INDR2_Msk (0x4UL) /*!< PB INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR1_Pos (1UL) /*!< PB INDR: INDR1 (Bit 1) */ +#define PB_INDR_INDR1_Msk (0x2UL) /*!< PB INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PB_INDR_INDR0_Pos (0UL) /*!< PB INDR: INDR0 (Bit 0) */ +#define PB_INDR_INDR0_Msk (0x1UL) /*!< PB INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PB_OUTDR_OUTDR15_Pos (15UL) /*!< PB OUTDR: OUTDR15 (Bit 15) */ +#define PB_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PB OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR14_Pos (14UL) /*!< PB OUTDR: OUTDR14 (Bit 14) */ +#define PB_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PB OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR13_Pos (13UL) /*!< PB OUTDR: OUTDR13 (Bit 13) */ +#define PB_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PB OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR12_Pos (12UL) /*!< PB OUTDR: OUTDR12 (Bit 12) */ +#define PB_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PB OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR11_Pos (11UL) /*!< PB OUTDR: OUTDR11 (Bit 11) */ +#define PB_OUTDR_OUTDR11_Msk (0x800UL) /*!< PB OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR10_Pos (10UL) /*!< PB OUTDR: OUTDR10 (Bit 10) */ +#define PB_OUTDR_OUTDR10_Msk (0x400UL) /*!< PB OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR9_Pos (9UL) /*!< PB OUTDR: OUTDR9 (Bit 9) */ +#define PB_OUTDR_OUTDR9_Msk (0x200UL) /*!< PB OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR8_Pos (8UL) /*!< PB OUTDR: OUTDR8 (Bit 8) */ +#define PB_OUTDR_OUTDR8_Msk (0x100UL) /*!< PB OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR7_Pos (7UL) /*!< PB OUTDR: OUTDR7 (Bit 7) */ +#define PB_OUTDR_OUTDR7_Msk (0x80UL) /*!< PB OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR6_Pos (6UL) /*!< PB OUTDR: OUTDR6 (Bit 6) */ +#define PB_OUTDR_OUTDR6_Msk (0x40UL) /*!< PB OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR5_Pos (5UL) /*!< PB OUTDR: OUTDR5 (Bit 5) */ +#define PB_OUTDR_OUTDR5_Msk (0x20UL) /*!< PB OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR4_Pos (4UL) /*!< PB OUTDR: OUTDR4 (Bit 4) */ +#define PB_OUTDR_OUTDR4_Msk (0x10UL) /*!< PB OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR3_Pos (3UL) /*!< PB OUTDR: OUTDR3 (Bit 3) */ +#define PB_OUTDR_OUTDR3_Msk (0x8UL) /*!< PB OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR2_Pos (2UL) /*!< PB OUTDR: OUTDR2 (Bit 2) */ +#define PB_OUTDR_OUTDR2_Msk (0x4UL) /*!< PB OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR1_Pos (1UL) /*!< PB OUTDR: OUTDR1 (Bit 1) */ +#define PB_OUTDR_OUTDR1_Msk (0x2UL) /*!< PB OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PB_OUTDR_OUTDR0_Pos (0UL) /*!< PB OUTDR: OUTDR0 (Bit 0) */ +#define PB_OUTDR_OUTDR0_Msk (0x1UL) /*!< PB OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PB_BSR_BSR15_Pos (15UL) /*!< PB BSR: BSR15 (Bit 15) */ +#define PB_BSR_BSR15_Msk (0x8000UL) /*!< PB BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR14_Pos (14UL) /*!< PB BSR: BSR14 (Bit 14) */ +#define PB_BSR_BSR14_Msk (0x4000UL) /*!< PB BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR13_Pos (13UL) /*!< PB BSR: BSR13 (Bit 13) */ +#define PB_BSR_BSR13_Msk (0x2000UL) /*!< PB BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR12_Pos (12UL) /*!< PB BSR: BSR12 (Bit 12) */ +#define PB_BSR_BSR12_Msk (0x1000UL) /*!< PB BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR11_Pos (11UL) /*!< PB BSR: BSR11 (Bit 11) */ +#define PB_BSR_BSR11_Msk (0x800UL) /*!< PB BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR10_Pos (10UL) /*!< PB BSR: BSR10 (Bit 10) */ +#define PB_BSR_BSR10_Msk (0x400UL) /*!< PB BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR9_Pos (9UL) /*!< PB BSR: BSR9 (Bit 9) */ +#define PB_BSR_BSR9_Msk (0x200UL) /*!< PB BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR8_Pos (8UL) /*!< PB BSR: BSR8 (Bit 8) */ +#define PB_BSR_BSR8_Msk (0x100UL) /*!< PB BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR7_Pos (7UL) /*!< PB BSR: BSR7 (Bit 7) */ +#define PB_BSR_BSR7_Msk (0x80UL) /*!< PB BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR6_Pos (6UL) /*!< PB BSR: BSR6 (Bit 6) */ +#define PB_BSR_BSR6_Msk (0x40UL) /*!< PB BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR5_Pos (5UL) /*!< PB BSR: BSR5 (Bit 5) */ +#define PB_BSR_BSR5_Msk (0x20UL) /*!< PB BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR4_Pos (4UL) /*!< PB BSR: BSR4 (Bit 4) */ +#define PB_BSR_BSR4_Msk (0x10UL) /*!< PB BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR3_Pos (3UL) /*!< PB BSR: BSR3 (Bit 3) */ +#define PB_BSR_BSR3_Msk (0x8UL) /*!< PB BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR2_Pos (2UL) /*!< PB BSR: BSR2 (Bit 2) */ +#define PB_BSR_BSR2_Msk (0x4UL) /*!< PB BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR1_Pos (1UL) /*!< PB BSR: BSR1 (Bit 1) */ +#define PB_BSR_BSR1_Msk (0x2UL) /*!< PB BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PB_BSR_BSR0_Pos (0UL) /*!< PB BSR: BSR0 (Bit 0) */ +#define PB_BSR_BSR0_Msk (0x1UL) /*!< PB BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PB_BCR_BCR15_Pos (15UL) /*!< PB BCR: BCR15 (Bit 15) */ +#define PB_BCR_BCR15_Msk (0x8000UL) /*!< PB BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR14_Pos (14UL) /*!< PB BCR: BCR14 (Bit 14) */ +#define PB_BCR_BCR14_Msk (0x4000UL) /*!< PB BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR13_Pos (13UL) /*!< PB BCR: BCR13 (Bit 13) */ +#define PB_BCR_BCR13_Msk (0x2000UL) /*!< PB BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR12_Pos (12UL) /*!< PB BCR: BCR12 (Bit 12) */ +#define PB_BCR_BCR12_Msk (0x1000UL) /*!< PB BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR11_Pos (11UL) /*!< PB BCR: BCR11 (Bit 11) */ +#define PB_BCR_BCR11_Msk (0x800UL) /*!< PB BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR10_Pos (10UL) /*!< PB BCR: BCR10 (Bit 10) */ +#define PB_BCR_BCR10_Msk (0x400UL) /*!< PB BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR9_Pos (9UL) /*!< PB BCR: BCR9 (Bit 9) */ +#define PB_BCR_BCR9_Msk (0x200UL) /*!< PB BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR8_Pos (8UL) /*!< PB BCR: BCR8 (Bit 8) */ +#define PB_BCR_BCR8_Msk (0x100UL) /*!< PB BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR7_Pos (7UL) /*!< PB BCR: BCR7 (Bit 7) */ +#define PB_BCR_BCR7_Msk (0x80UL) /*!< PB BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR6_Pos (6UL) /*!< PB BCR: BCR6 (Bit 6) */ +#define PB_BCR_BCR6_Msk (0x40UL) /*!< PB BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR5_Pos (5UL) /*!< PB BCR: BCR5 (Bit 5) */ +#define PB_BCR_BCR5_Msk (0x20UL) /*!< PB BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR4_Pos (4UL) /*!< PB BCR: BCR4 (Bit 4) */ +#define PB_BCR_BCR4_Msk (0x10UL) /*!< PB BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR3_Pos (3UL) /*!< PB BCR: BCR3 (Bit 3) */ +#define PB_BCR_BCR3_Msk (0x8UL) /*!< PB BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR2_Pos (2UL) /*!< PB BCR: BCR2 (Bit 2) */ +#define PB_BCR_BCR2_Msk (0x4UL) /*!< PB BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR1_Pos (1UL) /*!< PB BCR: BCR1 (Bit 1) */ +#define PB_BCR_BCR1_Msk (0x2UL) /*!< PB BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PB_BCR_BCR0_Pos (0UL) /*!< PB BCR: BCR0 (Bit 0) */ +#define PB_BCR_BCR0_Msk (0x1UL) /*!< PB BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PB_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PB OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PB_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PB OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PB OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PB_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PB OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PB OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PB_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PB OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PB OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PB_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PB OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PB OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PB_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PB OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PB OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PB_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PB OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PB OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PB_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PB OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PB OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PB_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PB OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PB OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PB_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PB OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PB OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PB_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PB OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PB OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PB_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PB OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PB OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PB_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PB OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PB OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PB_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PB OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PB OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PB_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PB OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PB OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PB_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PB OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PB_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PB OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PB_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PB OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PB_DBCR_DBCLK_Pos (16UL) /*!< PB DBCR: DBCLK (Bit 16) */ +#define PB_DBCR_DBCLK_Msk (0x70000UL) /*!< PB DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PB_DBCR_DBEN11_Pos (11UL) /*!< PB DBCR: DBEN11 (Bit 11) */ +#define PB_DBCR_DBEN11_Msk (0x800UL) /*!< PB DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN10_Pos (10UL) /*!< PB DBCR: DBEN10 (Bit 10) */ +#define PB_DBCR_DBEN10_Msk (0x400UL) /*!< PB DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN9_Pos (9UL) /*!< PB DBCR: DBEN9 (Bit 9) */ +#define PB_DBCR_DBEN9_Msk (0x200UL) /*!< PB DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN8_Pos (8UL) /*!< PB DBCR: DBEN8 (Bit 8) */ +#define PB_DBCR_DBEN8_Msk (0x100UL) /*!< PB DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN7_Pos (7UL) /*!< PB DBCR: DBEN7 (Bit 7) */ +#define PB_DBCR_DBEN7_Msk (0x80UL) /*!< PB DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN6_Pos (6UL) /*!< PB DBCR: DBEN6 (Bit 6) */ +#define PB_DBCR_DBEN6_Msk (0x40UL) /*!< PB DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN5_Pos (5UL) /*!< PB DBCR: DBEN5 (Bit 5) */ +#define PB_DBCR_DBEN5_Msk (0x20UL) /*!< PB DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN4_Pos (4UL) /*!< PB DBCR: DBEN4 (Bit 4) */ +#define PB_DBCR_DBEN4_Msk (0x10UL) /*!< PB DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN3_Pos (3UL) /*!< PB DBCR: DBEN3 (Bit 3) */ +#define PB_DBCR_DBEN3_Msk (0x8UL) /*!< PB DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN2_Pos (2UL) /*!< PB DBCR: DBEN2 (Bit 2) */ +#define PB_DBCR_DBEN2_Msk (0x4UL) /*!< PB DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN1_Pos (1UL) /*!< PB DBCR: DBEN1 (Bit 1) */ +#define PB_DBCR_DBEN1_Msk (0x2UL) /*!< PB DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PB_DBCR_DBEN0_Pos (0UL) /*!< PB DBCR: DBEN0 (Bit 0) */ +#define PB_DBCR_DBEN0_Msk (0x1UL) /*!< PB DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PB_MOD ========================================================= */ +#define PB_PB_MOD_MODE15_Pos (30UL) /*!< PB PB_MOD: MODE15 (Bit 30) */ +#define PB_PB_MOD_MODE15_Msk (0xc0000000UL) /*!< PB PB_MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE14_Pos (28UL) /*!< PB PB_MOD: MODE14 (Bit 28) */ +#define PB_PB_MOD_MODE14_Msk (0x30000000UL) /*!< PB PB_MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE13_Pos (26UL) /*!< PB PB_MOD: MODE13 (Bit 26) */ +#define PB_PB_MOD_MODE13_Msk (0xc000000UL) /*!< PB PB_MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE12_Pos (24UL) /*!< PB PB_MOD: MODE12 (Bit 24) */ +#define PB_PB_MOD_MODE12_Msk (0x3000000UL) /*!< PB PB_MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE11_Pos (22UL) /*!< PB PB_MOD: MODE11 (Bit 22) */ +#define PB_PB_MOD_MODE11_Msk (0xc00000UL) /*!< PB PB_MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE10_Pos (20UL) /*!< PB PB_MOD: MODE10 (Bit 20) */ +#define PB_PB_MOD_MODE10_Msk (0x300000UL) /*!< PB PB_MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE9_Pos (18UL) /*!< PB PB_MOD: MODE9 (Bit 18) */ +#define PB_PB_MOD_MODE9_Msk (0xc0000UL) /*!< PB PB_MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE8_Pos (16UL) /*!< PB PB_MOD: MODE8 (Bit 16) */ +#define PB_PB_MOD_MODE8_Msk (0x30000UL) /*!< PB PB_MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE7_Pos (14UL) /*!< PB PB_MOD: MODE7 (Bit 14) */ +#define PB_PB_MOD_MODE7_Msk (0xc000UL) /*!< PB PB_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE6_Pos (12UL) /*!< PB PB_MOD: MODE6 (Bit 12) */ +#define PB_PB_MOD_MODE6_Msk (0x3000UL) /*!< PB PB_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE5_Pos (10UL) /*!< PB PB_MOD: MODE5 (Bit 10) */ +#define PB_PB_MOD_MODE5_Msk (0xc00UL) /*!< PB PB_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE4_Pos (8UL) /*!< PB PB_MOD: MODE4 (Bit 8) */ +#define PB_PB_MOD_MODE4_Msk (0x300UL) /*!< PB PB_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE3_Pos (6UL) /*!< PB PB_MOD: MODE3 (Bit 6) */ +#define PB_PB_MOD_MODE3_Msk (0xc0UL) /*!< PB PB_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE2_Pos (4UL) /*!< PB PB_MOD: MODE2 (Bit 4) */ +#define PB_PB_MOD_MODE2_Msk (0x30UL) /*!< PB PB_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE1_Pos (2UL) /*!< PB PB_MOD: MODE1 (Bit 2) */ +#define PB_PB_MOD_MODE1_Msk (0xcUL) /*!< PB PB_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PB_PB_MOD_MODE0_Pos (0UL) /*!< PB PB_MOD: MODE0 (Bit 0) */ +#define PB_PB_MOD_MODE0_Msk (0x3UL) /*!< PB PB_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PB_TYP ========================================================= */ +#define PB_PB_TYP_TYP15_Pos (15UL) /*!< PB PB_TYP: TYP15 (Bit 15) */ +#define PB_PB_TYP_TYP15_Msk (0x8000UL) /*!< PB PB_TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP14_Pos (14UL) /*!< PB PB_TYP: TYP14 (Bit 14) */ +#define PB_PB_TYP_TYP14_Msk (0x4000UL) /*!< PB PB_TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP13_Pos (13UL) /*!< PB PB_TYP: TYP13 (Bit 13) */ +#define PB_PB_TYP_TYP13_Msk (0x2000UL) /*!< PB PB_TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP12_Pos (12UL) /*!< PB PB_TYP: TYP12 (Bit 12) */ +#define PB_PB_TYP_TYP12_Msk (0x1000UL) /*!< PB PB_TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP11_Pos (11UL) /*!< PB PB_TYP: TYP11 (Bit 11) */ +#define PB_PB_TYP_TYP11_Msk (0x800UL) /*!< PB PB_TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP10_Pos (10UL) /*!< PB PB_TYP: TYP10 (Bit 10) */ +#define PB_PB_TYP_TYP10_Msk (0x400UL) /*!< PB PB_TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP9_Pos (9UL) /*!< PB PB_TYP: TYP9 (Bit 9) */ +#define PB_PB_TYP_TYP9_Msk (0x200UL) /*!< PB PB_TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP8_Pos (8UL) /*!< PB PB_TYP: TYP8 (Bit 8) */ +#define PB_PB_TYP_TYP8_Msk (0x100UL) /*!< PB PB_TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP7_Pos (7UL) /*!< PB PB_TYP: TYP7 (Bit 7) */ +#define PB_PB_TYP_TYP7_Msk (0x80UL) /*!< PB PB_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP6_Pos (6UL) /*!< PB PB_TYP: TYP6 (Bit 6) */ +#define PB_PB_TYP_TYP6_Msk (0x40UL) /*!< PB PB_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP5_Pos (5UL) /*!< PB PB_TYP: TYP5 (Bit 5) */ +#define PB_PB_TYP_TYP5_Msk (0x20UL) /*!< PB PB_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP4_Pos (4UL) /*!< PB PB_TYP: TYP4 (Bit 4) */ +#define PB_PB_TYP_TYP4_Msk (0x10UL) /*!< PB PB_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP3_Pos (3UL) /*!< PB PB_TYP: TYP3 (Bit 3) */ +#define PB_PB_TYP_TYP3_Msk (0x8UL) /*!< PB PB_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP2_Pos (2UL) /*!< PB PB_TYP: TYP2 (Bit 2) */ +#define PB_PB_TYP_TYP2_Msk (0x4UL) /*!< PB PB_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP1_Pos (1UL) /*!< PB PB_TYP: TYP1 (Bit 1) */ +#define PB_PB_TYP_TYP1_Msk (0x2UL) /*!< PB PB_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PB_PB_TYP_TYP0_Pos (0UL) /*!< PB PB_TYP: TYP0 (Bit 0) */ +#define PB_PB_TYP_TYP0_Msk (0x1UL) /*!< PB PB_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PB_AFSR1 ======================================================== */ +#define PB_PB_AFSR1_AFSR7_Pos (28UL) /*!< PB PB_AFSR1: AFSR7 (Bit 28) */ +#define PB_PB_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PB PB_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR6_Pos (24UL) /*!< PB PB_AFSR1: AFSR6 (Bit 24) */ +#define PB_PB_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PB PB_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR5_Pos (20UL) /*!< PB PB_AFSR1: AFSR5 (Bit 20) */ +#define PB_PB_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PB PB_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR4_Pos (16UL) /*!< PB PB_AFSR1: AFSR4 (Bit 16) */ +#define PB_PB_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PB PB_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR3_Pos (12UL) /*!< PB PB_AFSR1: AFSR3 (Bit 12) */ +#define PB_PB_AFSR1_AFSR3_Msk (0xf000UL) /*!< PB PB_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR2_Pos (8UL) /*!< PB PB_AFSR1: AFSR2 (Bit 8) */ +#define PB_PB_AFSR1_AFSR2_Msk (0xf00UL) /*!< PB PB_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR1_Pos (4UL) /*!< PB PB_AFSR1: AFSR1 (Bit 4) */ +#define PB_PB_AFSR1_AFSR1_Msk (0xf0UL) /*!< PB PB_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR1_AFSR0_Pos (0UL) /*!< PB PB_AFSR1: AFSR0 (Bit 0) */ +#define PB_PB_AFSR1_AFSR0_Msk (0xfUL) /*!< PB PB_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PB_AFSR2 ======================================================== */ +#define PB_PB_AFSR2_AFSR15_Pos (28UL) /*!< PB PB_AFSR2: AFSR15 (Bit 28) */ +#define PB_PB_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PB PB_AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR14_Pos (24UL) /*!< PB PB_AFSR2: AFSR14 (Bit 24) */ +#define PB_PB_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PB PB_AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR13_Pos (20UL) /*!< PB PB_AFSR2: AFSR13 (Bit 20) */ +#define PB_PB_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PB PB_AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR12_Pos (16UL) /*!< PB PB_AFSR2: AFSR12 (Bit 16) */ +#define PB_PB_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PB PB_AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR11_Pos (12UL) /*!< PB PB_AFSR2: AFSR11 (Bit 12) */ +#define PB_PB_AFSR2_AFSR11_Msk (0xf000UL) /*!< PB PB_AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR10_Pos (8UL) /*!< PB PB_AFSR2: AFSR10 (Bit 8) */ +#define PB_PB_AFSR2_AFSR10_Msk (0xf00UL) /*!< PB PB_AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR9_Pos (4UL) /*!< PB PB_AFSR2: AFSR9 (Bit 4) */ +#define PB_PB_AFSR2_AFSR9_Msk (0xf0UL) /*!< PB PB_AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PB_PB_AFSR2_AFSR8_Pos (0UL) /*!< PB PB_AFSR2: AFSR8 (Bit 0) */ +#define PB_PB_AFSR2_AFSR8_Msk (0xfUL) /*!< PB PB_AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ======================================================== PB_PUPD ======================================================== */ +#define PB_PB_PUPD_PUPD15_Pos (30UL) /*!< PB PB_PUPD: PUPD15 (Bit 30) */ +#define PB_PB_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PB PB_PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD14_Pos (28UL) /*!< PB PB_PUPD: PUPD14 (Bit 28) */ +#define PB_PB_PUPD_PUPD14_Msk (0x30000000UL) /*!< PB PB_PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD13_Pos (26UL) /*!< PB PB_PUPD: PUPD13 (Bit 26) */ +#define PB_PB_PUPD_PUPD13_Msk (0xc000000UL) /*!< PB PB_PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD12_Pos (24UL) /*!< PB PB_PUPD: PUPD12 (Bit 24) */ +#define PB_PB_PUPD_PUPD12_Msk (0x3000000UL) /*!< PB PB_PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD11_Pos (22UL) /*!< PB PB_PUPD: PUPD11 (Bit 22) */ +#define PB_PB_PUPD_PUPD11_Msk (0xc00000UL) /*!< PB PB_PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD10_Pos (20UL) /*!< PB PB_PUPD: PUPD10 (Bit 20) */ +#define PB_PB_PUPD_PUPD10_Msk (0x300000UL) /*!< PB PB_PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD9_Pos (18UL) /*!< PB PB_PUPD: PUPD9 (Bit 18) */ +#define PB_PB_PUPD_PUPD9_Msk (0xc0000UL) /*!< PB PB_PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD8_Pos (16UL) /*!< PB PB_PUPD: PUPD8 (Bit 16) */ +#define PB_PB_PUPD_PUPD8_Msk (0x30000UL) /*!< PB PB_PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD7_Pos (14UL) /*!< PB PB_PUPD: PUPD7 (Bit 14) */ +#define PB_PB_PUPD_PUPD7_Msk (0xc000UL) /*!< PB PB_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD6_Pos (12UL) /*!< PB PB_PUPD: PUPD6 (Bit 12) */ +#define PB_PB_PUPD_PUPD6_Msk (0x3000UL) /*!< PB PB_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD5_Pos (10UL) /*!< PB PB_PUPD: PUPD5 (Bit 10) */ +#define PB_PB_PUPD_PUPD5_Msk (0xc00UL) /*!< PB PB_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD4_Pos (8UL) /*!< PB PB_PUPD: PUPD4 (Bit 8) */ +#define PB_PB_PUPD_PUPD4_Msk (0x300UL) /*!< PB PB_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD3_Pos (6UL) /*!< PB PB_PUPD: PUPD3 (Bit 6) */ +#define PB_PB_PUPD_PUPD3_Msk (0xc0UL) /*!< PB PB_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD2_Pos (4UL) /*!< PB PB_PUPD: PUPD2 (Bit 4) */ +#define PB_PB_PUPD_PUPD2_Msk (0x30UL) /*!< PB PB_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD1_Pos (2UL) /*!< PB PB_PUPD: PUPD1 (Bit 2) */ +#define PB_PB_PUPD_PUPD1_Msk (0xcUL) /*!< PB PB_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PB_PB_PUPD_PUPD0_Pos (0UL) /*!< PB PB_PUPD: PUPD0 (Bit 0) */ +#define PB_PB_PUPD_PUPD0_Msk (0x3UL) /*!< PB PB_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PB_INDR ======================================================== */ +#define PB_PB_INDR_INDR15_Pos (15UL) /*!< PB PB_INDR: INDR15 (Bit 15) */ +#define PB_PB_INDR_INDR15_Msk (0x8000UL) /*!< PB PB_INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR14_Pos (14UL) /*!< PB PB_INDR: INDR14 (Bit 14) */ +#define PB_PB_INDR_INDR14_Msk (0x4000UL) /*!< PB PB_INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR13_Pos (13UL) /*!< PB PB_INDR: INDR13 (Bit 13) */ +#define PB_PB_INDR_INDR13_Msk (0x2000UL) /*!< PB PB_INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR12_Pos (12UL) /*!< PB PB_INDR: INDR12 (Bit 12) */ +#define PB_PB_INDR_INDR12_Msk (0x1000UL) /*!< PB PB_INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR11_Pos (11UL) /*!< PB PB_INDR: INDR11 (Bit 11) */ +#define PB_PB_INDR_INDR11_Msk (0x800UL) /*!< PB PB_INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR10_Pos (10UL) /*!< PB PB_INDR: INDR10 (Bit 10) */ +#define PB_PB_INDR_INDR10_Msk (0x400UL) /*!< PB PB_INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR9_Pos (9UL) /*!< PB PB_INDR: INDR9 (Bit 9) */ +#define PB_PB_INDR_INDR9_Msk (0x200UL) /*!< PB PB_INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR8_Pos (8UL) /*!< PB PB_INDR: INDR8 (Bit 8) */ +#define PB_PB_INDR_INDR8_Msk (0x100UL) /*!< PB PB_INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR7_Pos (7UL) /*!< PB PB_INDR: INDR7 (Bit 7) */ +#define PB_PB_INDR_INDR7_Msk (0x80UL) /*!< PB PB_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR6_Pos (6UL) /*!< PB PB_INDR: INDR6 (Bit 6) */ +#define PB_PB_INDR_INDR6_Msk (0x40UL) /*!< PB PB_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR5_Pos (5UL) /*!< PB PB_INDR: INDR5 (Bit 5) */ +#define PB_PB_INDR_INDR5_Msk (0x20UL) /*!< PB PB_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR4_Pos (4UL) /*!< PB PB_INDR: INDR4 (Bit 4) */ +#define PB_PB_INDR_INDR4_Msk (0x10UL) /*!< PB PB_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR3_Pos (3UL) /*!< PB PB_INDR: INDR3 (Bit 3) */ +#define PB_PB_INDR_INDR3_Msk (0x8UL) /*!< PB PB_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR2_Pos (2UL) /*!< PB PB_INDR: INDR2 (Bit 2) */ +#define PB_PB_INDR_INDR2_Msk (0x4UL) /*!< PB PB_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR1_Pos (1UL) /*!< PB PB_INDR: INDR1 (Bit 1) */ +#define PB_PB_INDR_INDR1_Msk (0x2UL) /*!< PB PB_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PB_PB_INDR_INDR0_Pos (0UL) /*!< PB PB_INDR: INDR0 (Bit 0) */ +#define PB_PB_INDR_INDR0_Msk (0x1UL) /*!< PB PB_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PB_OUTDR ======================================================== */ +#define PB_PB_OUTDR_OUTDR15_Pos (15UL) /*!< PB PB_OUTDR: OUTDR15 (Bit 15) */ +#define PB_PB_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PB PB_OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR14_Pos (14UL) /*!< PB PB_OUTDR: OUTDR14 (Bit 14) */ +#define PB_PB_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PB PB_OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR13_Pos (13UL) /*!< PB PB_OUTDR: OUTDR13 (Bit 13) */ +#define PB_PB_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PB PB_OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR12_Pos (12UL) /*!< PB PB_OUTDR: OUTDR12 (Bit 12) */ +#define PB_PB_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PB PB_OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR11_Pos (11UL) /*!< PB PB_OUTDR: OUTDR11 (Bit 11) */ +#define PB_PB_OUTDR_OUTDR11_Msk (0x800UL) /*!< PB PB_OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR10_Pos (10UL) /*!< PB PB_OUTDR: OUTDR10 (Bit 10) */ +#define PB_PB_OUTDR_OUTDR10_Msk (0x400UL) /*!< PB PB_OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR9_Pos (9UL) /*!< PB PB_OUTDR: OUTDR9 (Bit 9) */ +#define PB_PB_OUTDR_OUTDR9_Msk (0x200UL) /*!< PB PB_OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR8_Pos (8UL) /*!< PB PB_OUTDR: OUTDR8 (Bit 8) */ +#define PB_PB_OUTDR_OUTDR8_Msk (0x100UL) /*!< PB PB_OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR7_Pos (7UL) /*!< PB PB_OUTDR: OUTDR7 (Bit 7) */ +#define PB_PB_OUTDR_OUTDR7_Msk (0x80UL) /*!< PB PB_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR6_Pos (6UL) /*!< PB PB_OUTDR: OUTDR6 (Bit 6) */ +#define PB_PB_OUTDR_OUTDR6_Msk (0x40UL) /*!< PB PB_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR5_Pos (5UL) /*!< PB PB_OUTDR: OUTDR5 (Bit 5) */ +#define PB_PB_OUTDR_OUTDR5_Msk (0x20UL) /*!< PB PB_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR4_Pos (4UL) /*!< PB PB_OUTDR: OUTDR4 (Bit 4) */ +#define PB_PB_OUTDR_OUTDR4_Msk (0x10UL) /*!< PB PB_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR3_Pos (3UL) /*!< PB PB_OUTDR: OUTDR3 (Bit 3) */ +#define PB_PB_OUTDR_OUTDR3_Msk (0x8UL) /*!< PB PB_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR2_Pos (2UL) /*!< PB PB_OUTDR: OUTDR2 (Bit 2) */ +#define PB_PB_OUTDR_OUTDR2_Msk (0x4UL) /*!< PB PB_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR1_Pos (1UL) /*!< PB PB_OUTDR: OUTDR1 (Bit 1) */ +#define PB_PB_OUTDR_OUTDR1_Msk (0x2UL) /*!< PB PB_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDR_OUTDR0_Pos (0UL) /*!< PB PB_OUTDR: OUTDR0 (Bit 0) */ +#define PB_PB_OUTDR_OUTDR0_Msk (0x1UL) /*!< PB PB_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PB_BSR ========================================================= */ +#define PB_PB_BSR_BSR15_Pos (15UL) /*!< PB PB_BSR: BSR15 (Bit 15) */ +#define PB_PB_BSR_BSR15_Msk (0x8000UL) /*!< PB PB_BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR14_Pos (14UL) /*!< PB PB_BSR: BSR14 (Bit 14) */ +#define PB_PB_BSR_BSR14_Msk (0x4000UL) /*!< PB PB_BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR13_Pos (13UL) /*!< PB PB_BSR: BSR13 (Bit 13) */ +#define PB_PB_BSR_BSR13_Msk (0x2000UL) /*!< PB PB_BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR12_Pos (12UL) /*!< PB PB_BSR: BSR12 (Bit 12) */ +#define PB_PB_BSR_BSR12_Msk (0x1000UL) /*!< PB PB_BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR11_Pos (11UL) /*!< PB PB_BSR: BSR11 (Bit 11) */ +#define PB_PB_BSR_BSR11_Msk (0x800UL) /*!< PB PB_BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR10_Pos (10UL) /*!< PB PB_BSR: BSR10 (Bit 10) */ +#define PB_PB_BSR_BSR10_Msk (0x400UL) /*!< PB PB_BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR9_Pos (9UL) /*!< PB PB_BSR: BSR9 (Bit 9) */ +#define PB_PB_BSR_BSR9_Msk (0x200UL) /*!< PB PB_BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR8_Pos (8UL) /*!< PB PB_BSR: BSR8 (Bit 8) */ +#define PB_PB_BSR_BSR8_Msk (0x100UL) /*!< PB PB_BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR7_Pos (7UL) /*!< PB PB_BSR: BSR7 (Bit 7) */ +#define PB_PB_BSR_BSR7_Msk (0x80UL) /*!< PB PB_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR6_Pos (6UL) /*!< PB PB_BSR: BSR6 (Bit 6) */ +#define PB_PB_BSR_BSR6_Msk (0x40UL) /*!< PB PB_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR5_Pos (5UL) /*!< PB PB_BSR: BSR5 (Bit 5) */ +#define PB_PB_BSR_BSR5_Msk (0x20UL) /*!< PB PB_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR4_Pos (4UL) /*!< PB PB_BSR: BSR4 (Bit 4) */ +#define PB_PB_BSR_BSR4_Msk (0x10UL) /*!< PB PB_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR3_Pos (3UL) /*!< PB PB_BSR: BSR3 (Bit 3) */ +#define PB_PB_BSR_BSR3_Msk (0x8UL) /*!< PB PB_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR2_Pos (2UL) /*!< PB PB_BSR: BSR2 (Bit 2) */ +#define PB_PB_BSR_BSR2_Msk (0x4UL) /*!< PB PB_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR1_Pos (1UL) /*!< PB PB_BSR: BSR1 (Bit 1) */ +#define PB_PB_BSR_BSR1_Msk (0x2UL) /*!< PB PB_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PB_PB_BSR_BSR0_Pos (0UL) /*!< PB PB_BSR: BSR0 (Bit 0) */ +#define PB_PB_BSR_BSR0_Msk (0x1UL) /*!< PB PB_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PB_BCR ========================================================= */ +#define PB_PB_BCR_BCR15_Pos (15UL) /*!< PB PB_BCR: BCR15 (Bit 15) */ +#define PB_PB_BCR_BCR15_Msk (0x8000UL) /*!< PB PB_BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR14_Pos (14UL) /*!< PB PB_BCR: BCR14 (Bit 14) */ +#define PB_PB_BCR_BCR14_Msk (0x4000UL) /*!< PB PB_BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR13_Pos (13UL) /*!< PB PB_BCR: BCR13 (Bit 13) */ +#define PB_PB_BCR_BCR13_Msk (0x2000UL) /*!< PB PB_BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR12_Pos (12UL) /*!< PB PB_BCR: BCR12 (Bit 12) */ +#define PB_PB_BCR_BCR12_Msk (0x1000UL) /*!< PB PB_BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR11_Pos (11UL) /*!< PB PB_BCR: BCR11 (Bit 11) */ +#define PB_PB_BCR_BCR11_Msk (0x800UL) /*!< PB PB_BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR10_Pos (10UL) /*!< PB PB_BCR: BCR10 (Bit 10) */ +#define PB_PB_BCR_BCR10_Msk (0x400UL) /*!< PB PB_BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR9_Pos (9UL) /*!< PB PB_BCR: BCR9 (Bit 9) */ +#define PB_PB_BCR_BCR9_Msk (0x200UL) /*!< PB PB_BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR8_Pos (8UL) /*!< PB PB_BCR: BCR8 (Bit 8) */ +#define PB_PB_BCR_BCR8_Msk (0x100UL) /*!< PB PB_BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR7_Pos (7UL) /*!< PB PB_BCR: BCR7 (Bit 7) */ +#define PB_PB_BCR_BCR7_Msk (0x80UL) /*!< PB PB_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR6_Pos (6UL) /*!< PB PB_BCR: BCR6 (Bit 6) */ +#define PB_PB_BCR_BCR6_Msk (0x40UL) /*!< PB PB_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR5_Pos (5UL) /*!< PB PB_BCR: BCR5 (Bit 5) */ +#define PB_PB_BCR_BCR5_Msk (0x20UL) /*!< PB PB_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR4_Pos (4UL) /*!< PB PB_BCR: BCR4 (Bit 4) */ +#define PB_PB_BCR_BCR4_Msk (0x10UL) /*!< PB PB_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR3_Pos (3UL) /*!< PB PB_BCR: BCR3 (Bit 3) */ +#define PB_PB_BCR_BCR3_Msk (0x8UL) /*!< PB PB_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR2_Pos (2UL) /*!< PB PB_BCR: BCR2 (Bit 2) */ +#define PB_PB_BCR_BCR2_Msk (0x4UL) /*!< PB PB_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR1_Pos (1UL) /*!< PB PB_BCR: BCR1 (Bit 1) */ +#define PB_PB_BCR_BCR1_Msk (0x2UL) /*!< PB PB_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PB_PB_BCR_BCR0_Pos (0UL) /*!< PB PB_BCR: BCR0 (Bit 0) */ +#define PB_PB_BCR_BCR0_Msk (0x1UL) /*!< PB PB_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PB_OUTDMSK ======================================================= */ +#define PB_PB_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PB PB_OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PB_PB_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PB PB_OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PB PB_OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PB_PB_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PB PB_OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PB PB_OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PB_PB_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PB PB_OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PB PB_OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PB_PB_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PB PB_OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PB PB_OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PB_PB_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PB PB_OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PB PB_OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PB_PB_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PB PB_OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PB PB_OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PB_PB_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PB PB_OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PB PB_OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PB_PB_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PB PB_OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PB PB_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PB_PB_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PB PB_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PB PB_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PB_PB_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PB PB_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PB PB_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PB_PB_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PB PB_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PB PB_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PB_PB_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PB PB_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PB PB_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PB_PB_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PB PB_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PB PB_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PB_PB_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PB PB_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PB PB_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PB_PB_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PB PB_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PB_PB_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PB PB_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PB_PB_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PB PB_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PB_DBCR ======================================================== */ +#define PB_PB_DBCR_DBCLK_Pos (16UL) /*!< PB PB_DBCR: DBCLK (Bit 16) */ +#define PB_PB_DBCR_DBCLK_Msk (0x70000UL) /*!< PB PB_DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PB_PB_DBCR_DBEN11_Pos (11UL) /*!< PB PB_DBCR: DBEN11 (Bit 11) */ +#define PB_PB_DBCR_DBEN11_Msk (0x800UL) /*!< PB PB_DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN10_Pos (10UL) /*!< PB PB_DBCR: DBEN10 (Bit 10) */ +#define PB_PB_DBCR_DBEN10_Msk (0x400UL) /*!< PB PB_DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN9_Pos (9UL) /*!< PB PB_DBCR: DBEN9 (Bit 9) */ +#define PB_PB_DBCR_DBEN9_Msk (0x200UL) /*!< PB PB_DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN8_Pos (8UL) /*!< PB PB_DBCR: DBEN8 (Bit 8) */ +#define PB_PB_DBCR_DBEN8_Msk (0x100UL) /*!< PB PB_DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN7_Pos (7UL) /*!< PB PB_DBCR: DBEN7 (Bit 7) */ +#define PB_PB_DBCR_DBEN7_Msk (0x80UL) /*!< PB PB_DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN6_Pos (6UL) /*!< PB PB_DBCR: DBEN6 (Bit 6) */ +#define PB_PB_DBCR_DBEN6_Msk (0x40UL) /*!< PB PB_DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN5_Pos (5UL) /*!< PB PB_DBCR: DBEN5 (Bit 5) */ +#define PB_PB_DBCR_DBEN5_Msk (0x20UL) /*!< PB PB_DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN4_Pos (4UL) /*!< PB PB_DBCR: DBEN4 (Bit 4) */ +#define PB_PB_DBCR_DBEN4_Msk (0x10UL) /*!< PB PB_DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN3_Pos (3UL) /*!< PB PB_DBCR: DBEN3 (Bit 3) */ +#define PB_PB_DBCR_DBEN3_Msk (0x8UL) /*!< PB PB_DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN2_Pos (2UL) /*!< PB PB_DBCR: DBEN2 (Bit 2) */ +#define PB_PB_DBCR_DBEN2_Msk (0x4UL) /*!< PB PB_DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN1_Pos (1UL) /*!< PB PB_DBCR: DBEN1 (Bit 1) */ +#define PB_PB_DBCR_DBEN1_Msk (0x2UL) /*!< PB PB_DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PB_PB_DBCR_DBEN0_Pos (0UL) /*!< PB PB_DBCR: DBEN0 (Bit 0) */ +#define PB_PB_DBCR_DBEN0_Msk (0x1UL) /*!< PB PB_DBCR: DBEN0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PC_MOD_MODE15_Pos (30UL) /*!< PC MOD: MODE15 (Bit 30) */ +#define PC_MOD_MODE15_Msk (0xc0000000UL) /*!< PC MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE14_Pos (28UL) /*!< PC MOD: MODE14 (Bit 28) */ +#define PC_MOD_MODE14_Msk (0x30000000UL) /*!< PC MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE13_Pos (26UL) /*!< PC MOD: MODE13 (Bit 26) */ +#define PC_MOD_MODE13_Msk (0xc000000UL) /*!< PC MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE12_Pos (24UL) /*!< PC MOD: MODE12 (Bit 24) */ +#define PC_MOD_MODE12_Msk (0x3000000UL) /*!< PC MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE11_Pos (22UL) /*!< PC MOD: MODE11 (Bit 22) */ +#define PC_MOD_MODE11_Msk (0xc00000UL) /*!< PC MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE10_Pos (20UL) /*!< PC MOD: MODE10 (Bit 20) */ +#define PC_MOD_MODE10_Msk (0x300000UL) /*!< PC MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE9_Pos (18UL) /*!< PC MOD: MODE9 (Bit 18) */ +#define PC_MOD_MODE9_Msk (0xc0000UL) /*!< PC MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE8_Pos (16UL) /*!< PC MOD: MODE8 (Bit 16) */ +#define PC_MOD_MODE8_Msk (0x30000UL) /*!< PC MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE7_Pos (14UL) /*!< PC MOD: MODE7 (Bit 14) */ +#define PC_MOD_MODE7_Msk (0xc000UL) /*!< PC MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE6_Pos (12UL) /*!< PC MOD: MODE6 (Bit 12) */ +#define PC_MOD_MODE6_Msk (0x3000UL) /*!< PC MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE5_Pos (10UL) /*!< PC MOD: MODE5 (Bit 10) */ +#define PC_MOD_MODE5_Msk (0xc00UL) /*!< PC MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE4_Pos (8UL) /*!< PC MOD: MODE4 (Bit 8) */ +#define PC_MOD_MODE4_Msk (0x300UL) /*!< PC MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE3_Pos (6UL) /*!< PC MOD: MODE3 (Bit 6) */ +#define PC_MOD_MODE3_Msk (0xc0UL) /*!< PC MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE2_Pos (4UL) /*!< PC MOD: MODE2 (Bit 4) */ +#define PC_MOD_MODE2_Msk (0x30UL) /*!< PC MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE1_Pos (2UL) /*!< PC MOD: MODE1 (Bit 2) */ +#define PC_MOD_MODE1_Msk (0xcUL) /*!< PC MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PC_MOD_MODE0_Pos (0UL) /*!< PC MOD: MODE0 (Bit 0) */ +#define PC_MOD_MODE0_Msk (0x3UL) /*!< PC MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PC_TYP_TYP15_Pos (15UL) /*!< PC TYP: TYP15 (Bit 15) */ +#define PC_TYP_TYP15_Msk (0x8000UL) /*!< PC TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP14_Pos (14UL) /*!< PC TYP: TYP14 (Bit 14) */ +#define PC_TYP_TYP14_Msk (0x4000UL) /*!< PC TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP13_Pos (13UL) /*!< PC TYP: TYP13 (Bit 13) */ +#define PC_TYP_TYP13_Msk (0x2000UL) /*!< PC TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP12_Pos (12UL) /*!< PC TYP: TYP12 (Bit 12) */ +#define PC_TYP_TYP12_Msk (0x1000UL) /*!< PC TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP11_Pos (11UL) /*!< PC TYP: TYP11 (Bit 11) */ +#define PC_TYP_TYP11_Msk (0x800UL) /*!< PC TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP10_Pos (10UL) /*!< PC TYP: TYP10 (Bit 10) */ +#define PC_TYP_TYP10_Msk (0x400UL) /*!< PC TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP9_Pos (9UL) /*!< PC TYP: TYP9 (Bit 9) */ +#define PC_TYP_TYP9_Msk (0x200UL) /*!< PC TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP8_Pos (8UL) /*!< PC TYP: TYP8 (Bit 8) */ +#define PC_TYP_TYP8_Msk (0x100UL) /*!< PC TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP7_Pos (7UL) /*!< PC TYP: TYP7 (Bit 7) */ +#define PC_TYP_TYP7_Msk (0x80UL) /*!< PC TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP6_Pos (6UL) /*!< PC TYP: TYP6 (Bit 6) */ +#define PC_TYP_TYP6_Msk (0x40UL) /*!< PC TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP5_Pos (5UL) /*!< PC TYP: TYP5 (Bit 5) */ +#define PC_TYP_TYP5_Msk (0x20UL) /*!< PC TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP4_Pos (4UL) /*!< PC TYP: TYP4 (Bit 4) */ +#define PC_TYP_TYP4_Msk (0x10UL) /*!< PC TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP3_Pos (3UL) /*!< PC TYP: TYP3 (Bit 3) */ +#define PC_TYP_TYP3_Msk (0x8UL) /*!< PC TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP2_Pos (2UL) /*!< PC TYP: TYP2 (Bit 2) */ +#define PC_TYP_TYP2_Msk (0x4UL) /*!< PC TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP1_Pos (1UL) /*!< PC TYP: TYP1 (Bit 1) */ +#define PC_TYP_TYP1_Msk (0x2UL) /*!< PC TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PC_TYP_TYP0_Pos (0UL) /*!< PC TYP: TYP0 (Bit 0) */ +#define PC_TYP_TYP0_Msk (0x1UL) /*!< PC TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PC_AFSR1_AFSR7_Pos (28UL) /*!< PC AFSR1: AFSR7 (Bit 28) */ +#define PC_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PC AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR6_Pos (24UL) /*!< PC AFSR1: AFSR6 (Bit 24) */ +#define PC_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PC AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR5_Pos (20UL) /*!< PC AFSR1: AFSR5 (Bit 20) */ +#define PC_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PC AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR4_Pos (16UL) /*!< PC AFSR1: AFSR4 (Bit 16) */ +#define PC_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PC AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR3_Pos (12UL) /*!< PC AFSR1: AFSR3 (Bit 12) */ +#define PC_AFSR1_AFSR3_Msk (0xf000UL) /*!< PC AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR2_Pos (8UL) /*!< PC AFSR1: AFSR2 (Bit 8) */ +#define PC_AFSR1_AFSR2_Msk (0xf00UL) /*!< PC AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR1_Pos (4UL) /*!< PC AFSR1: AFSR1 (Bit 4) */ +#define PC_AFSR1_AFSR1_Msk (0xf0UL) /*!< PC AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR1_AFSR0_Pos (0UL) /*!< PC AFSR1: AFSR0 (Bit 0) */ +#define PC_AFSR1_AFSR0_Msk (0xfUL) /*!< PC AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PC_AFSR2_AFSR15_Pos (28UL) /*!< PC AFSR2: AFSR15 (Bit 28) */ +#define PC_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PC AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR14_Pos (24UL) /*!< PC AFSR2: AFSR14 (Bit 24) */ +#define PC_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PC AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR13_Pos (20UL) /*!< PC AFSR2: AFSR13 (Bit 20) */ +#define PC_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PC AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR12_Pos (16UL) /*!< PC AFSR2: AFSR12 (Bit 16) */ +#define PC_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PC AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR11_Pos (12UL) /*!< PC AFSR2: AFSR11 (Bit 12) */ +#define PC_AFSR2_AFSR11_Msk (0xf000UL) /*!< PC AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR10_Pos (8UL) /*!< PC AFSR2: AFSR10 (Bit 8) */ +#define PC_AFSR2_AFSR10_Msk (0xf00UL) /*!< PC AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR9_Pos (4UL) /*!< PC AFSR2: AFSR9 (Bit 4) */ +#define PC_AFSR2_AFSR9_Msk (0xf0UL) /*!< PC AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PC_AFSR2_AFSR8_Pos (0UL) /*!< PC AFSR2: AFSR8 (Bit 0) */ +#define PC_AFSR2_AFSR8_Msk (0xfUL) /*!< PC AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PC_PUPD_PUPD15_Pos (30UL) /*!< PC PUPD: PUPD15 (Bit 30) */ +#define PC_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PC PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD14_Pos (28UL) /*!< PC PUPD: PUPD14 (Bit 28) */ +#define PC_PUPD_PUPD14_Msk (0x30000000UL) /*!< PC PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD13_Pos (26UL) /*!< PC PUPD: PUPD13 (Bit 26) */ +#define PC_PUPD_PUPD13_Msk (0xc000000UL) /*!< PC PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD12_Pos (24UL) /*!< PC PUPD: PUPD12 (Bit 24) */ +#define PC_PUPD_PUPD12_Msk (0x3000000UL) /*!< PC PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD11_Pos (22UL) /*!< PC PUPD: PUPD11 (Bit 22) */ +#define PC_PUPD_PUPD11_Msk (0xc00000UL) /*!< PC PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD10_Pos (20UL) /*!< PC PUPD: PUPD10 (Bit 20) */ +#define PC_PUPD_PUPD10_Msk (0x300000UL) /*!< PC PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD9_Pos (18UL) /*!< PC PUPD: PUPD9 (Bit 18) */ +#define PC_PUPD_PUPD9_Msk (0xc0000UL) /*!< PC PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD8_Pos (16UL) /*!< PC PUPD: PUPD8 (Bit 16) */ +#define PC_PUPD_PUPD8_Msk (0x30000UL) /*!< PC PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD7_Pos (14UL) /*!< PC PUPD: PUPD7 (Bit 14) */ +#define PC_PUPD_PUPD7_Msk (0xc000UL) /*!< PC PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD6_Pos (12UL) /*!< PC PUPD: PUPD6 (Bit 12) */ +#define PC_PUPD_PUPD6_Msk (0x3000UL) /*!< PC PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD5_Pos (10UL) /*!< PC PUPD: PUPD5 (Bit 10) */ +#define PC_PUPD_PUPD5_Msk (0xc00UL) /*!< PC PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD4_Pos (8UL) /*!< PC PUPD: PUPD4 (Bit 8) */ +#define PC_PUPD_PUPD4_Msk (0x300UL) /*!< PC PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD3_Pos (6UL) /*!< PC PUPD: PUPD3 (Bit 6) */ +#define PC_PUPD_PUPD3_Msk (0xc0UL) /*!< PC PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD2_Pos (4UL) /*!< PC PUPD: PUPD2 (Bit 4) */ +#define PC_PUPD_PUPD2_Msk (0x30UL) /*!< PC PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD1_Pos (2UL) /*!< PC PUPD: PUPD1 (Bit 2) */ +#define PC_PUPD_PUPD1_Msk (0xcUL) /*!< PC PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PC_PUPD_PUPD0_Pos (0UL) /*!< PC PUPD: PUPD0 (Bit 0) */ +#define PC_PUPD_PUPD0_Msk (0x3UL) /*!< PC PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PC_INDR_INDR15_Pos (15UL) /*!< PC INDR: INDR15 (Bit 15) */ +#define PC_INDR_INDR15_Msk (0x8000UL) /*!< PC INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR14_Pos (14UL) /*!< PC INDR: INDR14 (Bit 14) */ +#define PC_INDR_INDR14_Msk (0x4000UL) /*!< PC INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR13_Pos (13UL) /*!< PC INDR: INDR13 (Bit 13) */ +#define PC_INDR_INDR13_Msk (0x2000UL) /*!< PC INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR12_Pos (12UL) /*!< PC INDR: INDR12 (Bit 12) */ +#define PC_INDR_INDR12_Msk (0x1000UL) /*!< PC INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR11_Pos (11UL) /*!< PC INDR: INDR11 (Bit 11) */ +#define PC_INDR_INDR11_Msk (0x800UL) /*!< PC INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR10_Pos (10UL) /*!< PC INDR: INDR10 (Bit 10) */ +#define PC_INDR_INDR10_Msk (0x400UL) /*!< PC INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR9_Pos (9UL) /*!< PC INDR: INDR9 (Bit 9) */ +#define PC_INDR_INDR9_Msk (0x200UL) /*!< PC INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR8_Pos (8UL) /*!< PC INDR: INDR8 (Bit 8) */ +#define PC_INDR_INDR8_Msk (0x100UL) /*!< PC INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR7_Pos (7UL) /*!< PC INDR: INDR7 (Bit 7) */ +#define PC_INDR_INDR7_Msk (0x80UL) /*!< PC INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR6_Pos (6UL) /*!< PC INDR: INDR6 (Bit 6) */ +#define PC_INDR_INDR6_Msk (0x40UL) /*!< PC INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR5_Pos (5UL) /*!< PC INDR: INDR5 (Bit 5) */ +#define PC_INDR_INDR5_Msk (0x20UL) /*!< PC INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR4_Pos (4UL) /*!< PC INDR: INDR4 (Bit 4) */ +#define PC_INDR_INDR4_Msk (0x10UL) /*!< PC INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR3_Pos (3UL) /*!< PC INDR: INDR3 (Bit 3) */ +#define PC_INDR_INDR3_Msk (0x8UL) /*!< PC INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR2_Pos (2UL) /*!< PC INDR: INDR2 (Bit 2) */ +#define PC_INDR_INDR2_Msk (0x4UL) /*!< PC INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR1_Pos (1UL) /*!< PC INDR: INDR1 (Bit 1) */ +#define PC_INDR_INDR1_Msk (0x2UL) /*!< PC INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PC_INDR_INDR0_Pos (0UL) /*!< PC INDR: INDR0 (Bit 0) */ +#define PC_INDR_INDR0_Msk (0x1UL) /*!< PC INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PC_OUTDR_OUTDR15_Pos (15UL) /*!< PC OUTDR: OUTDR15 (Bit 15) */ +#define PC_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PC OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR14_Pos (14UL) /*!< PC OUTDR: OUTDR14 (Bit 14) */ +#define PC_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PC OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR13_Pos (13UL) /*!< PC OUTDR: OUTDR13 (Bit 13) */ +#define PC_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PC OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR12_Pos (12UL) /*!< PC OUTDR: OUTDR12 (Bit 12) */ +#define PC_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PC OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR11_Pos (11UL) /*!< PC OUTDR: OUTDR11 (Bit 11) */ +#define PC_OUTDR_OUTDR11_Msk (0x800UL) /*!< PC OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR10_Pos (10UL) /*!< PC OUTDR: OUTDR10 (Bit 10) */ +#define PC_OUTDR_OUTDR10_Msk (0x400UL) /*!< PC OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR9_Pos (9UL) /*!< PC OUTDR: OUTDR9 (Bit 9) */ +#define PC_OUTDR_OUTDR9_Msk (0x200UL) /*!< PC OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR8_Pos (8UL) /*!< PC OUTDR: OUTDR8 (Bit 8) */ +#define PC_OUTDR_OUTDR8_Msk (0x100UL) /*!< PC OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR7_Pos (7UL) /*!< PC OUTDR: OUTDR7 (Bit 7) */ +#define PC_OUTDR_OUTDR7_Msk (0x80UL) /*!< PC OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR6_Pos (6UL) /*!< PC OUTDR: OUTDR6 (Bit 6) */ +#define PC_OUTDR_OUTDR6_Msk (0x40UL) /*!< PC OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR5_Pos (5UL) /*!< PC OUTDR: OUTDR5 (Bit 5) */ +#define PC_OUTDR_OUTDR5_Msk (0x20UL) /*!< PC OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR4_Pos (4UL) /*!< PC OUTDR: OUTDR4 (Bit 4) */ +#define PC_OUTDR_OUTDR4_Msk (0x10UL) /*!< PC OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR3_Pos (3UL) /*!< PC OUTDR: OUTDR3 (Bit 3) */ +#define PC_OUTDR_OUTDR3_Msk (0x8UL) /*!< PC OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR2_Pos (2UL) /*!< PC OUTDR: OUTDR2 (Bit 2) */ +#define PC_OUTDR_OUTDR2_Msk (0x4UL) /*!< PC OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR1_Pos (1UL) /*!< PC OUTDR: OUTDR1 (Bit 1) */ +#define PC_OUTDR_OUTDR1_Msk (0x2UL) /*!< PC OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PC_OUTDR_OUTDR0_Pos (0UL) /*!< PC OUTDR: OUTDR0 (Bit 0) */ +#define PC_OUTDR_OUTDR0_Msk (0x1UL) /*!< PC OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PC_BSR_BSR15_Pos (15UL) /*!< PC BSR: BSR15 (Bit 15) */ +#define PC_BSR_BSR15_Msk (0x8000UL) /*!< PC BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR14_Pos (14UL) /*!< PC BSR: BSR14 (Bit 14) */ +#define PC_BSR_BSR14_Msk (0x4000UL) /*!< PC BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR13_Pos (13UL) /*!< PC BSR: BSR13 (Bit 13) */ +#define PC_BSR_BSR13_Msk (0x2000UL) /*!< PC BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR12_Pos (12UL) /*!< PC BSR: BSR12 (Bit 12) */ +#define PC_BSR_BSR12_Msk (0x1000UL) /*!< PC BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR11_Pos (11UL) /*!< PC BSR: BSR11 (Bit 11) */ +#define PC_BSR_BSR11_Msk (0x800UL) /*!< PC BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR10_Pos (10UL) /*!< PC BSR: BSR10 (Bit 10) */ +#define PC_BSR_BSR10_Msk (0x400UL) /*!< PC BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR9_Pos (9UL) /*!< PC BSR: BSR9 (Bit 9) */ +#define PC_BSR_BSR9_Msk (0x200UL) /*!< PC BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR8_Pos (8UL) /*!< PC BSR: BSR8 (Bit 8) */ +#define PC_BSR_BSR8_Msk (0x100UL) /*!< PC BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR7_Pos (7UL) /*!< PC BSR: BSR7 (Bit 7) */ +#define PC_BSR_BSR7_Msk (0x80UL) /*!< PC BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR6_Pos (6UL) /*!< PC BSR: BSR6 (Bit 6) */ +#define PC_BSR_BSR6_Msk (0x40UL) /*!< PC BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR5_Pos (5UL) /*!< PC BSR: BSR5 (Bit 5) */ +#define PC_BSR_BSR5_Msk (0x20UL) /*!< PC BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR4_Pos (4UL) /*!< PC BSR: BSR4 (Bit 4) */ +#define PC_BSR_BSR4_Msk (0x10UL) /*!< PC BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR3_Pos (3UL) /*!< PC BSR: BSR3 (Bit 3) */ +#define PC_BSR_BSR3_Msk (0x8UL) /*!< PC BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR2_Pos (2UL) /*!< PC BSR: BSR2 (Bit 2) */ +#define PC_BSR_BSR2_Msk (0x4UL) /*!< PC BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR1_Pos (1UL) /*!< PC BSR: BSR1 (Bit 1) */ +#define PC_BSR_BSR1_Msk (0x2UL) /*!< PC BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PC_BSR_BSR0_Pos (0UL) /*!< PC BSR: BSR0 (Bit 0) */ +#define PC_BSR_BSR0_Msk (0x1UL) /*!< PC BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PC_BCR_BCR15_Pos (15UL) /*!< PC BCR: BCR15 (Bit 15) */ +#define PC_BCR_BCR15_Msk (0x8000UL) /*!< PC BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR14_Pos (14UL) /*!< PC BCR: BCR14 (Bit 14) */ +#define PC_BCR_BCR14_Msk (0x4000UL) /*!< PC BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR13_Pos (13UL) /*!< PC BCR: BCR13 (Bit 13) */ +#define PC_BCR_BCR13_Msk (0x2000UL) /*!< PC BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR12_Pos (12UL) /*!< PC BCR: BCR12 (Bit 12) */ +#define PC_BCR_BCR12_Msk (0x1000UL) /*!< PC BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR11_Pos (11UL) /*!< PC BCR: BCR11 (Bit 11) */ +#define PC_BCR_BCR11_Msk (0x800UL) /*!< PC BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR10_Pos (10UL) /*!< PC BCR: BCR10 (Bit 10) */ +#define PC_BCR_BCR10_Msk (0x400UL) /*!< PC BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR9_Pos (9UL) /*!< PC BCR: BCR9 (Bit 9) */ +#define PC_BCR_BCR9_Msk (0x200UL) /*!< PC BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR8_Pos (8UL) /*!< PC BCR: BCR8 (Bit 8) */ +#define PC_BCR_BCR8_Msk (0x100UL) /*!< PC BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR7_Pos (7UL) /*!< PC BCR: BCR7 (Bit 7) */ +#define PC_BCR_BCR7_Msk (0x80UL) /*!< PC BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR6_Pos (6UL) /*!< PC BCR: BCR6 (Bit 6) */ +#define PC_BCR_BCR6_Msk (0x40UL) /*!< PC BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR5_Pos (5UL) /*!< PC BCR: BCR5 (Bit 5) */ +#define PC_BCR_BCR5_Msk (0x20UL) /*!< PC BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR4_Pos (4UL) /*!< PC BCR: BCR4 (Bit 4) */ +#define PC_BCR_BCR4_Msk (0x10UL) /*!< PC BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR3_Pos (3UL) /*!< PC BCR: BCR3 (Bit 3) */ +#define PC_BCR_BCR3_Msk (0x8UL) /*!< PC BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR2_Pos (2UL) /*!< PC BCR: BCR2 (Bit 2) */ +#define PC_BCR_BCR2_Msk (0x4UL) /*!< PC BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR1_Pos (1UL) /*!< PC BCR: BCR1 (Bit 1) */ +#define PC_BCR_BCR1_Msk (0x2UL) /*!< PC BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PC_BCR_BCR0_Pos (0UL) /*!< PC BCR: BCR0 (Bit 0) */ +#define PC_BCR_BCR0_Msk (0x1UL) /*!< PC BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PC_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PC OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PC_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PC OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PC OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PC_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PC OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PC OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PC_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PC OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PC OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PC_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PC OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PC OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PC_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PC OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PC OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PC_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PC OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PC OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PC_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PC OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PC OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PC_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PC OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PC OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PC_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PC OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PC OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PC_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PC OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PC OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PC_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PC OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PC OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PC_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PC OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PC OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PC_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PC OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PC OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PC_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PC OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PC OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PC_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PC OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PC_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PC OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PC_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PC OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PC_DBCR_DBCLK_Pos (16UL) /*!< PC DBCR: DBCLK (Bit 16) */ +#define PC_DBCR_DBCLK_Msk (0x70000UL) /*!< PC DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PC_DBCR_DBEN11_Pos (11UL) /*!< PC DBCR: DBEN11 (Bit 11) */ +#define PC_DBCR_DBEN11_Msk (0x800UL) /*!< PC DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN10_Pos (10UL) /*!< PC DBCR: DBEN10 (Bit 10) */ +#define PC_DBCR_DBEN10_Msk (0x400UL) /*!< PC DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN9_Pos (9UL) /*!< PC DBCR: DBEN9 (Bit 9) */ +#define PC_DBCR_DBEN9_Msk (0x200UL) /*!< PC DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN8_Pos (8UL) /*!< PC DBCR: DBEN8 (Bit 8) */ +#define PC_DBCR_DBEN8_Msk (0x100UL) /*!< PC DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN7_Pos (7UL) /*!< PC DBCR: DBEN7 (Bit 7) */ +#define PC_DBCR_DBEN7_Msk (0x80UL) /*!< PC DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN6_Pos (6UL) /*!< PC DBCR: DBEN6 (Bit 6) */ +#define PC_DBCR_DBEN6_Msk (0x40UL) /*!< PC DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN5_Pos (5UL) /*!< PC DBCR: DBEN5 (Bit 5) */ +#define PC_DBCR_DBEN5_Msk (0x20UL) /*!< PC DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN4_Pos (4UL) /*!< PC DBCR: DBEN4 (Bit 4) */ +#define PC_DBCR_DBEN4_Msk (0x10UL) /*!< PC DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN3_Pos (3UL) /*!< PC DBCR: DBEN3 (Bit 3) */ +#define PC_DBCR_DBEN3_Msk (0x8UL) /*!< PC DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN2_Pos (2UL) /*!< PC DBCR: DBEN2 (Bit 2) */ +#define PC_DBCR_DBEN2_Msk (0x4UL) /*!< PC DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN1_Pos (1UL) /*!< PC DBCR: DBEN1 (Bit 1) */ +#define PC_DBCR_DBEN1_Msk (0x2UL) /*!< PC DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PC_DBCR_DBEN0_Pos (0UL) /*!< PC DBCR: DBEN0 (Bit 0) */ +#define PC_DBCR_DBEN0_Msk (0x1UL) /*!< PC DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PC_MOD ========================================================= */ +#define PC_PC_MOD_MODE12_Pos (24UL) /*!< PC PC_MOD: MODE12 (Bit 24) */ +#define PC_PC_MOD_MODE12_Msk (0x3000000UL) /*!< PC PC_MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE11_Pos (22UL) /*!< PC PC_MOD: MODE11 (Bit 22) */ +#define PC_PC_MOD_MODE11_Msk (0xc00000UL) /*!< PC PC_MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE10_Pos (20UL) /*!< PC PC_MOD: MODE10 (Bit 20) */ +#define PC_PC_MOD_MODE10_Msk (0x300000UL) /*!< PC PC_MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE9_Pos (18UL) /*!< PC PC_MOD: MODE9 (Bit 18) */ +#define PC_PC_MOD_MODE9_Msk (0xc0000UL) /*!< PC PC_MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE8_Pos (16UL) /*!< PC PC_MOD: MODE8 (Bit 16) */ +#define PC_PC_MOD_MODE8_Msk (0x30000UL) /*!< PC PC_MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE7_Pos (14UL) /*!< PC PC_MOD: MODE7 (Bit 14) */ +#define PC_PC_MOD_MODE7_Msk (0xc000UL) /*!< PC PC_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE6_Pos (12UL) /*!< PC PC_MOD: MODE6 (Bit 12) */ +#define PC_PC_MOD_MODE6_Msk (0x3000UL) /*!< PC PC_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE5_Pos (10UL) /*!< PC PC_MOD: MODE5 (Bit 10) */ +#define PC_PC_MOD_MODE5_Msk (0xc00UL) /*!< PC PC_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE4_Pos (8UL) /*!< PC PC_MOD: MODE4 (Bit 8) */ +#define PC_PC_MOD_MODE4_Msk (0x300UL) /*!< PC PC_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE3_Pos (6UL) /*!< PC PC_MOD: MODE3 (Bit 6) */ +#define PC_PC_MOD_MODE3_Msk (0xc0UL) /*!< PC PC_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE2_Pos (4UL) /*!< PC PC_MOD: MODE2 (Bit 4) */ +#define PC_PC_MOD_MODE2_Msk (0x30UL) /*!< PC PC_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE1_Pos (2UL) /*!< PC PC_MOD: MODE1 (Bit 2) */ +#define PC_PC_MOD_MODE1_Msk (0xcUL) /*!< PC PC_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PC_PC_MOD_MODE0_Pos (0UL) /*!< PC PC_MOD: MODE0 (Bit 0) */ +#define PC_PC_MOD_MODE0_Msk (0x3UL) /*!< PC PC_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PC_TYP ========================================================= */ +#define PC_PC_TYP_TYP12_Pos (12UL) /*!< PC PC_TYP: TYP12 (Bit 12) */ +#define PC_PC_TYP_TYP12_Msk (0x1000UL) /*!< PC PC_TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP11_Pos (11UL) /*!< PC PC_TYP: TYP11 (Bit 11) */ +#define PC_PC_TYP_TYP11_Msk (0x800UL) /*!< PC PC_TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP10_Pos (10UL) /*!< PC PC_TYP: TYP10 (Bit 10) */ +#define PC_PC_TYP_TYP10_Msk (0x400UL) /*!< PC PC_TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP9_Pos (9UL) /*!< PC PC_TYP: TYP9 (Bit 9) */ +#define PC_PC_TYP_TYP9_Msk (0x200UL) /*!< PC PC_TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP8_Pos (8UL) /*!< PC PC_TYP: TYP8 (Bit 8) */ +#define PC_PC_TYP_TYP8_Msk (0x100UL) /*!< PC PC_TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP7_Pos (7UL) /*!< PC PC_TYP: TYP7 (Bit 7) */ +#define PC_PC_TYP_TYP7_Msk (0x80UL) /*!< PC PC_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP6_Pos (6UL) /*!< PC PC_TYP: TYP6 (Bit 6) */ +#define PC_PC_TYP_TYP6_Msk (0x40UL) /*!< PC PC_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP5_Pos (5UL) /*!< PC PC_TYP: TYP5 (Bit 5) */ +#define PC_PC_TYP_TYP5_Msk (0x20UL) /*!< PC PC_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP4_Pos (4UL) /*!< PC PC_TYP: TYP4 (Bit 4) */ +#define PC_PC_TYP_TYP4_Msk (0x10UL) /*!< PC PC_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP3_Pos (3UL) /*!< PC PC_TYP: TYP3 (Bit 3) */ +#define PC_PC_TYP_TYP3_Msk (0x8UL) /*!< PC PC_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP2_Pos (2UL) /*!< PC PC_TYP: TYP2 (Bit 2) */ +#define PC_PC_TYP_TYP2_Msk (0x4UL) /*!< PC PC_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP1_Pos (1UL) /*!< PC PC_TYP: TYP1 (Bit 1) */ +#define PC_PC_TYP_TYP1_Msk (0x2UL) /*!< PC PC_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PC_PC_TYP_TYP0_Pos (0UL) /*!< PC PC_TYP: TYP0 (Bit 0) */ +#define PC_PC_TYP_TYP0_Msk (0x1UL) /*!< PC PC_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PC_AFSR1 ======================================================== */ +#define PC_PC_AFSR1_AFSR7_Pos (28UL) /*!< PC PC_AFSR1: AFSR7 (Bit 28) */ +#define PC_PC_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PC PC_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR6_Pos (24UL) /*!< PC PC_AFSR1: AFSR6 (Bit 24) */ +#define PC_PC_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PC PC_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR5_Pos (20UL) /*!< PC PC_AFSR1: AFSR5 (Bit 20) */ +#define PC_PC_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PC PC_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR4_Pos (16UL) /*!< PC PC_AFSR1: AFSR4 (Bit 16) */ +#define PC_PC_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PC PC_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR3_Pos (12UL) /*!< PC PC_AFSR1: AFSR3 (Bit 12) */ +#define PC_PC_AFSR1_AFSR3_Msk (0xf000UL) /*!< PC PC_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR2_Pos (8UL) /*!< PC PC_AFSR1: AFSR2 (Bit 8) */ +#define PC_PC_AFSR1_AFSR2_Msk (0xf00UL) /*!< PC PC_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR1_Pos (4UL) /*!< PC PC_AFSR1: AFSR1 (Bit 4) */ +#define PC_PC_AFSR1_AFSR1_Msk (0xf0UL) /*!< PC PC_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR1_AFSR0_Pos (0UL) /*!< PC PC_AFSR1: AFSR0 (Bit 0) */ +#define PC_PC_AFSR1_AFSR0_Msk (0xfUL) /*!< PC PC_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PC_AFSR2 ======================================================== */ +#define PC_PC_AFSR2_AFSR12_Pos (16UL) /*!< PC PC_AFSR2: AFSR12 (Bit 16) */ +#define PC_PC_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PC PC_AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR2_AFSR11_Pos (12UL) /*!< PC PC_AFSR2: AFSR11 (Bit 12) */ +#define PC_PC_AFSR2_AFSR11_Msk (0xf000UL) /*!< PC PC_AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR2_AFSR10_Pos (8UL) /*!< PC PC_AFSR2: AFSR10 (Bit 8) */ +#define PC_PC_AFSR2_AFSR10_Msk (0xf00UL) /*!< PC PC_AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR2_AFSR9_Pos (4UL) /*!< PC PC_AFSR2: AFSR9 (Bit 4) */ +#define PC_PC_AFSR2_AFSR9_Msk (0xf0UL) /*!< PC PC_AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PC_PC_AFSR2_AFSR8_Pos (0UL) /*!< PC PC_AFSR2: AFSR8 (Bit 0) */ +#define PC_PC_AFSR2_AFSR8_Msk (0xfUL) /*!< PC PC_AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ======================================================== PC_PUPD ======================================================== */ +#define PC_PC_PUPD_PUPD12_Pos (24UL) /*!< PC PC_PUPD: PUPD12 (Bit 24) */ +#define PC_PC_PUPD_PUPD12_Msk (0x3000000UL) /*!< PC PC_PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD11_Pos (22UL) /*!< PC PC_PUPD: PUPD11 (Bit 22) */ +#define PC_PC_PUPD_PUPD11_Msk (0xc00000UL) /*!< PC PC_PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD10_Pos (20UL) /*!< PC PC_PUPD: PUPD10 (Bit 20) */ +#define PC_PC_PUPD_PUPD10_Msk (0x300000UL) /*!< PC PC_PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD9_Pos (18UL) /*!< PC PC_PUPD: PUPD9 (Bit 18) */ +#define PC_PC_PUPD_PUPD9_Msk (0xc0000UL) /*!< PC PC_PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD8_Pos (16UL) /*!< PC PC_PUPD: PUPD8 (Bit 16) */ +#define PC_PC_PUPD_PUPD8_Msk (0x30000UL) /*!< PC PC_PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD7_Pos (14UL) /*!< PC PC_PUPD: PUPD7 (Bit 14) */ +#define PC_PC_PUPD_PUPD7_Msk (0xc000UL) /*!< PC PC_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD6_Pos (12UL) /*!< PC PC_PUPD: PUPD6 (Bit 12) */ +#define PC_PC_PUPD_PUPD6_Msk (0x3000UL) /*!< PC PC_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD5_Pos (10UL) /*!< PC PC_PUPD: PUPD5 (Bit 10) */ +#define PC_PC_PUPD_PUPD5_Msk (0xc00UL) /*!< PC PC_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD4_Pos (8UL) /*!< PC PC_PUPD: PUPD4 (Bit 8) */ +#define PC_PC_PUPD_PUPD4_Msk (0x300UL) /*!< PC PC_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD3_Pos (6UL) /*!< PC PC_PUPD: PUPD3 (Bit 6) */ +#define PC_PC_PUPD_PUPD3_Msk (0xc0UL) /*!< PC PC_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD2_Pos (4UL) /*!< PC PC_PUPD: PUPD2 (Bit 4) */ +#define PC_PC_PUPD_PUPD2_Msk (0x30UL) /*!< PC PC_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD1_Pos (2UL) /*!< PC PC_PUPD: PUPD1 (Bit 2) */ +#define PC_PC_PUPD_PUPD1_Msk (0xcUL) /*!< PC PC_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PC_PC_PUPD_PUPD0_Pos (0UL) /*!< PC PC_PUPD: PUPD0 (Bit 0) */ +#define PC_PC_PUPD_PUPD0_Msk (0x3UL) /*!< PC PC_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PC_INDR ======================================================== */ +#define PC_PC_INDR_INDR12_Pos (12UL) /*!< PC PC_INDR: INDR12 (Bit 12) */ +#define PC_PC_INDR_INDR12_Msk (0x1000UL) /*!< PC PC_INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR11_Pos (11UL) /*!< PC PC_INDR: INDR11 (Bit 11) */ +#define PC_PC_INDR_INDR11_Msk (0x800UL) /*!< PC PC_INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR10_Pos (10UL) /*!< PC PC_INDR: INDR10 (Bit 10) */ +#define PC_PC_INDR_INDR10_Msk (0x400UL) /*!< PC PC_INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR9_Pos (9UL) /*!< PC PC_INDR: INDR9 (Bit 9) */ +#define PC_PC_INDR_INDR9_Msk (0x200UL) /*!< PC PC_INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR8_Pos (8UL) /*!< PC PC_INDR: INDR8 (Bit 8) */ +#define PC_PC_INDR_INDR8_Msk (0x100UL) /*!< PC PC_INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR7_Pos (7UL) /*!< PC PC_INDR: INDR7 (Bit 7) */ +#define PC_PC_INDR_INDR7_Msk (0x80UL) /*!< PC PC_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR6_Pos (6UL) /*!< PC PC_INDR: INDR6 (Bit 6) */ +#define PC_PC_INDR_INDR6_Msk (0x40UL) /*!< PC PC_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR5_Pos (5UL) /*!< PC PC_INDR: INDR5 (Bit 5) */ +#define PC_PC_INDR_INDR5_Msk (0x20UL) /*!< PC PC_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR4_Pos (4UL) /*!< PC PC_INDR: INDR4 (Bit 4) */ +#define PC_PC_INDR_INDR4_Msk (0x10UL) /*!< PC PC_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR3_Pos (3UL) /*!< PC PC_INDR: INDR3 (Bit 3) */ +#define PC_PC_INDR_INDR3_Msk (0x8UL) /*!< PC PC_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR2_Pos (2UL) /*!< PC PC_INDR: INDR2 (Bit 2) */ +#define PC_PC_INDR_INDR2_Msk (0x4UL) /*!< PC PC_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR1_Pos (1UL) /*!< PC PC_INDR: INDR1 (Bit 1) */ +#define PC_PC_INDR_INDR1_Msk (0x2UL) /*!< PC PC_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PC_PC_INDR_INDR0_Pos (0UL) /*!< PC PC_INDR: INDR0 (Bit 0) */ +#define PC_PC_INDR_INDR0_Msk (0x1UL) /*!< PC PC_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PC_OUTDR ======================================================== */ +#define PC_PC_OUTDR_OUTDR12_Pos (12UL) /*!< PC PC_OUTDR: OUTDR12 (Bit 12) */ +#define PC_PC_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PC PC_OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR11_Pos (11UL) /*!< PC PC_OUTDR: OUTDR11 (Bit 11) */ +#define PC_PC_OUTDR_OUTDR11_Msk (0x800UL) /*!< PC PC_OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR10_Pos (10UL) /*!< PC PC_OUTDR: OUTDR10 (Bit 10) */ +#define PC_PC_OUTDR_OUTDR10_Msk (0x400UL) /*!< PC PC_OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR9_Pos (9UL) /*!< PC PC_OUTDR: OUTDR9 (Bit 9) */ +#define PC_PC_OUTDR_OUTDR9_Msk (0x200UL) /*!< PC PC_OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR8_Pos (8UL) /*!< PC PC_OUTDR: OUTDR8 (Bit 8) */ +#define PC_PC_OUTDR_OUTDR8_Msk (0x100UL) /*!< PC PC_OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR7_Pos (7UL) /*!< PC PC_OUTDR: OUTDR7 (Bit 7) */ +#define PC_PC_OUTDR_OUTDR7_Msk (0x80UL) /*!< PC PC_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR6_Pos (6UL) /*!< PC PC_OUTDR: OUTDR6 (Bit 6) */ +#define PC_PC_OUTDR_OUTDR6_Msk (0x40UL) /*!< PC PC_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR5_Pos (5UL) /*!< PC PC_OUTDR: OUTDR5 (Bit 5) */ +#define PC_PC_OUTDR_OUTDR5_Msk (0x20UL) /*!< PC PC_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR4_Pos (4UL) /*!< PC PC_OUTDR: OUTDR4 (Bit 4) */ +#define PC_PC_OUTDR_OUTDR4_Msk (0x10UL) /*!< PC PC_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR3_Pos (3UL) /*!< PC PC_OUTDR: OUTDR3 (Bit 3) */ +#define PC_PC_OUTDR_OUTDR3_Msk (0x8UL) /*!< PC PC_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR2_Pos (2UL) /*!< PC PC_OUTDR: OUTDR2 (Bit 2) */ +#define PC_PC_OUTDR_OUTDR2_Msk (0x4UL) /*!< PC PC_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR1_Pos (1UL) /*!< PC PC_OUTDR: OUTDR1 (Bit 1) */ +#define PC_PC_OUTDR_OUTDR1_Msk (0x2UL) /*!< PC PC_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDR_OUTDR0_Pos (0UL) /*!< PC PC_OUTDR: OUTDR0 (Bit 0) */ +#define PC_PC_OUTDR_OUTDR0_Msk (0x1UL) /*!< PC PC_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PC_BSR ========================================================= */ +#define PC_PC_BSR_BSR12_Pos (12UL) /*!< PC PC_BSR: BSR12 (Bit 12) */ +#define PC_PC_BSR_BSR12_Msk (0x1000UL) /*!< PC PC_BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR11_Pos (11UL) /*!< PC PC_BSR: BSR11 (Bit 11) */ +#define PC_PC_BSR_BSR11_Msk (0x800UL) /*!< PC PC_BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR10_Pos (10UL) /*!< PC PC_BSR: BSR10 (Bit 10) */ +#define PC_PC_BSR_BSR10_Msk (0x400UL) /*!< PC PC_BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR9_Pos (9UL) /*!< PC PC_BSR: BSR9 (Bit 9) */ +#define PC_PC_BSR_BSR9_Msk (0x200UL) /*!< PC PC_BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR8_Pos (8UL) /*!< PC PC_BSR: BSR8 (Bit 8) */ +#define PC_PC_BSR_BSR8_Msk (0x100UL) /*!< PC PC_BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR7_Pos (7UL) /*!< PC PC_BSR: BSR7 (Bit 7) */ +#define PC_PC_BSR_BSR7_Msk (0x80UL) /*!< PC PC_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR6_Pos (6UL) /*!< PC PC_BSR: BSR6 (Bit 6) */ +#define PC_PC_BSR_BSR6_Msk (0x40UL) /*!< PC PC_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR5_Pos (5UL) /*!< PC PC_BSR: BSR5 (Bit 5) */ +#define PC_PC_BSR_BSR5_Msk (0x20UL) /*!< PC PC_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR4_Pos (4UL) /*!< PC PC_BSR: BSR4 (Bit 4) */ +#define PC_PC_BSR_BSR4_Msk (0x10UL) /*!< PC PC_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR3_Pos (3UL) /*!< PC PC_BSR: BSR3 (Bit 3) */ +#define PC_PC_BSR_BSR3_Msk (0x8UL) /*!< PC PC_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR2_Pos (2UL) /*!< PC PC_BSR: BSR2 (Bit 2) */ +#define PC_PC_BSR_BSR2_Msk (0x4UL) /*!< PC PC_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR1_Pos (1UL) /*!< PC PC_BSR: BSR1 (Bit 1) */ +#define PC_PC_BSR_BSR1_Msk (0x2UL) /*!< PC PC_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PC_PC_BSR_BSR0_Pos (0UL) /*!< PC PC_BSR: BSR0 (Bit 0) */ +#define PC_PC_BSR_BSR0_Msk (0x1UL) /*!< PC PC_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PC_BCR ========================================================= */ +#define PC_PC_BCR_BCR12_Pos (12UL) /*!< PC PC_BCR: BCR12 (Bit 12) */ +#define PC_PC_BCR_BCR12_Msk (0x1000UL) /*!< PC PC_BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR11_Pos (11UL) /*!< PC PC_BCR: BCR11 (Bit 11) */ +#define PC_PC_BCR_BCR11_Msk (0x800UL) /*!< PC PC_BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR10_Pos (10UL) /*!< PC PC_BCR: BCR10 (Bit 10) */ +#define PC_PC_BCR_BCR10_Msk (0x400UL) /*!< PC PC_BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR9_Pos (9UL) /*!< PC PC_BCR: BCR9 (Bit 9) */ +#define PC_PC_BCR_BCR9_Msk (0x200UL) /*!< PC PC_BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR8_Pos (8UL) /*!< PC PC_BCR: BCR8 (Bit 8) */ +#define PC_PC_BCR_BCR8_Msk (0x100UL) /*!< PC PC_BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR7_Pos (7UL) /*!< PC PC_BCR: BCR7 (Bit 7) */ +#define PC_PC_BCR_BCR7_Msk (0x80UL) /*!< PC PC_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR6_Pos (6UL) /*!< PC PC_BCR: BCR6 (Bit 6) */ +#define PC_PC_BCR_BCR6_Msk (0x40UL) /*!< PC PC_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR5_Pos (5UL) /*!< PC PC_BCR: BCR5 (Bit 5) */ +#define PC_PC_BCR_BCR5_Msk (0x20UL) /*!< PC PC_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR4_Pos (4UL) /*!< PC PC_BCR: BCR4 (Bit 4) */ +#define PC_PC_BCR_BCR4_Msk (0x10UL) /*!< PC PC_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR3_Pos (3UL) /*!< PC PC_BCR: BCR3 (Bit 3) */ +#define PC_PC_BCR_BCR3_Msk (0x8UL) /*!< PC PC_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR2_Pos (2UL) /*!< PC PC_BCR: BCR2 (Bit 2) */ +#define PC_PC_BCR_BCR2_Msk (0x4UL) /*!< PC PC_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR1_Pos (1UL) /*!< PC PC_BCR: BCR1 (Bit 1) */ +#define PC_PC_BCR_BCR1_Msk (0x2UL) /*!< PC PC_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PC_PC_BCR_BCR0_Pos (0UL) /*!< PC PC_BCR: BCR0 (Bit 0) */ +#define PC_PC_BCR_BCR0_Msk (0x1UL) /*!< PC PC_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PC_OUTDMSK ======================================================= */ +#define PC_PC_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PC PC_OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PC_PC_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PC PC_OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PC PC_OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PC_PC_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PC PC_OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PC PC_OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PC_PC_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PC PC_OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PC PC_OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PC_PC_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PC PC_OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PC PC_OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PC_PC_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PC PC_OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PC PC_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PC_PC_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PC PC_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PC PC_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PC_PC_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PC PC_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PC PC_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PC_PC_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PC PC_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PC PC_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PC_PC_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PC PC_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PC PC_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PC_PC_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PC PC_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PC PC_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PC_PC_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PC PC_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PC PC_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PC_PC_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PC PC_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PC_PC_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PC PC_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PC_PC_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PC PC_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PC_DBCR ======================================================== */ +#define PC_PC_DBCR_DBCLK_Pos (16UL) /*!< PC PC_DBCR: DBCLK (Bit 16) */ +#define PC_PC_DBCR_DBCLK_Msk (0x70000UL) /*!< PC PC_DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PC_PC_DBCR_DBEN3_Pos (3UL) /*!< PC PC_DBCR: DBEN3 (Bit 3) */ +#define PC_PC_DBCR_DBEN3_Msk (0x8UL) /*!< PC PC_DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PC_PC_DBCR_DBEN2_Pos (2UL) /*!< PC PC_DBCR: DBEN2 (Bit 2) */ +#define PC_PC_DBCR_DBEN2_Msk (0x4UL) /*!< PC PC_DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PC_PC_DBCR_DBEN1_Pos (1UL) /*!< PC PC_DBCR: DBEN1 (Bit 1) */ +#define PC_PC_DBCR_DBEN1_Msk (0x2UL) /*!< PC PC_DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PC_PC_DBCR_DBEN0_Pos (0UL) /*!< PC PC_DBCR: DBEN0 (Bit 0) */ +#define PC_PC_DBCR_DBEN0_Msk (0x1UL) /*!< PC PC_DBCR: DBEN0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PD ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PD_MOD_MODE15_Pos (30UL) /*!< PD MOD: MODE15 (Bit 30) */ +#define PD_MOD_MODE15_Msk (0xc0000000UL) /*!< PD MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE14_Pos (28UL) /*!< PD MOD: MODE14 (Bit 28) */ +#define PD_MOD_MODE14_Msk (0x30000000UL) /*!< PD MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE13_Pos (26UL) /*!< PD MOD: MODE13 (Bit 26) */ +#define PD_MOD_MODE13_Msk (0xc000000UL) /*!< PD MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE12_Pos (24UL) /*!< PD MOD: MODE12 (Bit 24) */ +#define PD_MOD_MODE12_Msk (0x3000000UL) /*!< PD MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE11_Pos (22UL) /*!< PD MOD: MODE11 (Bit 22) */ +#define PD_MOD_MODE11_Msk (0xc00000UL) /*!< PD MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE10_Pos (20UL) /*!< PD MOD: MODE10 (Bit 20) */ +#define PD_MOD_MODE10_Msk (0x300000UL) /*!< PD MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE9_Pos (18UL) /*!< PD MOD: MODE9 (Bit 18) */ +#define PD_MOD_MODE9_Msk (0xc0000UL) /*!< PD MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE8_Pos (16UL) /*!< PD MOD: MODE8 (Bit 16) */ +#define PD_MOD_MODE8_Msk (0x30000UL) /*!< PD MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE7_Pos (14UL) /*!< PD MOD: MODE7 (Bit 14) */ +#define PD_MOD_MODE7_Msk (0xc000UL) /*!< PD MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE6_Pos (12UL) /*!< PD MOD: MODE6 (Bit 12) */ +#define PD_MOD_MODE6_Msk (0x3000UL) /*!< PD MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE5_Pos (10UL) /*!< PD MOD: MODE5 (Bit 10) */ +#define PD_MOD_MODE5_Msk (0xc00UL) /*!< PD MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE4_Pos (8UL) /*!< PD MOD: MODE4 (Bit 8) */ +#define PD_MOD_MODE4_Msk (0x300UL) /*!< PD MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE3_Pos (6UL) /*!< PD MOD: MODE3 (Bit 6) */ +#define PD_MOD_MODE3_Msk (0xc0UL) /*!< PD MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE2_Pos (4UL) /*!< PD MOD: MODE2 (Bit 4) */ +#define PD_MOD_MODE2_Msk (0x30UL) /*!< PD MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE1_Pos (2UL) /*!< PD MOD: MODE1 (Bit 2) */ +#define PD_MOD_MODE1_Msk (0xcUL) /*!< PD MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PD_MOD_MODE0_Pos (0UL) /*!< PD MOD: MODE0 (Bit 0) */ +#define PD_MOD_MODE0_Msk (0x3UL) /*!< PD MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PD_TYP_TYP15_Pos (15UL) /*!< PD TYP: TYP15 (Bit 15) */ +#define PD_TYP_TYP15_Msk (0x8000UL) /*!< PD TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP14_Pos (14UL) /*!< PD TYP: TYP14 (Bit 14) */ +#define PD_TYP_TYP14_Msk (0x4000UL) /*!< PD TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP13_Pos (13UL) /*!< PD TYP: TYP13 (Bit 13) */ +#define PD_TYP_TYP13_Msk (0x2000UL) /*!< PD TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP12_Pos (12UL) /*!< PD TYP: TYP12 (Bit 12) */ +#define PD_TYP_TYP12_Msk (0x1000UL) /*!< PD TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP11_Pos (11UL) /*!< PD TYP: TYP11 (Bit 11) */ +#define PD_TYP_TYP11_Msk (0x800UL) /*!< PD TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP10_Pos (10UL) /*!< PD TYP: TYP10 (Bit 10) */ +#define PD_TYP_TYP10_Msk (0x400UL) /*!< PD TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP9_Pos (9UL) /*!< PD TYP: TYP9 (Bit 9) */ +#define PD_TYP_TYP9_Msk (0x200UL) /*!< PD TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP8_Pos (8UL) /*!< PD TYP: TYP8 (Bit 8) */ +#define PD_TYP_TYP8_Msk (0x100UL) /*!< PD TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP7_Pos (7UL) /*!< PD TYP: TYP7 (Bit 7) */ +#define PD_TYP_TYP7_Msk (0x80UL) /*!< PD TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP6_Pos (6UL) /*!< PD TYP: TYP6 (Bit 6) */ +#define PD_TYP_TYP6_Msk (0x40UL) /*!< PD TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP5_Pos (5UL) /*!< PD TYP: TYP5 (Bit 5) */ +#define PD_TYP_TYP5_Msk (0x20UL) /*!< PD TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP4_Pos (4UL) /*!< PD TYP: TYP4 (Bit 4) */ +#define PD_TYP_TYP4_Msk (0x10UL) /*!< PD TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP3_Pos (3UL) /*!< PD TYP: TYP3 (Bit 3) */ +#define PD_TYP_TYP3_Msk (0x8UL) /*!< PD TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP2_Pos (2UL) /*!< PD TYP: TYP2 (Bit 2) */ +#define PD_TYP_TYP2_Msk (0x4UL) /*!< PD TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP1_Pos (1UL) /*!< PD TYP: TYP1 (Bit 1) */ +#define PD_TYP_TYP1_Msk (0x2UL) /*!< PD TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PD_TYP_TYP0_Pos (0UL) /*!< PD TYP: TYP0 (Bit 0) */ +#define PD_TYP_TYP0_Msk (0x1UL) /*!< PD TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PD_AFSR1_AFSR7_Pos (28UL) /*!< PD AFSR1: AFSR7 (Bit 28) */ +#define PD_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PD AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR6_Pos (24UL) /*!< PD AFSR1: AFSR6 (Bit 24) */ +#define PD_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PD AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR5_Pos (20UL) /*!< PD AFSR1: AFSR5 (Bit 20) */ +#define PD_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PD AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR4_Pos (16UL) /*!< PD AFSR1: AFSR4 (Bit 16) */ +#define PD_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PD AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR3_Pos (12UL) /*!< PD AFSR1: AFSR3 (Bit 12) */ +#define PD_AFSR1_AFSR3_Msk (0xf000UL) /*!< PD AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR2_Pos (8UL) /*!< PD AFSR1: AFSR2 (Bit 8) */ +#define PD_AFSR1_AFSR2_Msk (0xf00UL) /*!< PD AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR1_Pos (4UL) /*!< PD AFSR1: AFSR1 (Bit 4) */ +#define PD_AFSR1_AFSR1_Msk (0xf0UL) /*!< PD AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR1_AFSR0_Pos (0UL) /*!< PD AFSR1: AFSR0 (Bit 0) */ +#define PD_AFSR1_AFSR0_Msk (0xfUL) /*!< PD AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PD_AFSR2_AFSR15_Pos (28UL) /*!< PD AFSR2: AFSR15 (Bit 28) */ +#define PD_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PD AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR14_Pos (24UL) /*!< PD AFSR2: AFSR14 (Bit 24) */ +#define PD_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PD AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR13_Pos (20UL) /*!< PD AFSR2: AFSR13 (Bit 20) */ +#define PD_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PD AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR12_Pos (16UL) /*!< PD AFSR2: AFSR12 (Bit 16) */ +#define PD_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PD AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR11_Pos (12UL) /*!< PD AFSR2: AFSR11 (Bit 12) */ +#define PD_AFSR2_AFSR11_Msk (0xf000UL) /*!< PD AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR10_Pos (8UL) /*!< PD AFSR2: AFSR10 (Bit 8) */ +#define PD_AFSR2_AFSR10_Msk (0xf00UL) /*!< PD AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR9_Pos (4UL) /*!< PD AFSR2: AFSR9 (Bit 4) */ +#define PD_AFSR2_AFSR9_Msk (0xf0UL) /*!< PD AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PD_AFSR2_AFSR8_Pos (0UL) /*!< PD AFSR2: AFSR8 (Bit 0) */ +#define PD_AFSR2_AFSR8_Msk (0xfUL) /*!< PD AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PD_PUPD_PUPD15_Pos (30UL) /*!< PD PUPD: PUPD15 (Bit 30) */ +#define PD_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PD PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD14_Pos (28UL) /*!< PD PUPD: PUPD14 (Bit 28) */ +#define PD_PUPD_PUPD14_Msk (0x30000000UL) /*!< PD PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD13_Pos (26UL) /*!< PD PUPD: PUPD13 (Bit 26) */ +#define PD_PUPD_PUPD13_Msk (0xc000000UL) /*!< PD PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD12_Pos (24UL) /*!< PD PUPD: PUPD12 (Bit 24) */ +#define PD_PUPD_PUPD12_Msk (0x3000000UL) /*!< PD PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD11_Pos (22UL) /*!< PD PUPD: PUPD11 (Bit 22) */ +#define PD_PUPD_PUPD11_Msk (0xc00000UL) /*!< PD PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD10_Pos (20UL) /*!< PD PUPD: PUPD10 (Bit 20) */ +#define PD_PUPD_PUPD10_Msk (0x300000UL) /*!< PD PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD9_Pos (18UL) /*!< PD PUPD: PUPD9 (Bit 18) */ +#define PD_PUPD_PUPD9_Msk (0xc0000UL) /*!< PD PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD8_Pos (16UL) /*!< PD PUPD: PUPD8 (Bit 16) */ +#define PD_PUPD_PUPD8_Msk (0x30000UL) /*!< PD PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD7_Pos (14UL) /*!< PD PUPD: PUPD7 (Bit 14) */ +#define PD_PUPD_PUPD7_Msk (0xc000UL) /*!< PD PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD6_Pos (12UL) /*!< PD PUPD: PUPD6 (Bit 12) */ +#define PD_PUPD_PUPD6_Msk (0x3000UL) /*!< PD PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD5_Pos (10UL) /*!< PD PUPD: PUPD5 (Bit 10) */ +#define PD_PUPD_PUPD5_Msk (0xc00UL) /*!< PD PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD4_Pos (8UL) /*!< PD PUPD: PUPD4 (Bit 8) */ +#define PD_PUPD_PUPD4_Msk (0x300UL) /*!< PD PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD3_Pos (6UL) /*!< PD PUPD: PUPD3 (Bit 6) */ +#define PD_PUPD_PUPD3_Msk (0xc0UL) /*!< PD PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD2_Pos (4UL) /*!< PD PUPD: PUPD2 (Bit 4) */ +#define PD_PUPD_PUPD2_Msk (0x30UL) /*!< PD PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD1_Pos (2UL) /*!< PD PUPD: PUPD1 (Bit 2) */ +#define PD_PUPD_PUPD1_Msk (0xcUL) /*!< PD PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PD_PUPD_PUPD0_Pos (0UL) /*!< PD PUPD: PUPD0 (Bit 0) */ +#define PD_PUPD_PUPD0_Msk (0x3UL) /*!< PD PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PD_INDR_INDR15_Pos (15UL) /*!< PD INDR: INDR15 (Bit 15) */ +#define PD_INDR_INDR15_Msk (0x8000UL) /*!< PD INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR14_Pos (14UL) /*!< PD INDR: INDR14 (Bit 14) */ +#define PD_INDR_INDR14_Msk (0x4000UL) /*!< PD INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR13_Pos (13UL) /*!< PD INDR: INDR13 (Bit 13) */ +#define PD_INDR_INDR13_Msk (0x2000UL) /*!< PD INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR12_Pos (12UL) /*!< PD INDR: INDR12 (Bit 12) */ +#define PD_INDR_INDR12_Msk (0x1000UL) /*!< PD INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR11_Pos (11UL) /*!< PD INDR: INDR11 (Bit 11) */ +#define PD_INDR_INDR11_Msk (0x800UL) /*!< PD INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR10_Pos (10UL) /*!< PD INDR: INDR10 (Bit 10) */ +#define PD_INDR_INDR10_Msk (0x400UL) /*!< PD INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR9_Pos (9UL) /*!< PD INDR: INDR9 (Bit 9) */ +#define PD_INDR_INDR9_Msk (0x200UL) /*!< PD INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR8_Pos (8UL) /*!< PD INDR: INDR8 (Bit 8) */ +#define PD_INDR_INDR8_Msk (0x100UL) /*!< PD INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR7_Pos (7UL) /*!< PD INDR: INDR7 (Bit 7) */ +#define PD_INDR_INDR7_Msk (0x80UL) /*!< PD INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR6_Pos (6UL) /*!< PD INDR: INDR6 (Bit 6) */ +#define PD_INDR_INDR6_Msk (0x40UL) /*!< PD INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR5_Pos (5UL) /*!< PD INDR: INDR5 (Bit 5) */ +#define PD_INDR_INDR5_Msk (0x20UL) /*!< PD INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR4_Pos (4UL) /*!< PD INDR: INDR4 (Bit 4) */ +#define PD_INDR_INDR4_Msk (0x10UL) /*!< PD INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR3_Pos (3UL) /*!< PD INDR: INDR3 (Bit 3) */ +#define PD_INDR_INDR3_Msk (0x8UL) /*!< PD INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR2_Pos (2UL) /*!< PD INDR: INDR2 (Bit 2) */ +#define PD_INDR_INDR2_Msk (0x4UL) /*!< PD INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR1_Pos (1UL) /*!< PD INDR: INDR1 (Bit 1) */ +#define PD_INDR_INDR1_Msk (0x2UL) /*!< PD INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PD_INDR_INDR0_Pos (0UL) /*!< PD INDR: INDR0 (Bit 0) */ +#define PD_INDR_INDR0_Msk (0x1UL) /*!< PD INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PD_OUTDR_OUTDR15_Pos (15UL) /*!< PD OUTDR: OUTDR15 (Bit 15) */ +#define PD_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PD OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR14_Pos (14UL) /*!< PD OUTDR: OUTDR14 (Bit 14) */ +#define PD_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PD OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR13_Pos (13UL) /*!< PD OUTDR: OUTDR13 (Bit 13) */ +#define PD_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PD OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR12_Pos (12UL) /*!< PD OUTDR: OUTDR12 (Bit 12) */ +#define PD_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PD OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR11_Pos (11UL) /*!< PD OUTDR: OUTDR11 (Bit 11) */ +#define PD_OUTDR_OUTDR11_Msk (0x800UL) /*!< PD OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR10_Pos (10UL) /*!< PD OUTDR: OUTDR10 (Bit 10) */ +#define PD_OUTDR_OUTDR10_Msk (0x400UL) /*!< PD OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR9_Pos (9UL) /*!< PD OUTDR: OUTDR9 (Bit 9) */ +#define PD_OUTDR_OUTDR9_Msk (0x200UL) /*!< PD OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR8_Pos (8UL) /*!< PD OUTDR: OUTDR8 (Bit 8) */ +#define PD_OUTDR_OUTDR8_Msk (0x100UL) /*!< PD OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR7_Pos (7UL) /*!< PD OUTDR: OUTDR7 (Bit 7) */ +#define PD_OUTDR_OUTDR7_Msk (0x80UL) /*!< PD OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR6_Pos (6UL) /*!< PD OUTDR: OUTDR6 (Bit 6) */ +#define PD_OUTDR_OUTDR6_Msk (0x40UL) /*!< PD OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR5_Pos (5UL) /*!< PD OUTDR: OUTDR5 (Bit 5) */ +#define PD_OUTDR_OUTDR5_Msk (0x20UL) /*!< PD OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR4_Pos (4UL) /*!< PD OUTDR: OUTDR4 (Bit 4) */ +#define PD_OUTDR_OUTDR4_Msk (0x10UL) /*!< PD OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR3_Pos (3UL) /*!< PD OUTDR: OUTDR3 (Bit 3) */ +#define PD_OUTDR_OUTDR3_Msk (0x8UL) /*!< PD OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR2_Pos (2UL) /*!< PD OUTDR: OUTDR2 (Bit 2) */ +#define PD_OUTDR_OUTDR2_Msk (0x4UL) /*!< PD OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR1_Pos (1UL) /*!< PD OUTDR: OUTDR1 (Bit 1) */ +#define PD_OUTDR_OUTDR1_Msk (0x2UL) /*!< PD OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PD_OUTDR_OUTDR0_Pos (0UL) /*!< PD OUTDR: OUTDR0 (Bit 0) */ +#define PD_OUTDR_OUTDR0_Msk (0x1UL) /*!< PD OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PD_BSR_BSR15_Pos (15UL) /*!< PD BSR: BSR15 (Bit 15) */ +#define PD_BSR_BSR15_Msk (0x8000UL) /*!< PD BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR14_Pos (14UL) /*!< PD BSR: BSR14 (Bit 14) */ +#define PD_BSR_BSR14_Msk (0x4000UL) /*!< PD BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR13_Pos (13UL) /*!< PD BSR: BSR13 (Bit 13) */ +#define PD_BSR_BSR13_Msk (0x2000UL) /*!< PD BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR12_Pos (12UL) /*!< PD BSR: BSR12 (Bit 12) */ +#define PD_BSR_BSR12_Msk (0x1000UL) /*!< PD BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR11_Pos (11UL) /*!< PD BSR: BSR11 (Bit 11) */ +#define PD_BSR_BSR11_Msk (0x800UL) /*!< PD BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR10_Pos (10UL) /*!< PD BSR: BSR10 (Bit 10) */ +#define PD_BSR_BSR10_Msk (0x400UL) /*!< PD BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR9_Pos (9UL) /*!< PD BSR: BSR9 (Bit 9) */ +#define PD_BSR_BSR9_Msk (0x200UL) /*!< PD BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR8_Pos (8UL) /*!< PD BSR: BSR8 (Bit 8) */ +#define PD_BSR_BSR8_Msk (0x100UL) /*!< PD BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR7_Pos (7UL) /*!< PD BSR: BSR7 (Bit 7) */ +#define PD_BSR_BSR7_Msk (0x80UL) /*!< PD BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR6_Pos (6UL) /*!< PD BSR: BSR6 (Bit 6) */ +#define PD_BSR_BSR6_Msk (0x40UL) /*!< PD BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR5_Pos (5UL) /*!< PD BSR: BSR5 (Bit 5) */ +#define PD_BSR_BSR5_Msk (0x20UL) /*!< PD BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR4_Pos (4UL) /*!< PD BSR: BSR4 (Bit 4) */ +#define PD_BSR_BSR4_Msk (0x10UL) /*!< PD BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR3_Pos (3UL) /*!< PD BSR: BSR3 (Bit 3) */ +#define PD_BSR_BSR3_Msk (0x8UL) /*!< PD BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR2_Pos (2UL) /*!< PD BSR: BSR2 (Bit 2) */ +#define PD_BSR_BSR2_Msk (0x4UL) /*!< PD BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR1_Pos (1UL) /*!< PD BSR: BSR1 (Bit 1) */ +#define PD_BSR_BSR1_Msk (0x2UL) /*!< PD BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PD_BSR_BSR0_Pos (0UL) /*!< PD BSR: BSR0 (Bit 0) */ +#define PD_BSR_BSR0_Msk (0x1UL) /*!< PD BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PD_BCR_BCR15_Pos (15UL) /*!< PD BCR: BCR15 (Bit 15) */ +#define PD_BCR_BCR15_Msk (0x8000UL) /*!< PD BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR14_Pos (14UL) /*!< PD BCR: BCR14 (Bit 14) */ +#define PD_BCR_BCR14_Msk (0x4000UL) /*!< PD BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR13_Pos (13UL) /*!< PD BCR: BCR13 (Bit 13) */ +#define PD_BCR_BCR13_Msk (0x2000UL) /*!< PD BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR12_Pos (12UL) /*!< PD BCR: BCR12 (Bit 12) */ +#define PD_BCR_BCR12_Msk (0x1000UL) /*!< PD BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR11_Pos (11UL) /*!< PD BCR: BCR11 (Bit 11) */ +#define PD_BCR_BCR11_Msk (0x800UL) /*!< PD BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR10_Pos (10UL) /*!< PD BCR: BCR10 (Bit 10) */ +#define PD_BCR_BCR10_Msk (0x400UL) /*!< PD BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR9_Pos (9UL) /*!< PD BCR: BCR9 (Bit 9) */ +#define PD_BCR_BCR9_Msk (0x200UL) /*!< PD BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR8_Pos (8UL) /*!< PD BCR: BCR8 (Bit 8) */ +#define PD_BCR_BCR8_Msk (0x100UL) /*!< PD BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR7_Pos (7UL) /*!< PD BCR: BCR7 (Bit 7) */ +#define PD_BCR_BCR7_Msk (0x80UL) /*!< PD BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR6_Pos (6UL) /*!< PD BCR: BCR6 (Bit 6) */ +#define PD_BCR_BCR6_Msk (0x40UL) /*!< PD BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR5_Pos (5UL) /*!< PD BCR: BCR5 (Bit 5) */ +#define PD_BCR_BCR5_Msk (0x20UL) /*!< PD BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR4_Pos (4UL) /*!< PD BCR: BCR4 (Bit 4) */ +#define PD_BCR_BCR4_Msk (0x10UL) /*!< PD BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR3_Pos (3UL) /*!< PD BCR: BCR3 (Bit 3) */ +#define PD_BCR_BCR3_Msk (0x8UL) /*!< PD BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR2_Pos (2UL) /*!< PD BCR: BCR2 (Bit 2) */ +#define PD_BCR_BCR2_Msk (0x4UL) /*!< PD BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR1_Pos (1UL) /*!< PD BCR: BCR1 (Bit 1) */ +#define PD_BCR_BCR1_Msk (0x2UL) /*!< PD BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PD_BCR_BCR0_Pos (0UL) /*!< PD BCR: BCR0 (Bit 0) */ +#define PD_BCR_BCR0_Msk (0x1UL) /*!< PD BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PD_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PD OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PD_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PD OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PD OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PD_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PD OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PD OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PD_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PD OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PD OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PD_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PD OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PD OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PD_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PD OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PD OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PD_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PD OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PD OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PD_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PD OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PD OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PD_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PD OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PD OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PD_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PD OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PD OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PD_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PD OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PD OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PD_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PD OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PD OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PD_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PD OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PD OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PD_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PD OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PD OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PD_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PD OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PD OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PD_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PD OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PD_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PD OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PD_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PD OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PD_DBCR_DBCLK_Pos (16UL) /*!< PD DBCR: DBCLK (Bit 16) */ +#define PD_DBCR_DBCLK_Msk (0x70000UL) /*!< PD DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PD_DBCR_DBEN11_Pos (11UL) /*!< PD DBCR: DBEN11 (Bit 11) */ +#define PD_DBCR_DBEN11_Msk (0x800UL) /*!< PD DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN10_Pos (10UL) /*!< PD DBCR: DBEN10 (Bit 10) */ +#define PD_DBCR_DBEN10_Msk (0x400UL) /*!< PD DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN9_Pos (9UL) /*!< PD DBCR: DBEN9 (Bit 9) */ +#define PD_DBCR_DBEN9_Msk (0x200UL) /*!< PD DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN8_Pos (8UL) /*!< PD DBCR: DBEN8 (Bit 8) */ +#define PD_DBCR_DBEN8_Msk (0x100UL) /*!< PD DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN7_Pos (7UL) /*!< PD DBCR: DBEN7 (Bit 7) */ +#define PD_DBCR_DBEN7_Msk (0x80UL) /*!< PD DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN6_Pos (6UL) /*!< PD DBCR: DBEN6 (Bit 6) */ +#define PD_DBCR_DBEN6_Msk (0x40UL) /*!< PD DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN5_Pos (5UL) /*!< PD DBCR: DBEN5 (Bit 5) */ +#define PD_DBCR_DBEN5_Msk (0x20UL) /*!< PD DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN4_Pos (4UL) /*!< PD DBCR: DBEN4 (Bit 4) */ +#define PD_DBCR_DBEN4_Msk (0x10UL) /*!< PD DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN3_Pos (3UL) /*!< PD DBCR: DBEN3 (Bit 3) */ +#define PD_DBCR_DBEN3_Msk (0x8UL) /*!< PD DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN2_Pos (2UL) /*!< PD DBCR: DBEN2 (Bit 2) */ +#define PD_DBCR_DBEN2_Msk (0x4UL) /*!< PD DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN1_Pos (1UL) /*!< PD DBCR: DBEN1 (Bit 1) */ +#define PD_DBCR_DBEN1_Msk (0x2UL) /*!< PD DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PD_DBCR_DBEN0_Pos (0UL) /*!< PD DBCR: DBEN0 (Bit 0) */ +#define PD_DBCR_DBEN0_Msk (0x1UL) /*!< PD DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PD_MOD ========================================================= */ +#define PD_PD_MOD_MODE7_Pos (14UL) /*!< PD PD_MOD: MODE7 (Bit 14) */ +#define PD_PD_MOD_MODE7_Msk (0xc000UL) /*!< PD PD_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE6_Pos (12UL) /*!< PD PD_MOD: MODE6 (Bit 12) */ +#define PD_PD_MOD_MODE6_Msk (0x3000UL) /*!< PD PD_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE5_Pos (10UL) /*!< PD PD_MOD: MODE5 (Bit 10) */ +#define PD_PD_MOD_MODE5_Msk (0xc00UL) /*!< PD PD_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE4_Pos (8UL) /*!< PD PD_MOD: MODE4 (Bit 8) */ +#define PD_PD_MOD_MODE4_Msk (0x300UL) /*!< PD PD_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE3_Pos (6UL) /*!< PD PD_MOD: MODE3 (Bit 6) */ +#define PD_PD_MOD_MODE3_Msk (0xc0UL) /*!< PD PD_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE2_Pos (4UL) /*!< PD PD_MOD: MODE2 (Bit 4) */ +#define PD_PD_MOD_MODE2_Msk (0x30UL) /*!< PD PD_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE1_Pos (2UL) /*!< PD PD_MOD: MODE1 (Bit 2) */ +#define PD_PD_MOD_MODE1_Msk (0xcUL) /*!< PD PD_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PD_PD_MOD_MODE0_Pos (0UL) /*!< PD PD_MOD: MODE0 (Bit 0) */ +#define PD_PD_MOD_MODE0_Msk (0x3UL) /*!< PD PD_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PD_TYP ========================================================= */ +#define PD_PD_TYP_TYP7_Pos (7UL) /*!< PD PD_TYP: TYP7 (Bit 7) */ +#define PD_PD_TYP_TYP7_Msk (0x80UL) /*!< PD PD_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP6_Pos (6UL) /*!< PD PD_TYP: TYP6 (Bit 6) */ +#define PD_PD_TYP_TYP6_Msk (0x40UL) /*!< PD PD_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP5_Pos (5UL) /*!< PD PD_TYP: TYP5 (Bit 5) */ +#define PD_PD_TYP_TYP5_Msk (0x20UL) /*!< PD PD_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP4_Pos (4UL) /*!< PD PD_TYP: TYP4 (Bit 4) */ +#define PD_PD_TYP_TYP4_Msk (0x10UL) /*!< PD PD_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP3_Pos (3UL) /*!< PD PD_TYP: TYP3 (Bit 3) */ +#define PD_PD_TYP_TYP3_Msk (0x8UL) /*!< PD PD_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP2_Pos (2UL) /*!< PD PD_TYP: TYP2 (Bit 2) */ +#define PD_PD_TYP_TYP2_Msk (0x4UL) /*!< PD PD_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP1_Pos (1UL) /*!< PD PD_TYP: TYP1 (Bit 1) */ +#define PD_PD_TYP_TYP1_Msk (0x2UL) /*!< PD PD_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PD_PD_TYP_TYP0_Pos (0UL) /*!< PD PD_TYP: TYP0 (Bit 0) */ +#define PD_PD_TYP_TYP0_Msk (0x1UL) /*!< PD PD_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PD_AFSR1 ======================================================== */ +#define PD_PD_AFSR1_AFSR7_Pos (28UL) /*!< PD PD_AFSR1: AFSR7 (Bit 28) */ +#define PD_PD_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PD PD_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR6_Pos (24UL) /*!< PD PD_AFSR1: AFSR6 (Bit 24) */ +#define PD_PD_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PD PD_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR5_Pos (20UL) /*!< PD PD_AFSR1: AFSR5 (Bit 20) */ +#define PD_PD_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PD PD_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR4_Pos (16UL) /*!< PD PD_AFSR1: AFSR4 (Bit 16) */ +#define PD_PD_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PD PD_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR3_Pos (12UL) /*!< PD PD_AFSR1: AFSR3 (Bit 12) */ +#define PD_PD_AFSR1_AFSR3_Msk (0xf000UL) /*!< PD PD_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR2_Pos (8UL) /*!< PD PD_AFSR1: AFSR2 (Bit 8) */ +#define PD_PD_AFSR1_AFSR2_Msk (0xf00UL) /*!< PD PD_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR1_Pos (4UL) /*!< PD PD_AFSR1: AFSR1 (Bit 4) */ +#define PD_PD_AFSR1_AFSR1_Msk (0xf0UL) /*!< PD PD_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PD_PD_AFSR1_AFSR0_Pos (0UL) /*!< PD PD_AFSR1: AFSR0 (Bit 0) */ +#define PD_PD_AFSR1_AFSR0_Msk (0xfUL) /*!< PD PD_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PD_AFSR2 ======================================================== */ +/* ======================================================== PD_PUPD ======================================================== */ +#define PD_PD_PUPD_PUPD7_Pos (14UL) /*!< PD PD_PUPD: PUPD7 (Bit 14) */ +#define PD_PD_PUPD_PUPD7_Msk (0xc000UL) /*!< PD PD_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD6_Pos (12UL) /*!< PD PD_PUPD: PUPD6 (Bit 12) */ +#define PD_PD_PUPD_PUPD6_Msk (0x3000UL) /*!< PD PD_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD5_Pos (10UL) /*!< PD PD_PUPD: PUPD5 (Bit 10) */ +#define PD_PD_PUPD_PUPD5_Msk (0xc00UL) /*!< PD PD_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD4_Pos (8UL) /*!< PD PD_PUPD: PUPD4 (Bit 8) */ +#define PD_PD_PUPD_PUPD4_Msk (0x300UL) /*!< PD PD_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD3_Pos (6UL) /*!< PD PD_PUPD: PUPD3 (Bit 6) */ +#define PD_PD_PUPD_PUPD3_Msk (0xc0UL) /*!< PD PD_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD2_Pos (4UL) /*!< PD PD_PUPD: PUPD2 (Bit 4) */ +#define PD_PD_PUPD_PUPD2_Msk (0x30UL) /*!< PD PD_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD1_Pos (2UL) /*!< PD PD_PUPD: PUPD1 (Bit 2) */ +#define PD_PD_PUPD_PUPD1_Msk (0xcUL) /*!< PD PD_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PD_PD_PUPD_PUPD0_Pos (0UL) /*!< PD PD_PUPD: PUPD0 (Bit 0) */ +#define PD_PD_PUPD_PUPD0_Msk (0x3UL) /*!< PD PD_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PD_INDR ======================================================== */ +#define PD_PD_INDR_INDR7_Pos (7UL) /*!< PD PD_INDR: INDR7 (Bit 7) */ +#define PD_PD_INDR_INDR7_Msk (0x80UL) /*!< PD PD_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR6_Pos (6UL) /*!< PD PD_INDR: INDR6 (Bit 6) */ +#define PD_PD_INDR_INDR6_Msk (0x40UL) /*!< PD PD_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR5_Pos (5UL) /*!< PD PD_INDR: INDR5 (Bit 5) */ +#define PD_PD_INDR_INDR5_Msk (0x20UL) /*!< PD PD_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR4_Pos (4UL) /*!< PD PD_INDR: INDR4 (Bit 4) */ +#define PD_PD_INDR_INDR4_Msk (0x10UL) /*!< PD PD_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR3_Pos (3UL) /*!< PD PD_INDR: INDR3 (Bit 3) */ +#define PD_PD_INDR_INDR3_Msk (0x8UL) /*!< PD PD_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR2_Pos (2UL) /*!< PD PD_INDR: INDR2 (Bit 2) */ +#define PD_PD_INDR_INDR2_Msk (0x4UL) /*!< PD PD_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR1_Pos (1UL) /*!< PD PD_INDR: INDR1 (Bit 1) */ +#define PD_PD_INDR_INDR1_Msk (0x2UL) /*!< PD PD_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PD_PD_INDR_INDR0_Pos (0UL) /*!< PD PD_INDR: INDR0 (Bit 0) */ +#define PD_PD_INDR_INDR0_Msk (0x1UL) /*!< PD PD_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PD_OUTDR ======================================================== */ +#define PD_PD_OUTDR_OUTDR7_Pos (7UL) /*!< PD PD_OUTDR: OUTDR7 (Bit 7) */ +#define PD_PD_OUTDR_OUTDR7_Msk (0x80UL) /*!< PD PD_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR6_Pos (6UL) /*!< PD PD_OUTDR: OUTDR6 (Bit 6) */ +#define PD_PD_OUTDR_OUTDR6_Msk (0x40UL) /*!< PD PD_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR5_Pos (5UL) /*!< PD PD_OUTDR: OUTDR5 (Bit 5) */ +#define PD_PD_OUTDR_OUTDR5_Msk (0x20UL) /*!< PD PD_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR4_Pos (4UL) /*!< PD PD_OUTDR: OUTDR4 (Bit 4) */ +#define PD_PD_OUTDR_OUTDR4_Msk (0x10UL) /*!< PD PD_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR3_Pos (3UL) /*!< PD PD_OUTDR: OUTDR3 (Bit 3) */ +#define PD_PD_OUTDR_OUTDR3_Msk (0x8UL) /*!< PD PD_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR2_Pos (2UL) /*!< PD PD_OUTDR: OUTDR2 (Bit 2) */ +#define PD_PD_OUTDR_OUTDR2_Msk (0x4UL) /*!< PD PD_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR1_Pos (1UL) /*!< PD PD_OUTDR: OUTDR1 (Bit 1) */ +#define PD_PD_OUTDR_OUTDR1_Msk (0x2UL) /*!< PD PD_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDR_OUTDR0_Pos (0UL) /*!< PD PD_OUTDR: OUTDR0 (Bit 0) */ +#define PD_PD_OUTDR_OUTDR0_Msk (0x1UL) /*!< PD PD_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PD_BSR ========================================================= */ +#define PD_PD_BSR_BSR7_Pos (7UL) /*!< PD PD_BSR: BSR7 (Bit 7) */ +#define PD_PD_BSR_BSR7_Msk (0x80UL) /*!< PD PD_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR6_Pos (6UL) /*!< PD PD_BSR: BSR6 (Bit 6) */ +#define PD_PD_BSR_BSR6_Msk (0x40UL) /*!< PD PD_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR5_Pos (5UL) /*!< PD PD_BSR: BSR5 (Bit 5) */ +#define PD_PD_BSR_BSR5_Msk (0x20UL) /*!< PD PD_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR4_Pos (4UL) /*!< PD PD_BSR: BSR4 (Bit 4) */ +#define PD_PD_BSR_BSR4_Msk (0x10UL) /*!< PD PD_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR3_Pos (3UL) /*!< PD PD_BSR: BSR3 (Bit 3) */ +#define PD_PD_BSR_BSR3_Msk (0x8UL) /*!< PD PD_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR2_Pos (2UL) /*!< PD PD_BSR: BSR2 (Bit 2) */ +#define PD_PD_BSR_BSR2_Msk (0x4UL) /*!< PD PD_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR1_Pos (1UL) /*!< PD PD_BSR: BSR1 (Bit 1) */ +#define PD_PD_BSR_BSR1_Msk (0x2UL) /*!< PD PD_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PD_PD_BSR_BSR0_Pos (0UL) /*!< PD PD_BSR: BSR0 (Bit 0) */ +#define PD_PD_BSR_BSR0_Msk (0x1UL) /*!< PD PD_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PD_BCR ========================================================= */ +#define PD_PD_BCR_BCR7_Pos (7UL) /*!< PD PD_BCR: BCR7 (Bit 7) */ +#define PD_PD_BCR_BCR7_Msk (0x80UL) /*!< PD PD_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR6_Pos (6UL) /*!< PD PD_BCR: BCR6 (Bit 6) */ +#define PD_PD_BCR_BCR6_Msk (0x40UL) /*!< PD PD_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR5_Pos (5UL) /*!< PD PD_BCR: BCR5 (Bit 5) */ +#define PD_PD_BCR_BCR5_Msk (0x20UL) /*!< PD PD_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR4_Pos (4UL) /*!< PD PD_BCR: BCR4 (Bit 4) */ +#define PD_PD_BCR_BCR4_Msk (0x10UL) /*!< PD PD_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR3_Pos (3UL) /*!< PD PD_BCR: BCR3 (Bit 3) */ +#define PD_PD_BCR_BCR3_Msk (0x8UL) /*!< PD PD_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR2_Pos (2UL) /*!< PD PD_BCR: BCR2 (Bit 2) */ +#define PD_PD_BCR_BCR2_Msk (0x4UL) /*!< PD PD_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR1_Pos (1UL) /*!< PD PD_BCR: BCR1 (Bit 1) */ +#define PD_PD_BCR_BCR1_Msk (0x2UL) /*!< PD PD_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PD_PD_BCR_BCR0_Pos (0UL) /*!< PD PD_BCR: BCR0 (Bit 0) */ +#define PD_PD_BCR_BCR0_Msk (0x1UL) /*!< PD PD_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PD_OUTDMSK ======================================================= */ +#define PD_PD_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PD PD_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PD_PD_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PD PD_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PD PD_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PD_PD_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PD PD_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PD PD_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PD_PD_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PD PD_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PD PD_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PD_PD_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PD PD_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PD PD_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PD_PD_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PD PD_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PD PD_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PD_PD_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PD PD_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PD PD_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PD_PD_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PD PD_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PD_PD_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PD PD_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PD_PD_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PD PD_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PE ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PE_MOD_MODE15_Pos (30UL) /*!< PE MOD: MODE15 (Bit 30) */ +#define PE_MOD_MODE15_Msk (0xc0000000UL) /*!< PE MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE14_Pos (28UL) /*!< PE MOD: MODE14 (Bit 28) */ +#define PE_MOD_MODE14_Msk (0x30000000UL) /*!< PE MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE13_Pos (26UL) /*!< PE MOD: MODE13 (Bit 26) */ +#define PE_MOD_MODE13_Msk (0xc000000UL) /*!< PE MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE12_Pos (24UL) /*!< PE MOD: MODE12 (Bit 24) */ +#define PE_MOD_MODE12_Msk (0x3000000UL) /*!< PE MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE11_Pos (22UL) /*!< PE MOD: MODE11 (Bit 22) */ +#define PE_MOD_MODE11_Msk (0xc00000UL) /*!< PE MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE10_Pos (20UL) /*!< PE MOD: MODE10 (Bit 20) */ +#define PE_MOD_MODE10_Msk (0x300000UL) /*!< PE MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE9_Pos (18UL) /*!< PE MOD: MODE9 (Bit 18) */ +#define PE_MOD_MODE9_Msk (0xc0000UL) /*!< PE MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE8_Pos (16UL) /*!< PE MOD: MODE8 (Bit 16) */ +#define PE_MOD_MODE8_Msk (0x30000UL) /*!< PE MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE7_Pos (14UL) /*!< PE MOD: MODE7 (Bit 14) */ +#define PE_MOD_MODE7_Msk (0xc000UL) /*!< PE MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE6_Pos (12UL) /*!< PE MOD: MODE6 (Bit 12) */ +#define PE_MOD_MODE6_Msk (0x3000UL) /*!< PE MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE5_Pos (10UL) /*!< PE MOD: MODE5 (Bit 10) */ +#define PE_MOD_MODE5_Msk (0xc00UL) /*!< PE MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE4_Pos (8UL) /*!< PE MOD: MODE4 (Bit 8) */ +#define PE_MOD_MODE4_Msk (0x300UL) /*!< PE MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE3_Pos (6UL) /*!< PE MOD: MODE3 (Bit 6) */ +#define PE_MOD_MODE3_Msk (0xc0UL) /*!< PE MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE2_Pos (4UL) /*!< PE MOD: MODE2 (Bit 4) */ +#define PE_MOD_MODE2_Msk (0x30UL) /*!< PE MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE1_Pos (2UL) /*!< PE MOD: MODE1 (Bit 2) */ +#define PE_MOD_MODE1_Msk (0xcUL) /*!< PE MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PE_MOD_MODE0_Pos (0UL) /*!< PE MOD: MODE0 (Bit 0) */ +#define PE_MOD_MODE0_Msk (0x3UL) /*!< PE MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PE_TYP_TYP15_Pos (15UL) /*!< PE TYP: TYP15 (Bit 15) */ +#define PE_TYP_TYP15_Msk (0x8000UL) /*!< PE TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP14_Pos (14UL) /*!< PE TYP: TYP14 (Bit 14) */ +#define PE_TYP_TYP14_Msk (0x4000UL) /*!< PE TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP13_Pos (13UL) /*!< PE TYP: TYP13 (Bit 13) */ +#define PE_TYP_TYP13_Msk (0x2000UL) /*!< PE TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP12_Pos (12UL) /*!< PE TYP: TYP12 (Bit 12) */ +#define PE_TYP_TYP12_Msk (0x1000UL) /*!< PE TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP11_Pos (11UL) /*!< PE TYP: TYP11 (Bit 11) */ +#define PE_TYP_TYP11_Msk (0x800UL) /*!< PE TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP10_Pos (10UL) /*!< PE TYP: TYP10 (Bit 10) */ +#define PE_TYP_TYP10_Msk (0x400UL) /*!< PE TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP9_Pos (9UL) /*!< PE TYP: TYP9 (Bit 9) */ +#define PE_TYP_TYP9_Msk (0x200UL) /*!< PE TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP8_Pos (8UL) /*!< PE TYP: TYP8 (Bit 8) */ +#define PE_TYP_TYP8_Msk (0x100UL) /*!< PE TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP7_Pos (7UL) /*!< PE TYP: TYP7 (Bit 7) */ +#define PE_TYP_TYP7_Msk (0x80UL) /*!< PE TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP6_Pos (6UL) /*!< PE TYP: TYP6 (Bit 6) */ +#define PE_TYP_TYP6_Msk (0x40UL) /*!< PE TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP5_Pos (5UL) /*!< PE TYP: TYP5 (Bit 5) */ +#define PE_TYP_TYP5_Msk (0x20UL) /*!< PE TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP4_Pos (4UL) /*!< PE TYP: TYP4 (Bit 4) */ +#define PE_TYP_TYP4_Msk (0x10UL) /*!< PE TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP3_Pos (3UL) /*!< PE TYP: TYP3 (Bit 3) */ +#define PE_TYP_TYP3_Msk (0x8UL) /*!< PE TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP2_Pos (2UL) /*!< PE TYP: TYP2 (Bit 2) */ +#define PE_TYP_TYP2_Msk (0x4UL) /*!< PE TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP1_Pos (1UL) /*!< PE TYP: TYP1 (Bit 1) */ +#define PE_TYP_TYP1_Msk (0x2UL) /*!< PE TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PE_TYP_TYP0_Pos (0UL) /*!< PE TYP: TYP0 (Bit 0) */ +#define PE_TYP_TYP0_Msk (0x1UL) /*!< PE TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PE_AFSR1_AFSR7_Pos (28UL) /*!< PE AFSR1: AFSR7 (Bit 28) */ +#define PE_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PE AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR6_Pos (24UL) /*!< PE AFSR1: AFSR6 (Bit 24) */ +#define PE_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PE AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR5_Pos (20UL) /*!< PE AFSR1: AFSR5 (Bit 20) */ +#define PE_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PE AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR4_Pos (16UL) /*!< PE AFSR1: AFSR4 (Bit 16) */ +#define PE_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PE AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR3_Pos (12UL) /*!< PE AFSR1: AFSR3 (Bit 12) */ +#define PE_AFSR1_AFSR3_Msk (0xf000UL) /*!< PE AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR2_Pos (8UL) /*!< PE AFSR1: AFSR2 (Bit 8) */ +#define PE_AFSR1_AFSR2_Msk (0xf00UL) /*!< PE AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR1_Pos (4UL) /*!< PE AFSR1: AFSR1 (Bit 4) */ +#define PE_AFSR1_AFSR1_Msk (0xf0UL) /*!< PE AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR1_AFSR0_Pos (0UL) /*!< PE AFSR1: AFSR0 (Bit 0) */ +#define PE_AFSR1_AFSR0_Msk (0xfUL) /*!< PE AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PE_AFSR2_AFSR15_Pos (28UL) /*!< PE AFSR2: AFSR15 (Bit 28) */ +#define PE_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PE AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR14_Pos (24UL) /*!< PE AFSR2: AFSR14 (Bit 24) */ +#define PE_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PE AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR13_Pos (20UL) /*!< PE AFSR2: AFSR13 (Bit 20) */ +#define PE_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PE AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR12_Pos (16UL) /*!< PE AFSR2: AFSR12 (Bit 16) */ +#define PE_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PE AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR11_Pos (12UL) /*!< PE AFSR2: AFSR11 (Bit 12) */ +#define PE_AFSR2_AFSR11_Msk (0xf000UL) /*!< PE AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR10_Pos (8UL) /*!< PE AFSR2: AFSR10 (Bit 8) */ +#define PE_AFSR2_AFSR10_Msk (0xf00UL) /*!< PE AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR9_Pos (4UL) /*!< PE AFSR2: AFSR9 (Bit 4) */ +#define PE_AFSR2_AFSR9_Msk (0xf0UL) /*!< PE AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PE_AFSR2_AFSR8_Pos (0UL) /*!< PE AFSR2: AFSR8 (Bit 0) */ +#define PE_AFSR2_AFSR8_Msk (0xfUL) /*!< PE AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PE_PUPD_PUPD15_Pos (30UL) /*!< PE PUPD: PUPD15 (Bit 30) */ +#define PE_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PE PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD14_Pos (28UL) /*!< PE PUPD: PUPD14 (Bit 28) */ +#define PE_PUPD_PUPD14_Msk (0x30000000UL) /*!< PE PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD13_Pos (26UL) /*!< PE PUPD: PUPD13 (Bit 26) */ +#define PE_PUPD_PUPD13_Msk (0xc000000UL) /*!< PE PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD12_Pos (24UL) /*!< PE PUPD: PUPD12 (Bit 24) */ +#define PE_PUPD_PUPD12_Msk (0x3000000UL) /*!< PE PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD11_Pos (22UL) /*!< PE PUPD: PUPD11 (Bit 22) */ +#define PE_PUPD_PUPD11_Msk (0xc00000UL) /*!< PE PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD10_Pos (20UL) /*!< PE PUPD: PUPD10 (Bit 20) */ +#define PE_PUPD_PUPD10_Msk (0x300000UL) /*!< PE PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD9_Pos (18UL) /*!< PE PUPD: PUPD9 (Bit 18) */ +#define PE_PUPD_PUPD9_Msk (0xc0000UL) /*!< PE PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD8_Pos (16UL) /*!< PE PUPD: PUPD8 (Bit 16) */ +#define PE_PUPD_PUPD8_Msk (0x30000UL) /*!< PE PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD7_Pos (14UL) /*!< PE PUPD: PUPD7 (Bit 14) */ +#define PE_PUPD_PUPD7_Msk (0xc000UL) /*!< PE PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD6_Pos (12UL) /*!< PE PUPD: PUPD6 (Bit 12) */ +#define PE_PUPD_PUPD6_Msk (0x3000UL) /*!< PE PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD5_Pos (10UL) /*!< PE PUPD: PUPD5 (Bit 10) */ +#define PE_PUPD_PUPD5_Msk (0xc00UL) /*!< PE PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD4_Pos (8UL) /*!< PE PUPD: PUPD4 (Bit 8) */ +#define PE_PUPD_PUPD4_Msk (0x300UL) /*!< PE PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD3_Pos (6UL) /*!< PE PUPD: PUPD3 (Bit 6) */ +#define PE_PUPD_PUPD3_Msk (0xc0UL) /*!< PE PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD2_Pos (4UL) /*!< PE PUPD: PUPD2 (Bit 4) */ +#define PE_PUPD_PUPD2_Msk (0x30UL) /*!< PE PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD1_Pos (2UL) /*!< PE PUPD: PUPD1 (Bit 2) */ +#define PE_PUPD_PUPD1_Msk (0xcUL) /*!< PE PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PE_PUPD_PUPD0_Pos (0UL) /*!< PE PUPD: PUPD0 (Bit 0) */ +#define PE_PUPD_PUPD0_Msk (0x3UL) /*!< PE PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PE_INDR_INDR15_Pos (15UL) /*!< PE INDR: INDR15 (Bit 15) */ +#define PE_INDR_INDR15_Msk (0x8000UL) /*!< PE INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR14_Pos (14UL) /*!< PE INDR: INDR14 (Bit 14) */ +#define PE_INDR_INDR14_Msk (0x4000UL) /*!< PE INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR13_Pos (13UL) /*!< PE INDR: INDR13 (Bit 13) */ +#define PE_INDR_INDR13_Msk (0x2000UL) /*!< PE INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR12_Pos (12UL) /*!< PE INDR: INDR12 (Bit 12) */ +#define PE_INDR_INDR12_Msk (0x1000UL) /*!< PE INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR11_Pos (11UL) /*!< PE INDR: INDR11 (Bit 11) */ +#define PE_INDR_INDR11_Msk (0x800UL) /*!< PE INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR10_Pos (10UL) /*!< PE INDR: INDR10 (Bit 10) */ +#define PE_INDR_INDR10_Msk (0x400UL) /*!< PE INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR9_Pos (9UL) /*!< PE INDR: INDR9 (Bit 9) */ +#define PE_INDR_INDR9_Msk (0x200UL) /*!< PE INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR8_Pos (8UL) /*!< PE INDR: INDR8 (Bit 8) */ +#define PE_INDR_INDR8_Msk (0x100UL) /*!< PE INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR7_Pos (7UL) /*!< PE INDR: INDR7 (Bit 7) */ +#define PE_INDR_INDR7_Msk (0x80UL) /*!< PE INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR6_Pos (6UL) /*!< PE INDR: INDR6 (Bit 6) */ +#define PE_INDR_INDR6_Msk (0x40UL) /*!< PE INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR5_Pos (5UL) /*!< PE INDR: INDR5 (Bit 5) */ +#define PE_INDR_INDR5_Msk (0x20UL) /*!< PE INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR4_Pos (4UL) /*!< PE INDR: INDR4 (Bit 4) */ +#define PE_INDR_INDR4_Msk (0x10UL) /*!< PE INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR3_Pos (3UL) /*!< PE INDR: INDR3 (Bit 3) */ +#define PE_INDR_INDR3_Msk (0x8UL) /*!< PE INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR2_Pos (2UL) /*!< PE INDR: INDR2 (Bit 2) */ +#define PE_INDR_INDR2_Msk (0x4UL) /*!< PE INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR1_Pos (1UL) /*!< PE INDR: INDR1 (Bit 1) */ +#define PE_INDR_INDR1_Msk (0x2UL) /*!< PE INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PE_INDR_INDR0_Pos (0UL) /*!< PE INDR: INDR0 (Bit 0) */ +#define PE_INDR_INDR0_Msk (0x1UL) /*!< PE INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PE_OUTDR_OUTDR15_Pos (15UL) /*!< PE OUTDR: OUTDR15 (Bit 15) */ +#define PE_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PE OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR14_Pos (14UL) /*!< PE OUTDR: OUTDR14 (Bit 14) */ +#define PE_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PE OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR13_Pos (13UL) /*!< PE OUTDR: OUTDR13 (Bit 13) */ +#define PE_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PE OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR12_Pos (12UL) /*!< PE OUTDR: OUTDR12 (Bit 12) */ +#define PE_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PE OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR11_Pos (11UL) /*!< PE OUTDR: OUTDR11 (Bit 11) */ +#define PE_OUTDR_OUTDR11_Msk (0x800UL) /*!< PE OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR10_Pos (10UL) /*!< PE OUTDR: OUTDR10 (Bit 10) */ +#define PE_OUTDR_OUTDR10_Msk (0x400UL) /*!< PE OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR9_Pos (9UL) /*!< PE OUTDR: OUTDR9 (Bit 9) */ +#define PE_OUTDR_OUTDR9_Msk (0x200UL) /*!< PE OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR8_Pos (8UL) /*!< PE OUTDR: OUTDR8 (Bit 8) */ +#define PE_OUTDR_OUTDR8_Msk (0x100UL) /*!< PE OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR7_Pos (7UL) /*!< PE OUTDR: OUTDR7 (Bit 7) */ +#define PE_OUTDR_OUTDR7_Msk (0x80UL) /*!< PE OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR6_Pos (6UL) /*!< PE OUTDR: OUTDR6 (Bit 6) */ +#define PE_OUTDR_OUTDR6_Msk (0x40UL) /*!< PE OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR5_Pos (5UL) /*!< PE OUTDR: OUTDR5 (Bit 5) */ +#define PE_OUTDR_OUTDR5_Msk (0x20UL) /*!< PE OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR4_Pos (4UL) /*!< PE OUTDR: OUTDR4 (Bit 4) */ +#define PE_OUTDR_OUTDR4_Msk (0x10UL) /*!< PE OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR3_Pos (3UL) /*!< PE OUTDR: OUTDR3 (Bit 3) */ +#define PE_OUTDR_OUTDR3_Msk (0x8UL) /*!< PE OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR2_Pos (2UL) /*!< PE OUTDR: OUTDR2 (Bit 2) */ +#define PE_OUTDR_OUTDR2_Msk (0x4UL) /*!< PE OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR1_Pos (1UL) /*!< PE OUTDR: OUTDR1 (Bit 1) */ +#define PE_OUTDR_OUTDR1_Msk (0x2UL) /*!< PE OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PE_OUTDR_OUTDR0_Pos (0UL) /*!< PE OUTDR: OUTDR0 (Bit 0) */ +#define PE_OUTDR_OUTDR0_Msk (0x1UL) /*!< PE OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PE_BSR_BSR15_Pos (15UL) /*!< PE BSR: BSR15 (Bit 15) */ +#define PE_BSR_BSR15_Msk (0x8000UL) /*!< PE BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR14_Pos (14UL) /*!< PE BSR: BSR14 (Bit 14) */ +#define PE_BSR_BSR14_Msk (0x4000UL) /*!< PE BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR13_Pos (13UL) /*!< PE BSR: BSR13 (Bit 13) */ +#define PE_BSR_BSR13_Msk (0x2000UL) /*!< PE BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR12_Pos (12UL) /*!< PE BSR: BSR12 (Bit 12) */ +#define PE_BSR_BSR12_Msk (0x1000UL) /*!< PE BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR11_Pos (11UL) /*!< PE BSR: BSR11 (Bit 11) */ +#define PE_BSR_BSR11_Msk (0x800UL) /*!< PE BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR10_Pos (10UL) /*!< PE BSR: BSR10 (Bit 10) */ +#define PE_BSR_BSR10_Msk (0x400UL) /*!< PE BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR9_Pos (9UL) /*!< PE BSR: BSR9 (Bit 9) */ +#define PE_BSR_BSR9_Msk (0x200UL) /*!< PE BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR8_Pos (8UL) /*!< PE BSR: BSR8 (Bit 8) */ +#define PE_BSR_BSR8_Msk (0x100UL) /*!< PE BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR7_Pos (7UL) /*!< PE BSR: BSR7 (Bit 7) */ +#define PE_BSR_BSR7_Msk (0x80UL) /*!< PE BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR6_Pos (6UL) /*!< PE BSR: BSR6 (Bit 6) */ +#define PE_BSR_BSR6_Msk (0x40UL) /*!< PE BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR5_Pos (5UL) /*!< PE BSR: BSR5 (Bit 5) */ +#define PE_BSR_BSR5_Msk (0x20UL) /*!< PE BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR4_Pos (4UL) /*!< PE BSR: BSR4 (Bit 4) */ +#define PE_BSR_BSR4_Msk (0x10UL) /*!< PE BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR3_Pos (3UL) /*!< PE BSR: BSR3 (Bit 3) */ +#define PE_BSR_BSR3_Msk (0x8UL) /*!< PE BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR2_Pos (2UL) /*!< PE BSR: BSR2 (Bit 2) */ +#define PE_BSR_BSR2_Msk (0x4UL) /*!< PE BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR1_Pos (1UL) /*!< PE BSR: BSR1 (Bit 1) */ +#define PE_BSR_BSR1_Msk (0x2UL) /*!< PE BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PE_BSR_BSR0_Pos (0UL) /*!< PE BSR: BSR0 (Bit 0) */ +#define PE_BSR_BSR0_Msk (0x1UL) /*!< PE BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PE_BCR_BCR15_Pos (15UL) /*!< PE BCR: BCR15 (Bit 15) */ +#define PE_BCR_BCR15_Msk (0x8000UL) /*!< PE BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR14_Pos (14UL) /*!< PE BCR: BCR14 (Bit 14) */ +#define PE_BCR_BCR14_Msk (0x4000UL) /*!< PE BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR13_Pos (13UL) /*!< PE BCR: BCR13 (Bit 13) */ +#define PE_BCR_BCR13_Msk (0x2000UL) /*!< PE BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR12_Pos (12UL) /*!< PE BCR: BCR12 (Bit 12) */ +#define PE_BCR_BCR12_Msk (0x1000UL) /*!< PE BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR11_Pos (11UL) /*!< PE BCR: BCR11 (Bit 11) */ +#define PE_BCR_BCR11_Msk (0x800UL) /*!< PE BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR10_Pos (10UL) /*!< PE BCR: BCR10 (Bit 10) */ +#define PE_BCR_BCR10_Msk (0x400UL) /*!< PE BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR9_Pos (9UL) /*!< PE BCR: BCR9 (Bit 9) */ +#define PE_BCR_BCR9_Msk (0x200UL) /*!< PE BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR8_Pos (8UL) /*!< PE BCR: BCR8 (Bit 8) */ +#define PE_BCR_BCR8_Msk (0x100UL) /*!< PE BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR7_Pos (7UL) /*!< PE BCR: BCR7 (Bit 7) */ +#define PE_BCR_BCR7_Msk (0x80UL) /*!< PE BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR6_Pos (6UL) /*!< PE BCR: BCR6 (Bit 6) */ +#define PE_BCR_BCR6_Msk (0x40UL) /*!< PE BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR5_Pos (5UL) /*!< PE BCR: BCR5 (Bit 5) */ +#define PE_BCR_BCR5_Msk (0x20UL) /*!< PE BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR4_Pos (4UL) /*!< PE BCR: BCR4 (Bit 4) */ +#define PE_BCR_BCR4_Msk (0x10UL) /*!< PE BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR3_Pos (3UL) /*!< PE BCR: BCR3 (Bit 3) */ +#define PE_BCR_BCR3_Msk (0x8UL) /*!< PE BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR2_Pos (2UL) /*!< PE BCR: BCR2 (Bit 2) */ +#define PE_BCR_BCR2_Msk (0x4UL) /*!< PE BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR1_Pos (1UL) /*!< PE BCR: BCR1 (Bit 1) */ +#define PE_BCR_BCR1_Msk (0x2UL) /*!< PE BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PE_BCR_BCR0_Pos (0UL) /*!< PE BCR: BCR0 (Bit 0) */ +#define PE_BCR_BCR0_Msk (0x1UL) /*!< PE BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PE_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PE OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PE_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PE OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PE OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PE_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PE OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PE OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PE_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PE OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PE OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PE_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PE OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PE OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PE_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PE OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PE OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PE_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PE OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PE OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PE_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PE OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PE OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PE_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PE OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PE OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PE_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PE OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PE OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PE_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PE OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PE OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PE_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PE OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PE OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PE_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PE OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PE OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PE_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PE OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PE OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PE_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PE OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PE OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PE_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PE OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PE_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PE OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PE_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PE OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PE_DBCR_DBCLK_Pos (16UL) /*!< PE DBCR: DBCLK (Bit 16) */ +#define PE_DBCR_DBCLK_Msk (0x70000UL) /*!< PE DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PE_DBCR_DBEN11_Pos (11UL) /*!< PE DBCR: DBEN11 (Bit 11) */ +#define PE_DBCR_DBEN11_Msk (0x800UL) /*!< PE DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN10_Pos (10UL) /*!< PE DBCR: DBEN10 (Bit 10) */ +#define PE_DBCR_DBEN10_Msk (0x400UL) /*!< PE DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN9_Pos (9UL) /*!< PE DBCR: DBEN9 (Bit 9) */ +#define PE_DBCR_DBEN9_Msk (0x200UL) /*!< PE DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN8_Pos (8UL) /*!< PE DBCR: DBEN8 (Bit 8) */ +#define PE_DBCR_DBEN8_Msk (0x100UL) /*!< PE DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN7_Pos (7UL) /*!< PE DBCR: DBEN7 (Bit 7) */ +#define PE_DBCR_DBEN7_Msk (0x80UL) /*!< PE DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN6_Pos (6UL) /*!< PE DBCR: DBEN6 (Bit 6) */ +#define PE_DBCR_DBEN6_Msk (0x40UL) /*!< PE DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN5_Pos (5UL) /*!< PE DBCR: DBEN5 (Bit 5) */ +#define PE_DBCR_DBEN5_Msk (0x20UL) /*!< PE DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN4_Pos (4UL) /*!< PE DBCR: DBEN4 (Bit 4) */ +#define PE_DBCR_DBEN4_Msk (0x10UL) /*!< PE DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN3_Pos (3UL) /*!< PE DBCR: DBEN3 (Bit 3) */ +#define PE_DBCR_DBEN3_Msk (0x8UL) /*!< PE DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN2_Pos (2UL) /*!< PE DBCR: DBEN2 (Bit 2) */ +#define PE_DBCR_DBEN2_Msk (0x4UL) /*!< PE DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN1_Pos (1UL) /*!< PE DBCR: DBEN1 (Bit 1) */ +#define PE_DBCR_DBEN1_Msk (0x2UL) /*!< PE DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PE_DBCR_DBEN0_Pos (0UL) /*!< PE DBCR: DBEN0 (Bit 0) */ +#define PE_DBCR_DBEN0_Msk (0x1UL) /*!< PE DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PE_MOD ========================================================= */ +#define PE_PE_MOD_MODE15_Pos (30UL) /*!< PE PE_MOD: MODE15 (Bit 30) */ +#define PE_PE_MOD_MODE15_Msk (0xc0000000UL) /*!< PE PE_MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE14_Pos (28UL) /*!< PE PE_MOD: MODE14 (Bit 28) */ +#define PE_PE_MOD_MODE14_Msk (0x30000000UL) /*!< PE PE_MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE13_Pos (26UL) /*!< PE PE_MOD: MODE13 (Bit 26) */ +#define PE_PE_MOD_MODE13_Msk (0xc000000UL) /*!< PE PE_MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE12_Pos (24UL) /*!< PE PE_MOD: MODE12 (Bit 24) */ +#define PE_PE_MOD_MODE12_Msk (0x3000000UL) /*!< PE PE_MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE11_Pos (22UL) /*!< PE PE_MOD: MODE11 (Bit 22) */ +#define PE_PE_MOD_MODE11_Msk (0xc00000UL) /*!< PE PE_MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE10_Pos (20UL) /*!< PE PE_MOD: MODE10 (Bit 20) */ +#define PE_PE_MOD_MODE10_Msk (0x300000UL) /*!< PE PE_MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE9_Pos (18UL) /*!< PE PE_MOD: MODE9 (Bit 18) */ +#define PE_PE_MOD_MODE9_Msk (0xc0000UL) /*!< PE PE_MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE8_Pos (16UL) /*!< PE PE_MOD: MODE8 (Bit 16) */ +#define PE_PE_MOD_MODE8_Msk (0x30000UL) /*!< PE PE_MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE7_Pos (14UL) /*!< PE PE_MOD: MODE7 (Bit 14) */ +#define PE_PE_MOD_MODE7_Msk (0xc000UL) /*!< PE PE_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE6_Pos (12UL) /*!< PE PE_MOD: MODE6 (Bit 12) */ +#define PE_PE_MOD_MODE6_Msk (0x3000UL) /*!< PE PE_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE5_Pos (10UL) /*!< PE PE_MOD: MODE5 (Bit 10) */ +#define PE_PE_MOD_MODE5_Msk (0xc00UL) /*!< PE PE_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE4_Pos (8UL) /*!< PE PE_MOD: MODE4 (Bit 8) */ +#define PE_PE_MOD_MODE4_Msk (0x300UL) /*!< PE PE_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE3_Pos (6UL) /*!< PE PE_MOD: MODE3 (Bit 6) */ +#define PE_PE_MOD_MODE3_Msk (0xc0UL) /*!< PE PE_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE2_Pos (4UL) /*!< PE PE_MOD: MODE2 (Bit 4) */ +#define PE_PE_MOD_MODE2_Msk (0x30UL) /*!< PE PE_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE1_Pos (2UL) /*!< PE PE_MOD: MODE1 (Bit 2) */ +#define PE_PE_MOD_MODE1_Msk (0xcUL) /*!< PE PE_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PE_PE_MOD_MODE0_Pos (0UL) /*!< PE PE_MOD: MODE0 (Bit 0) */ +#define PE_PE_MOD_MODE0_Msk (0x3UL) /*!< PE PE_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PE_TYP ========================================================= */ +#define PE_PE_TYP_TYP15_Pos (15UL) /*!< PE PE_TYP: TYP15 (Bit 15) */ +#define PE_PE_TYP_TYP15_Msk (0x8000UL) /*!< PE PE_TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP14_Pos (14UL) /*!< PE PE_TYP: TYP14 (Bit 14) */ +#define PE_PE_TYP_TYP14_Msk (0x4000UL) /*!< PE PE_TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP13_Pos (13UL) /*!< PE PE_TYP: TYP13 (Bit 13) */ +#define PE_PE_TYP_TYP13_Msk (0x2000UL) /*!< PE PE_TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP12_Pos (12UL) /*!< PE PE_TYP: TYP12 (Bit 12) */ +#define PE_PE_TYP_TYP12_Msk (0x1000UL) /*!< PE PE_TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP11_Pos (11UL) /*!< PE PE_TYP: TYP11 (Bit 11) */ +#define PE_PE_TYP_TYP11_Msk (0x800UL) /*!< PE PE_TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP10_Pos (10UL) /*!< PE PE_TYP: TYP10 (Bit 10) */ +#define PE_PE_TYP_TYP10_Msk (0x400UL) /*!< PE PE_TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP9_Pos (9UL) /*!< PE PE_TYP: TYP9 (Bit 9) */ +#define PE_PE_TYP_TYP9_Msk (0x200UL) /*!< PE PE_TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP8_Pos (8UL) /*!< PE PE_TYP: TYP8 (Bit 8) */ +#define PE_PE_TYP_TYP8_Msk (0x100UL) /*!< PE PE_TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP7_Pos (7UL) /*!< PE PE_TYP: TYP7 (Bit 7) */ +#define PE_PE_TYP_TYP7_Msk (0x80UL) /*!< PE PE_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP6_Pos (6UL) /*!< PE PE_TYP: TYP6 (Bit 6) */ +#define PE_PE_TYP_TYP6_Msk (0x40UL) /*!< PE PE_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP5_Pos (5UL) /*!< PE PE_TYP: TYP5 (Bit 5) */ +#define PE_PE_TYP_TYP5_Msk (0x20UL) /*!< PE PE_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP4_Pos (4UL) /*!< PE PE_TYP: TYP4 (Bit 4) */ +#define PE_PE_TYP_TYP4_Msk (0x10UL) /*!< PE PE_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP3_Pos (3UL) /*!< PE PE_TYP: TYP3 (Bit 3) */ +#define PE_PE_TYP_TYP3_Msk (0x8UL) /*!< PE PE_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP2_Pos (2UL) /*!< PE PE_TYP: TYP2 (Bit 2) */ +#define PE_PE_TYP_TYP2_Msk (0x4UL) /*!< PE PE_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP1_Pos (1UL) /*!< PE PE_TYP: TYP1 (Bit 1) */ +#define PE_PE_TYP_TYP1_Msk (0x2UL) /*!< PE PE_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PE_PE_TYP_TYP0_Pos (0UL) /*!< PE PE_TYP: TYP0 (Bit 0) */ +#define PE_PE_TYP_TYP0_Msk (0x1UL) /*!< PE PE_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PE_AFSR1 ======================================================== */ +#define PE_PE_AFSR1_AFSR7_Pos (28UL) /*!< PE PE_AFSR1: AFSR7 (Bit 28) */ +#define PE_PE_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PE PE_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR6_Pos (24UL) /*!< PE PE_AFSR1: AFSR6 (Bit 24) */ +#define PE_PE_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PE PE_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR5_Pos (20UL) /*!< PE PE_AFSR1: AFSR5 (Bit 20) */ +#define PE_PE_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PE PE_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR4_Pos (16UL) /*!< PE PE_AFSR1: AFSR4 (Bit 16) */ +#define PE_PE_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PE PE_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR3_Pos (12UL) /*!< PE PE_AFSR1: AFSR3 (Bit 12) */ +#define PE_PE_AFSR1_AFSR3_Msk (0xf000UL) /*!< PE PE_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR2_Pos (8UL) /*!< PE PE_AFSR1: AFSR2 (Bit 8) */ +#define PE_PE_AFSR1_AFSR2_Msk (0xf00UL) /*!< PE PE_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR1_Pos (4UL) /*!< PE PE_AFSR1: AFSR1 (Bit 4) */ +#define PE_PE_AFSR1_AFSR1_Msk (0xf0UL) /*!< PE PE_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR1_AFSR0_Pos (0UL) /*!< PE PE_AFSR1: AFSR0 (Bit 0) */ +#define PE_PE_AFSR1_AFSR0_Msk (0xfUL) /*!< PE PE_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PE_AFSR2 ======================================================== */ +#define PE_PE_AFSR2_AFSR15_Pos (28UL) /*!< PE PE_AFSR2: AFSR15 (Bit 28) */ +#define PE_PE_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PE PE_AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR14_Pos (24UL) /*!< PE PE_AFSR2: AFSR14 (Bit 24) */ +#define PE_PE_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PE PE_AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR13_Pos (20UL) /*!< PE PE_AFSR2: AFSR13 (Bit 20) */ +#define PE_PE_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PE PE_AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR12_Pos (16UL) /*!< PE PE_AFSR2: AFSR12 (Bit 16) */ +#define PE_PE_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PE PE_AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR11_Pos (12UL) /*!< PE PE_AFSR2: AFSR11 (Bit 12) */ +#define PE_PE_AFSR2_AFSR11_Msk (0xf000UL) /*!< PE PE_AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR10_Pos (8UL) /*!< PE PE_AFSR2: AFSR10 (Bit 8) */ +#define PE_PE_AFSR2_AFSR10_Msk (0xf00UL) /*!< PE PE_AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR9_Pos (4UL) /*!< PE PE_AFSR2: AFSR9 (Bit 4) */ +#define PE_PE_AFSR2_AFSR9_Msk (0xf0UL) /*!< PE PE_AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PE_PE_AFSR2_AFSR8_Pos (0UL) /*!< PE PE_AFSR2: AFSR8 (Bit 0) */ +#define PE_PE_AFSR2_AFSR8_Msk (0xfUL) /*!< PE PE_AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ======================================================== PE_PUPD ======================================================== */ +#define PE_PE_PUPD_PUPD15_Pos (30UL) /*!< PE PE_PUPD: PUPD15 (Bit 30) */ +#define PE_PE_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PE PE_PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD14_Pos (28UL) /*!< PE PE_PUPD: PUPD14 (Bit 28) */ +#define PE_PE_PUPD_PUPD14_Msk (0x30000000UL) /*!< PE PE_PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD13_Pos (26UL) /*!< PE PE_PUPD: PUPD13 (Bit 26) */ +#define PE_PE_PUPD_PUPD13_Msk (0xc000000UL) /*!< PE PE_PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD12_Pos (24UL) /*!< PE PE_PUPD: PUPD12 (Bit 24) */ +#define PE_PE_PUPD_PUPD12_Msk (0x3000000UL) /*!< PE PE_PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD11_Pos (22UL) /*!< PE PE_PUPD: PUPD11 (Bit 22) */ +#define PE_PE_PUPD_PUPD11_Msk (0xc00000UL) /*!< PE PE_PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD10_Pos (20UL) /*!< PE PE_PUPD: PUPD10 (Bit 20) */ +#define PE_PE_PUPD_PUPD10_Msk (0x300000UL) /*!< PE PE_PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD9_Pos (18UL) /*!< PE PE_PUPD: PUPD9 (Bit 18) */ +#define PE_PE_PUPD_PUPD9_Msk (0xc0000UL) /*!< PE PE_PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD8_Pos (16UL) /*!< PE PE_PUPD: PUPD8 (Bit 16) */ +#define PE_PE_PUPD_PUPD8_Msk (0x30000UL) /*!< PE PE_PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD7_Pos (14UL) /*!< PE PE_PUPD: PUPD7 (Bit 14) */ +#define PE_PE_PUPD_PUPD7_Msk (0xc000UL) /*!< PE PE_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD6_Pos (12UL) /*!< PE PE_PUPD: PUPD6 (Bit 12) */ +#define PE_PE_PUPD_PUPD6_Msk (0x3000UL) /*!< PE PE_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD5_Pos (10UL) /*!< PE PE_PUPD: PUPD5 (Bit 10) */ +#define PE_PE_PUPD_PUPD5_Msk (0xc00UL) /*!< PE PE_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD4_Pos (8UL) /*!< PE PE_PUPD: PUPD4 (Bit 8) */ +#define PE_PE_PUPD_PUPD4_Msk (0x300UL) /*!< PE PE_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD3_Pos (6UL) /*!< PE PE_PUPD: PUPD3 (Bit 6) */ +#define PE_PE_PUPD_PUPD3_Msk (0xc0UL) /*!< PE PE_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD2_Pos (4UL) /*!< PE PE_PUPD: PUPD2 (Bit 4) */ +#define PE_PE_PUPD_PUPD2_Msk (0x30UL) /*!< PE PE_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD1_Pos (2UL) /*!< PE PE_PUPD: PUPD1 (Bit 2) */ +#define PE_PE_PUPD_PUPD1_Msk (0xcUL) /*!< PE PE_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PE_PE_PUPD_PUPD0_Pos (0UL) /*!< PE PE_PUPD: PUPD0 (Bit 0) */ +#define PE_PE_PUPD_PUPD0_Msk (0x3UL) /*!< PE PE_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PE_INDR ======================================================== */ +#define PE_PE_INDR_INDR15_Pos (15UL) /*!< PE PE_INDR: INDR15 (Bit 15) */ +#define PE_PE_INDR_INDR15_Msk (0x8000UL) /*!< PE PE_INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR14_Pos (14UL) /*!< PE PE_INDR: INDR14 (Bit 14) */ +#define PE_PE_INDR_INDR14_Msk (0x4000UL) /*!< PE PE_INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR13_Pos (13UL) /*!< PE PE_INDR: INDR13 (Bit 13) */ +#define PE_PE_INDR_INDR13_Msk (0x2000UL) /*!< PE PE_INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR12_Pos (12UL) /*!< PE PE_INDR: INDR12 (Bit 12) */ +#define PE_PE_INDR_INDR12_Msk (0x1000UL) /*!< PE PE_INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR11_Pos (11UL) /*!< PE PE_INDR: INDR11 (Bit 11) */ +#define PE_PE_INDR_INDR11_Msk (0x800UL) /*!< PE PE_INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR10_Pos (10UL) /*!< PE PE_INDR: INDR10 (Bit 10) */ +#define PE_PE_INDR_INDR10_Msk (0x400UL) /*!< PE PE_INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR9_Pos (9UL) /*!< PE PE_INDR: INDR9 (Bit 9) */ +#define PE_PE_INDR_INDR9_Msk (0x200UL) /*!< PE PE_INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR8_Pos (8UL) /*!< PE PE_INDR: INDR8 (Bit 8) */ +#define PE_PE_INDR_INDR8_Msk (0x100UL) /*!< PE PE_INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR7_Pos (7UL) /*!< PE PE_INDR: INDR7 (Bit 7) */ +#define PE_PE_INDR_INDR7_Msk (0x80UL) /*!< PE PE_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR6_Pos (6UL) /*!< PE PE_INDR: INDR6 (Bit 6) */ +#define PE_PE_INDR_INDR6_Msk (0x40UL) /*!< PE PE_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR5_Pos (5UL) /*!< PE PE_INDR: INDR5 (Bit 5) */ +#define PE_PE_INDR_INDR5_Msk (0x20UL) /*!< PE PE_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR4_Pos (4UL) /*!< PE PE_INDR: INDR4 (Bit 4) */ +#define PE_PE_INDR_INDR4_Msk (0x10UL) /*!< PE PE_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR3_Pos (3UL) /*!< PE PE_INDR: INDR3 (Bit 3) */ +#define PE_PE_INDR_INDR3_Msk (0x8UL) /*!< PE PE_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR2_Pos (2UL) /*!< PE PE_INDR: INDR2 (Bit 2) */ +#define PE_PE_INDR_INDR2_Msk (0x4UL) /*!< PE PE_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR1_Pos (1UL) /*!< PE PE_INDR: INDR1 (Bit 1) */ +#define PE_PE_INDR_INDR1_Msk (0x2UL) /*!< PE PE_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PE_PE_INDR_INDR0_Pos (0UL) /*!< PE PE_INDR: INDR0 (Bit 0) */ +#define PE_PE_INDR_INDR0_Msk (0x1UL) /*!< PE PE_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PE_OUTDR ======================================================== */ +#define PE_PE_OUTDR_OUTDR15_Pos (15UL) /*!< PE PE_OUTDR: OUTDR15 (Bit 15) */ +#define PE_PE_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PE PE_OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR14_Pos (14UL) /*!< PE PE_OUTDR: OUTDR14 (Bit 14) */ +#define PE_PE_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PE PE_OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR13_Pos (13UL) /*!< PE PE_OUTDR: OUTDR13 (Bit 13) */ +#define PE_PE_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PE PE_OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR12_Pos (12UL) /*!< PE PE_OUTDR: OUTDR12 (Bit 12) */ +#define PE_PE_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PE PE_OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR11_Pos (11UL) /*!< PE PE_OUTDR: OUTDR11 (Bit 11) */ +#define PE_PE_OUTDR_OUTDR11_Msk (0x800UL) /*!< PE PE_OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR10_Pos (10UL) /*!< PE PE_OUTDR: OUTDR10 (Bit 10) */ +#define PE_PE_OUTDR_OUTDR10_Msk (0x400UL) /*!< PE PE_OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR9_Pos (9UL) /*!< PE PE_OUTDR: OUTDR9 (Bit 9) */ +#define PE_PE_OUTDR_OUTDR9_Msk (0x200UL) /*!< PE PE_OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR8_Pos (8UL) /*!< PE PE_OUTDR: OUTDR8 (Bit 8) */ +#define PE_PE_OUTDR_OUTDR8_Msk (0x100UL) /*!< PE PE_OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR7_Pos (7UL) /*!< PE PE_OUTDR: OUTDR7 (Bit 7) */ +#define PE_PE_OUTDR_OUTDR7_Msk (0x80UL) /*!< PE PE_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR6_Pos (6UL) /*!< PE PE_OUTDR: OUTDR6 (Bit 6) */ +#define PE_PE_OUTDR_OUTDR6_Msk (0x40UL) /*!< PE PE_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR5_Pos (5UL) /*!< PE PE_OUTDR: OUTDR5 (Bit 5) */ +#define PE_PE_OUTDR_OUTDR5_Msk (0x20UL) /*!< PE PE_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR4_Pos (4UL) /*!< PE PE_OUTDR: OUTDR4 (Bit 4) */ +#define PE_PE_OUTDR_OUTDR4_Msk (0x10UL) /*!< PE PE_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR3_Pos (3UL) /*!< PE PE_OUTDR: OUTDR3 (Bit 3) */ +#define PE_PE_OUTDR_OUTDR3_Msk (0x8UL) /*!< PE PE_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR2_Pos (2UL) /*!< PE PE_OUTDR: OUTDR2 (Bit 2) */ +#define PE_PE_OUTDR_OUTDR2_Msk (0x4UL) /*!< PE PE_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR1_Pos (1UL) /*!< PE PE_OUTDR: OUTDR1 (Bit 1) */ +#define PE_PE_OUTDR_OUTDR1_Msk (0x2UL) /*!< PE PE_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDR_OUTDR0_Pos (0UL) /*!< PE PE_OUTDR: OUTDR0 (Bit 0) */ +#define PE_PE_OUTDR_OUTDR0_Msk (0x1UL) /*!< PE PE_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PE_BSR ========================================================= */ +#define PE_PE_BSR_BSR15_Pos (15UL) /*!< PE PE_BSR: BSR15 (Bit 15) */ +#define PE_PE_BSR_BSR15_Msk (0x8000UL) /*!< PE PE_BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR14_Pos (14UL) /*!< PE PE_BSR: BSR14 (Bit 14) */ +#define PE_PE_BSR_BSR14_Msk (0x4000UL) /*!< PE PE_BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR13_Pos (13UL) /*!< PE PE_BSR: BSR13 (Bit 13) */ +#define PE_PE_BSR_BSR13_Msk (0x2000UL) /*!< PE PE_BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR12_Pos (12UL) /*!< PE PE_BSR: BSR12 (Bit 12) */ +#define PE_PE_BSR_BSR12_Msk (0x1000UL) /*!< PE PE_BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR11_Pos (11UL) /*!< PE PE_BSR: BSR11 (Bit 11) */ +#define PE_PE_BSR_BSR11_Msk (0x800UL) /*!< PE PE_BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR10_Pos (10UL) /*!< PE PE_BSR: BSR10 (Bit 10) */ +#define PE_PE_BSR_BSR10_Msk (0x400UL) /*!< PE PE_BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR9_Pos (9UL) /*!< PE PE_BSR: BSR9 (Bit 9) */ +#define PE_PE_BSR_BSR9_Msk (0x200UL) /*!< PE PE_BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR8_Pos (8UL) /*!< PE PE_BSR: BSR8 (Bit 8) */ +#define PE_PE_BSR_BSR8_Msk (0x100UL) /*!< PE PE_BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR7_Pos (7UL) /*!< PE PE_BSR: BSR7 (Bit 7) */ +#define PE_PE_BSR_BSR7_Msk (0x80UL) /*!< PE PE_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR6_Pos (6UL) /*!< PE PE_BSR: BSR6 (Bit 6) */ +#define PE_PE_BSR_BSR6_Msk (0x40UL) /*!< PE PE_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR5_Pos (5UL) /*!< PE PE_BSR: BSR5 (Bit 5) */ +#define PE_PE_BSR_BSR5_Msk (0x20UL) /*!< PE PE_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR4_Pos (4UL) /*!< PE PE_BSR: BSR4 (Bit 4) */ +#define PE_PE_BSR_BSR4_Msk (0x10UL) /*!< PE PE_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR3_Pos (3UL) /*!< PE PE_BSR: BSR3 (Bit 3) */ +#define PE_PE_BSR_BSR3_Msk (0x8UL) /*!< PE PE_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR2_Pos (2UL) /*!< PE PE_BSR: BSR2 (Bit 2) */ +#define PE_PE_BSR_BSR2_Msk (0x4UL) /*!< PE PE_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR1_Pos (1UL) /*!< PE PE_BSR: BSR1 (Bit 1) */ +#define PE_PE_BSR_BSR1_Msk (0x2UL) /*!< PE PE_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PE_PE_BSR_BSR0_Pos (0UL) /*!< PE PE_BSR: BSR0 (Bit 0) */ +#define PE_PE_BSR_BSR0_Msk (0x1UL) /*!< PE PE_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PE_BCR ========================================================= */ +#define PE_PE_BCR_BCR15_Pos (15UL) /*!< PE PE_BCR: BCR15 (Bit 15) */ +#define PE_PE_BCR_BCR15_Msk (0x8000UL) /*!< PE PE_BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR14_Pos (14UL) /*!< PE PE_BCR: BCR14 (Bit 14) */ +#define PE_PE_BCR_BCR14_Msk (0x4000UL) /*!< PE PE_BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR13_Pos (13UL) /*!< PE PE_BCR: BCR13 (Bit 13) */ +#define PE_PE_BCR_BCR13_Msk (0x2000UL) /*!< PE PE_BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR12_Pos (12UL) /*!< PE PE_BCR: BCR12 (Bit 12) */ +#define PE_PE_BCR_BCR12_Msk (0x1000UL) /*!< PE PE_BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR11_Pos (11UL) /*!< PE PE_BCR: BCR11 (Bit 11) */ +#define PE_PE_BCR_BCR11_Msk (0x800UL) /*!< PE PE_BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR10_Pos (10UL) /*!< PE PE_BCR: BCR10 (Bit 10) */ +#define PE_PE_BCR_BCR10_Msk (0x400UL) /*!< PE PE_BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR9_Pos (9UL) /*!< PE PE_BCR: BCR9 (Bit 9) */ +#define PE_PE_BCR_BCR9_Msk (0x200UL) /*!< PE PE_BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR8_Pos (8UL) /*!< PE PE_BCR: BCR8 (Bit 8) */ +#define PE_PE_BCR_BCR8_Msk (0x100UL) /*!< PE PE_BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR7_Pos (7UL) /*!< PE PE_BCR: BCR7 (Bit 7) */ +#define PE_PE_BCR_BCR7_Msk (0x80UL) /*!< PE PE_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR6_Pos (6UL) /*!< PE PE_BCR: BCR6 (Bit 6) */ +#define PE_PE_BCR_BCR6_Msk (0x40UL) /*!< PE PE_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR5_Pos (5UL) /*!< PE PE_BCR: BCR5 (Bit 5) */ +#define PE_PE_BCR_BCR5_Msk (0x20UL) /*!< PE PE_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR4_Pos (4UL) /*!< PE PE_BCR: BCR4 (Bit 4) */ +#define PE_PE_BCR_BCR4_Msk (0x10UL) /*!< PE PE_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR3_Pos (3UL) /*!< PE PE_BCR: BCR3 (Bit 3) */ +#define PE_PE_BCR_BCR3_Msk (0x8UL) /*!< PE PE_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR2_Pos (2UL) /*!< PE PE_BCR: BCR2 (Bit 2) */ +#define PE_PE_BCR_BCR2_Msk (0x4UL) /*!< PE PE_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR1_Pos (1UL) /*!< PE PE_BCR: BCR1 (Bit 1) */ +#define PE_PE_BCR_BCR1_Msk (0x2UL) /*!< PE PE_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PE_PE_BCR_BCR0_Pos (0UL) /*!< PE PE_BCR: BCR0 (Bit 0) */ +#define PE_PE_BCR_BCR0_Msk (0x1UL) /*!< PE PE_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PE_OUTDMSK ======================================================= */ +#define PE_PE_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PE PE_OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PE_PE_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PE PE_OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PE PE_OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PE_PE_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PE PE_OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PE PE_OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PE_PE_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PE PE_OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PE PE_OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PE_PE_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PE PE_OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PE PE_OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PE_PE_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PE PE_OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PE PE_OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PE_PE_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PE PE_OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PE PE_OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PE_PE_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PE PE_OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PE PE_OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PE_PE_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PE PE_OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PE PE_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PE_PE_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PE PE_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PE PE_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PE_PE_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PE PE_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PE PE_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PE_PE_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PE PE_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PE PE_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PE_PE_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PE PE_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PE PE_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PE_PE_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PE PE_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PE PE_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PE_PE_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PE PE_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PE PE_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PE_PE_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PE PE_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PE_PE_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PE PE_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PE_PE_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PE PE_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PE_DBCR ======================================================== */ +#define PE_PE_DBCR_DBCLK_Pos (16UL) /*!< PE PE_DBCR: DBCLK (Bit 16) */ +#define PE_PE_DBCR_DBCLK_Msk (0x70000UL) /*!< PE PE_DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PE_PE_DBCR_DBEN3_Pos (3UL) /*!< PE PE_DBCR: DBEN3 (Bit 3) */ +#define PE_PE_DBCR_DBEN3_Msk (0x8UL) /*!< PE PE_DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PE_PE_DBCR_DBEN2_Pos (2UL) /*!< PE PE_DBCR: DBEN2 (Bit 2) */ +#define PE_PE_DBCR_DBEN2_Msk (0x4UL) /*!< PE PE_DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PE_PE_DBCR_DBEN1_Pos (1UL) /*!< PE PE_DBCR: DBEN1 (Bit 1) */ +#define PE_PE_DBCR_DBEN1_Msk (0x2UL) /*!< PE PE_DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PE_PE_DBCR_DBEN0_Pos (0UL) /*!< PE PE_DBCR: DBEN0 (Bit 0) */ +#define PE_PE_DBCR_DBEN0_Msk (0x1UL) /*!< PE PE_DBCR: DBEN0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ PF ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +#define PF_MOD_MODE15_Pos (30UL) /*!< PF MOD: MODE15 (Bit 30) */ +#define PF_MOD_MODE15_Msk (0xc0000000UL) /*!< PF MOD: MODE15 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE14_Pos (28UL) /*!< PF MOD: MODE14 (Bit 28) */ +#define PF_MOD_MODE14_Msk (0x30000000UL) /*!< PF MOD: MODE14 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE13_Pos (26UL) /*!< PF MOD: MODE13 (Bit 26) */ +#define PF_MOD_MODE13_Msk (0xc000000UL) /*!< PF MOD: MODE13 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE12_Pos (24UL) /*!< PF MOD: MODE12 (Bit 24) */ +#define PF_MOD_MODE12_Msk (0x3000000UL) /*!< PF MOD: MODE12 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE11_Pos (22UL) /*!< PF MOD: MODE11 (Bit 22) */ +#define PF_MOD_MODE11_Msk (0xc00000UL) /*!< PF MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE10_Pos (20UL) /*!< PF MOD: MODE10 (Bit 20) */ +#define PF_MOD_MODE10_Msk (0x300000UL) /*!< PF MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE9_Pos (18UL) /*!< PF MOD: MODE9 (Bit 18) */ +#define PF_MOD_MODE9_Msk (0xc0000UL) /*!< PF MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE8_Pos (16UL) /*!< PF MOD: MODE8 (Bit 16) */ +#define PF_MOD_MODE8_Msk (0x30000UL) /*!< PF MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE7_Pos (14UL) /*!< PF MOD: MODE7 (Bit 14) */ +#define PF_MOD_MODE7_Msk (0xc000UL) /*!< PF MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE6_Pos (12UL) /*!< PF MOD: MODE6 (Bit 12) */ +#define PF_MOD_MODE6_Msk (0x3000UL) /*!< PF MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE5_Pos (10UL) /*!< PF MOD: MODE5 (Bit 10) */ +#define PF_MOD_MODE5_Msk (0xc00UL) /*!< PF MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE4_Pos (8UL) /*!< PF MOD: MODE4 (Bit 8) */ +#define PF_MOD_MODE4_Msk (0x300UL) /*!< PF MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE3_Pos (6UL) /*!< PF MOD: MODE3 (Bit 6) */ +#define PF_MOD_MODE3_Msk (0xc0UL) /*!< PF MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE2_Pos (4UL) /*!< PF MOD: MODE2 (Bit 4) */ +#define PF_MOD_MODE2_Msk (0x30UL) /*!< PF MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE1_Pos (2UL) /*!< PF MOD: MODE1 (Bit 2) */ +#define PF_MOD_MODE1_Msk (0xcUL) /*!< PF MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PF_MOD_MODE0_Pos (0UL) /*!< PF MOD: MODE0 (Bit 0) */ +#define PF_MOD_MODE0_Msk (0x3UL) /*!< PF MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ========================================================== TYP ========================================================== */ +#define PF_TYP_TYP15_Pos (15UL) /*!< PF TYP: TYP15 (Bit 15) */ +#define PF_TYP_TYP15_Msk (0x8000UL) /*!< PF TYP: TYP15 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP14_Pos (14UL) /*!< PF TYP: TYP14 (Bit 14) */ +#define PF_TYP_TYP14_Msk (0x4000UL) /*!< PF TYP: TYP14 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP13_Pos (13UL) /*!< PF TYP: TYP13 (Bit 13) */ +#define PF_TYP_TYP13_Msk (0x2000UL) /*!< PF TYP: TYP13 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP12_Pos (12UL) /*!< PF TYP: TYP12 (Bit 12) */ +#define PF_TYP_TYP12_Msk (0x1000UL) /*!< PF TYP: TYP12 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP11_Pos (11UL) /*!< PF TYP: TYP11 (Bit 11) */ +#define PF_TYP_TYP11_Msk (0x800UL) /*!< PF TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP10_Pos (10UL) /*!< PF TYP: TYP10 (Bit 10) */ +#define PF_TYP_TYP10_Msk (0x400UL) /*!< PF TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP9_Pos (9UL) /*!< PF TYP: TYP9 (Bit 9) */ +#define PF_TYP_TYP9_Msk (0x200UL) /*!< PF TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP8_Pos (8UL) /*!< PF TYP: TYP8 (Bit 8) */ +#define PF_TYP_TYP8_Msk (0x100UL) /*!< PF TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP7_Pos (7UL) /*!< PF TYP: TYP7 (Bit 7) */ +#define PF_TYP_TYP7_Msk (0x80UL) /*!< PF TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP6_Pos (6UL) /*!< PF TYP: TYP6 (Bit 6) */ +#define PF_TYP_TYP6_Msk (0x40UL) /*!< PF TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP5_Pos (5UL) /*!< PF TYP: TYP5 (Bit 5) */ +#define PF_TYP_TYP5_Msk (0x20UL) /*!< PF TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP4_Pos (4UL) /*!< PF TYP: TYP4 (Bit 4) */ +#define PF_TYP_TYP4_Msk (0x10UL) /*!< PF TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP3_Pos (3UL) /*!< PF TYP: TYP3 (Bit 3) */ +#define PF_TYP_TYP3_Msk (0x8UL) /*!< PF TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP2_Pos (2UL) /*!< PF TYP: TYP2 (Bit 2) */ +#define PF_TYP_TYP2_Msk (0x4UL) /*!< PF TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP1_Pos (1UL) /*!< PF TYP: TYP1 (Bit 1) */ +#define PF_TYP_TYP1_Msk (0x2UL) /*!< PF TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PF_TYP_TYP0_Pos (0UL) /*!< PF TYP: TYP0 (Bit 0) */ +#define PF_TYP_TYP0_Msk (0x1UL) /*!< PF TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ========================================================= AFSR1 ========================================================= */ +#define PF_AFSR1_AFSR7_Pos (28UL) /*!< PF AFSR1: AFSR7 (Bit 28) */ +#define PF_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PF AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR6_Pos (24UL) /*!< PF AFSR1: AFSR6 (Bit 24) */ +#define PF_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PF AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR5_Pos (20UL) /*!< PF AFSR1: AFSR5 (Bit 20) */ +#define PF_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PF AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR4_Pos (16UL) /*!< PF AFSR1: AFSR4 (Bit 16) */ +#define PF_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PF AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR3_Pos (12UL) /*!< PF AFSR1: AFSR3 (Bit 12) */ +#define PF_AFSR1_AFSR3_Msk (0xf000UL) /*!< PF AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR2_Pos (8UL) /*!< PF AFSR1: AFSR2 (Bit 8) */ +#define PF_AFSR1_AFSR2_Msk (0xf00UL) /*!< PF AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR1_Pos (4UL) /*!< PF AFSR1: AFSR1 (Bit 4) */ +#define PF_AFSR1_AFSR1_Msk (0xf0UL) /*!< PF AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR1_AFSR0_Pos (0UL) /*!< PF AFSR1: AFSR0 (Bit 0) */ +#define PF_AFSR1_AFSR0_Msk (0xfUL) /*!< PF AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ========================================================= AFSR2 ========================================================= */ +#define PF_AFSR2_AFSR15_Pos (28UL) /*!< PF AFSR2: AFSR15 (Bit 28) */ +#define PF_AFSR2_AFSR15_Msk (0xf0000000UL) /*!< PF AFSR2: AFSR15 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR14_Pos (24UL) /*!< PF AFSR2: AFSR14 (Bit 24) */ +#define PF_AFSR2_AFSR14_Msk (0xf000000UL) /*!< PF AFSR2: AFSR14 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR13_Pos (20UL) /*!< PF AFSR2: AFSR13 (Bit 20) */ +#define PF_AFSR2_AFSR13_Msk (0xf00000UL) /*!< PF AFSR2: AFSR13 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR12_Pos (16UL) /*!< PF AFSR2: AFSR12 (Bit 16) */ +#define PF_AFSR2_AFSR12_Msk (0xf0000UL) /*!< PF AFSR2: AFSR12 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR11_Pos (12UL) /*!< PF AFSR2: AFSR11 (Bit 12) */ +#define PF_AFSR2_AFSR11_Msk (0xf000UL) /*!< PF AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR10_Pos (8UL) /*!< PF AFSR2: AFSR10 (Bit 8) */ +#define PF_AFSR2_AFSR10_Msk (0xf00UL) /*!< PF AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR9_Pos (4UL) /*!< PF AFSR2: AFSR9 (Bit 4) */ +#define PF_AFSR2_AFSR9_Msk (0xf0UL) /*!< PF AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PF_AFSR2_AFSR8_Pos (0UL) /*!< PF AFSR2: AFSR8 (Bit 0) */ +#define PF_AFSR2_AFSR8_Msk (0xfUL) /*!< PF AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ========================================================= PUPD ========================================================== */ +#define PF_PUPD_PUPD15_Pos (30UL) /*!< PF PUPD: PUPD15 (Bit 30) */ +#define PF_PUPD_PUPD15_Msk (0xc0000000UL) /*!< PF PUPD: PUPD15 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD14_Pos (28UL) /*!< PF PUPD: PUPD14 (Bit 28) */ +#define PF_PUPD_PUPD14_Msk (0x30000000UL) /*!< PF PUPD: PUPD14 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD13_Pos (26UL) /*!< PF PUPD: PUPD13 (Bit 26) */ +#define PF_PUPD_PUPD13_Msk (0xc000000UL) /*!< PF PUPD: PUPD13 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD12_Pos (24UL) /*!< PF PUPD: PUPD12 (Bit 24) */ +#define PF_PUPD_PUPD12_Msk (0x3000000UL) /*!< PF PUPD: PUPD12 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD11_Pos (22UL) /*!< PF PUPD: PUPD11 (Bit 22) */ +#define PF_PUPD_PUPD11_Msk (0xc00000UL) /*!< PF PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD10_Pos (20UL) /*!< PF PUPD: PUPD10 (Bit 20) */ +#define PF_PUPD_PUPD10_Msk (0x300000UL) /*!< PF PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD9_Pos (18UL) /*!< PF PUPD: PUPD9 (Bit 18) */ +#define PF_PUPD_PUPD9_Msk (0xc0000UL) /*!< PF PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD8_Pos (16UL) /*!< PF PUPD: PUPD8 (Bit 16) */ +#define PF_PUPD_PUPD8_Msk (0x30000UL) /*!< PF PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD7_Pos (14UL) /*!< PF PUPD: PUPD7 (Bit 14) */ +#define PF_PUPD_PUPD7_Msk (0xc000UL) /*!< PF PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD6_Pos (12UL) /*!< PF PUPD: PUPD6 (Bit 12) */ +#define PF_PUPD_PUPD6_Msk (0x3000UL) /*!< PF PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD5_Pos (10UL) /*!< PF PUPD: PUPD5 (Bit 10) */ +#define PF_PUPD_PUPD5_Msk (0xc00UL) /*!< PF PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD4_Pos (8UL) /*!< PF PUPD: PUPD4 (Bit 8) */ +#define PF_PUPD_PUPD4_Msk (0x300UL) /*!< PF PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD3_Pos (6UL) /*!< PF PUPD: PUPD3 (Bit 6) */ +#define PF_PUPD_PUPD3_Msk (0xc0UL) /*!< PF PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD2_Pos (4UL) /*!< PF PUPD: PUPD2 (Bit 4) */ +#define PF_PUPD_PUPD2_Msk (0x30UL) /*!< PF PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD1_Pos (2UL) /*!< PF PUPD: PUPD1 (Bit 2) */ +#define PF_PUPD_PUPD1_Msk (0xcUL) /*!< PF PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PF_PUPD_PUPD0_Pos (0UL) /*!< PF PUPD: PUPD0 (Bit 0) */ +#define PF_PUPD_PUPD0_Msk (0x3UL) /*!< PF PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ========================================================= INDR ========================================================== */ +#define PF_INDR_INDR15_Pos (15UL) /*!< PF INDR: INDR15 (Bit 15) */ +#define PF_INDR_INDR15_Msk (0x8000UL) /*!< PF INDR: INDR15 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR14_Pos (14UL) /*!< PF INDR: INDR14 (Bit 14) */ +#define PF_INDR_INDR14_Msk (0x4000UL) /*!< PF INDR: INDR14 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR13_Pos (13UL) /*!< PF INDR: INDR13 (Bit 13) */ +#define PF_INDR_INDR13_Msk (0x2000UL) /*!< PF INDR: INDR13 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR12_Pos (12UL) /*!< PF INDR: INDR12 (Bit 12) */ +#define PF_INDR_INDR12_Msk (0x1000UL) /*!< PF INDR: INDR12 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR11_Pos (11UL) /*!< PF INDR: INDR11 (Bit 11) */ +#define PF_INDR_INDR11_Msk (0x800UL) /*!< PF INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR10_Pos (10UL) /*!< PF INDR: INDR10 (Bit 10) */ +#define PF_INDR_INDR10_Msk (0x400UL) /*!< PF INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR9_Pos (9UL) /*!< PF INDR: INDR9 (Bit 9) */ +#define PF_INDR_INDR9_Msk (0x200UL) /*!< PF INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR8_Pos (8UL) /*!< PF INDR: INDR8 (Bit 8) */ +#define PF_INDR_INDR8_Msk (0x100UL) /*!< PF INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR7_Pos (7UL) /*!< PF INDR: INDR7 (Bit 7) */ +#define PF_INDR_INDR7_Msk (0x80UL) /*!< PF INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR6_Pos (6UL) /*!< PF INDR: INDR6 (Bit 6) */ +#define PF_INDR_INDR6_Msk (0x40UL) /*!< PF INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR5_Pos (5UL) /*!< PF INDR: INDR5 (Bit 5) */ +#define PF_INDR_INDR5_Msk (0x20UL) /*!< PF INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR4_Pos (4UL) /*!< PF INDR: INDR4 (Bit 4) */ +#define PF_INDR_INDR4_Msk (0x10UL) /*!< PF INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR3_Pos (3UL) /*!< PF INDR: INDR3 (Bit 3) */ +#define PF_INDR_INDR3_Msk (0x8UL) /*!< PF INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR2_Pos (2UL) /*!< PF INDR: INDR2 (Bit 2) */ +#define PF_INDR_INDR2_Msk (0x4UL) /*!< PF INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR1_Pos (1UL) /*!< PF INDR: INDR1 (Bit 1) */ +#define PF_INDR_INDR1_Msk (0x2UL) /*!< PF INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PF_INDR_INDR0_Pos (0UL) /*!< PF INDR: INDR0 (Bit 0) */ +#define PF_INDR_INDR0_Msk (0x1UL) /*!< PF INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================= OUTDR ========================================================= */ +#define PF_OUTDR_OUTDR15_Pos (15UL) /*!< PF OUTDR: OUTDR15 (Bit 15) */ +#define PF_OUTDR_OUTDR15_Msk (0x8000UL) /*!< PF OUTDR: OUTDR15 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR14_Pos (14UL) /*!< PF OUTDR: OUTDR14 (Bit 14) */ +#define PF_OUTDR_OUTDR14_Msk (0x4000UL) /*!< PF OUTDR: OUTDR14 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR13_Pos (13UL) /*!< PF OUTDR: OUTDR13 (Bit 13) */ +#define PF_OUTDR_OUTDR13_Msk (0x2000UL) /*!< PF OUTDR: OUTDR13 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR12_Pos (12UL) /*!< PF OUTDR: OUTDR12 (Bit 12) */ +#define PF_OUTDR_OUTDR12_Msk (0x1000UL) /*!< PF OUTDR: OUTDR12 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR11_Pos (11UL) /*!< PF OUTDR: OUTDR11 (Bit 11) */ +#define PF_OUTDR_OUTDR11_Msk (0x800UL) /*!< PF OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR10_Pos (10UL) /*!< PF OUTDR: OUTDR10 (Bit 10) */ +#define PF_OUTDR_OUTDR10_Msk (0x400UL) /*!< PF OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR9_Pos (9UL) /*!< PF OUTDR: OUTDR9 (Bit 9) */ +#define PF_OUTDR_OUTDR9_Msk (0x200UL) /*!< PF OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR8_Pos (8UL) /*!< PF OUTDR: OUTDR8 (Bit 8) */ +#define PF_OUTDR_OUTDR8_Msk (0x100UL) /*!< PF OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR7_Pos (7UL) /*!< PF OUTDR: OUTDR7 (Bit 7) */ +#define PF_OUTDR_OUTDR7_Msk (0x80UL) /*!< PF OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR6_Pos (6UL) /*!< PF OUTDR: OUTDR6 (Bit 6) */ +#define PF_OUTDR_OUTDR6_Msk (0x40UL) /*!< PF OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR5_Pos (5UL) /*!< PF OUTDR: OUTDR5 (Bit 5) */ +#define PF_OUTDR_OUTDR5_Msk (0x20UL) /*!< PF OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR4_Pos (4UL) /*!< PF OUTDR: OUTDR4 (Bit 4) */ +#define PF_OUTDR_OUTDR4_Msk (0x10UL) /*!< PF OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR3_Pos (3UL) /*!< PF OUTDR: OUTDR3 (Bit 3) */ +#define PF_OUTDR_OUTDR3_Msk (0x8UL) /*!< PF OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR2_Pos (2UL) /*!< PF OUTDR: OUTDR2 (Bit 2) */ +#define PF_OUTDR_OUTDR2_Msk (0x4UL) /*!< PF OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR1_Pos (1UL) /*!< PF OUTDR: OUTDR1 (Bit 1) */ +#define PF_OUTDR_OUTDR1_Msk (0x2UL) /*!< PF OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PF_OUTDR_OUTDR0_Pos (0UL) /*!< PF OUTDR: OUTDR0 (Bit 0) */ +#define PF_OUTDR_OUTDR0_Msk (0x1UL) /*!< PF OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BSR ========================================================== */ +#define PF_BSR_BSR15_Pos (15UL) /*!< PF BSR: BSR15 (Bit 15) */ +#define PF_BSR_BSR15_Msk (0x8000UL) /*!< PF BSR: BSR15 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR14_Pos (14UL) /*!< PF BSR: BSR14 (Bit 14) */ +#define PF_BSR_BSR14_Msk (0x4000UL) /*!< PF BSR: BSR14 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR13_Pos (13UL) /*!< PF BSR: BSR13 (Bit 13) */ +#define PF_BSR_BSR13_Msk (0x2000UL) /*!< PF BSR: BSR13 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR12_Pos (12UL) /*!< PF BSR: BSR12 (Bit 12) */ +#define PF_BSR_BSR12_Msk (0x1000UL) /*!< PF BSR: BSR12 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR11_Pos (11UL) /*!< PF BSR: BSR11 (Bit 11) */ +#define PF_BSR_BSR11_Msk (0x800UL) /*!< PF BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR10_Pos (10UL) /*!< PF BSR: BSR10 (Bit 10) */ +#define PF_BSR_BSR10_Msk (0x400UL) /*!< PF BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR9_Pos (9UL) /*!< PF BSR: BSR9 (Bit 9) */ +#define PF_BSR_BSR9_Msk (0x200UL) /*!< PF BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR8_Pos (8UL) /*!< PF BSR: BSR8 (Bit 8) */ +#define PF_BSR_BSR8_Msk (0x100UL) /*!< PF BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR7_Pos (7UL) /*!< PF BSR: BSR7 (Bit 7) */ +#define PF_BSR_BSR7_Msk (0x80UL) /*!< PF BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR6_Pos (6UL) /*!< PF BSR: BSR6 (Bit 6) */ +#define PF_BSR_BSR6_Msk (0x40UL) /*!< PF BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR5_Pos (5UL) /*!< PF BSR: BSR5 (Bit 5) */ +#define PF_BSR_BSR5_Msk (0x20UL) /*!< PF BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR4_Pos (4UL) /*!< PF BSR: BSR4 (Bit 4) */ +#define PF_BSR_BSR4_Msk (0x10UL) /*!< PF BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR3_Pos (3UL) /*!< PF BSR: BSR3 (Bit 3) */ +#define PF_BSR_BSR3_Msk (0x8UL) /*!< PF BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR2_Pos (2UL) /*!< PF BSR: BSR2 (Bit 2) */ +#define PF_BSR_BSR2_Msk (0x4UL) /*!< PF BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR1_Pos (1UL) /*!< PF BSR: BSR1 (Bit 1) */ +#define PF_BSR_BSR1_Msk (0x2UL) /*!< PF BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PF_BSR_BSR0_Pos (0UL) /*!< PF BSR: BSR0 (Bit 0) */ +#define PF_BSR_BSR0_Msk (0x1UL) /*!< PF BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ========================================================== BCR ========================================================== */ +#define PF_BCR_BCR15_Pos (15UL) /*!< PF BCR: BCR15 (Bit 15) */ +#define PF_BCR_BCR15_Msk (0x8000UL) /*!< PF BCR: BCR15 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR14_Pos (14UL) /*!< PF BCR: BCR14 (Bit 14) */ +#define PF_BCR_BCR14_Msk (0x4000UL) /*!< PF BCR: BCR14 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR13_Pos (13UL) /*!< PF BCR: BCR13 (Bit 13) */ +#define PF_BCR_BCR13_Msk (0x2000UL) /*!< PF BCR: BCR13 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR12_Pos (12UL) /*!< PF BCR: BCR12 (Bit 12) */ +#define PF_BCR_BCR12_Msk (0x1000UL) /*!< PF BCR: BCR12 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR11_Pos (11UL) /*!< PF BCR: BCR11 (Bit 11) */ +#define PF_BCR_BCR11_Msk (0x800UL) /*!< PF BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR10_Pos (10UL) /*!< PF BCR: BCR10 (Bit 10) */ +#define PF_BCR_BCR10_Msk (0x400UL) /*!< PF BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR9_Pos (9UL) /*!< PF BCR: BCR9 (Bit 9) */ +#define PF_BCR_BCR9_Msk (0x200UL) /*!< PF BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR8_Pos (8UL) /*!< PF BCR: BCR8 (Bit 8) */ +#define PF_BCR_BCR8_Msk (0x100UL) /*!< PF BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR7_Pos (7UL) /*!< PF BCR: BCR7 (Bit 7) */ +#define PF_BCR_BCR7_Msk (0x80UL) /*!< PF BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR6_Pos (6UL) /*!< PF BCR: BCR6 (Bit 6) */ +#define PF_BCR_BCR6_Msk (0x40UL) /*!< PF BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR5_Pos (5UL) /*!< PF BCR: BCR5 (Bit 5) */ +#define PF_BCR_BCR5_Msk (0x20UL) /*!< PF BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR4_Pos (4UL) /*!< PF BCR: BCR4 (Bit 4) */ +#define PF_BCR_BCR4_Msk (0x10UL) /*!< PF BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR3_Pos (3UL) /*!< PF BCR: BCR3 (Bit 3) */ +#define PF_BCR_BCR3_Msk (0x8UL) /*!< PF BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR2_Pos (2UL) /*!< PF BCR: BCR2 (Bit 2) */ +#define PF_BCR_BCR2_Msk (0x4UL) /*!< PF BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR1_Pos (1UL) /*!< PF BCR: BCR1 (Bit 1) */ +#define PF_BCR_BCR1_Msk (0x2UL) /*!< PF BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PF_BCR_BCR0_Pos (0UL) /*!< PF BCR: BCR0 (Bit 0) */ +#define PF_BCR_BCR0_Msk (0x1UL) /*!< PF BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== OUTDMSK ======================================================== */ +#define PF_OUTDMSK_OUTDMSK15_Pos (15UL) /*!< PF OUTDMSK: OUTDMSK15 (Bit 15) */ +#define PF_OUTDMSK_OUTDMSK15_Msk (0x8000UL) /*!< PF OUTDMSK: OUTDMSK15 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK14_Pos (14UL) /*!< PF OUTDMSK: OUTDMSK14 (Bit 14) */ +#define PF_OUTDMSK_OUTDMSK14_Msk (0x4000UL) /*!< PF OUTDMSK: OUTDMSK14 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK13_Pos (13UL) /*!< PF OUTDMSK: OUTDMSK13 (Bit 13) */ +#define PF_OUTDMSK_OUTDMSK13_Msk (0x2000UL) /*!< PF OUTDMSK: OUTDMSK13 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK12_Pos (12UL) /*!< PF OUTDMSK: OUTDMSK12 (Bit 12) */ +#define PF_OUTDMSK_OUTDMSK12_Msk (0x1000UL) /*!< PF OUTDMSK: OUTDMSK12 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PF OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PF_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PF OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PF OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PF_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PF OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PF OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PF_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PF OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PF OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PF_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PF OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PF OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PF_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PF OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PF OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PF_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PF OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PF OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PF_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PF OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PF OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PF_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PF OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PF OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PF_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PF OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PF OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PF_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PF OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PF OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PF_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PF OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PF_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PF OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PF_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PF OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ +/* ========================================================= DBCR ========================================================== */ +#define PF_DBCR_DBCLK_Pos (16UL) /*!< PF DBCR: DBCLK (Bit 16) */ +#define PF_DBCR_DBCLK_Msk (0x70000UL) /*!< PF DBCR: DBCLK (Bitfield-Mask: 0x07) */ +#define PF_DBCR_DBEN11_Pos (11UL) /*!< PF DBCR: DBEN11 (Bit 11) */ +#define PF_DBCR_DBEN11_Msk (0x800UL) /*!< PF DBCR: DBEN11 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN10_Pos (10UL) /*!< PF DBCR: DBEN10 (Bit 10) */ +#define PF_DBCR_DBEN10_Msk (0x400UL) /*!< PF DBCR: DBEN10 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN9_Pos (9UL) /*!< PF DBCR: DBEN9 (Bit 9) */ +#define PF_DBCR_DBEN9_Msk (0x200UL) /*!< PF DBCR: DBEN9 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN8_Pos (8UL) /*!< PF DBCR: DBEN8 (Bit 8) */ +#define PF_DBCR_DBEN8_Msk (0x100UL) /*!< PF DBCR: DBEN8 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN7_Pos (7UL) /*!< PF DBCR: DBEN7 (Bit 7) */ +#define PF_DBCR_DBEN7_Msk (0x80UL) /*!< PF DBCR: DBEN7 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN6_Pos (6UL) /*!< PF DBCR: DBEN6 (Bit 6) */ +#define PF_DBCR_DBEN6_Msk (0x40UL) /*!< PF DBCR: DBEN6 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN5_Pos (5UL) /*!< PF DBCR: DBEN5 (Bit 5) */ +#define PF_DBCR_DBEN5_Msk (0x20UL) /*!< PF DBCR: DBEN5 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN4_Pos (4UL) /*!< PF DBCR: DBEN4 (Bit 4) */ +#define PF_DBCR_DBEN4_Msk (0x10UL) /*!< PF DBCR: DBEN4 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN3_Pos (3UL) /*!< PF DBCR: DBEN3 (Bit 3) */ +#define PF_DBCR_DBEN3_Msk (0x8UL) /*!< PF DBCR: DBEN3 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN2_Pos (2UL) /*!< PF DBCR: DBEN2 (Bit 2) */ +#define PF_DBCR_DBEN2_Msk (0x4UL) /*!< PF DBCR: DBEN2 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN1_Pos (1UL) /*!< PF DBCR: DBEN1 (Bit 1) */ +#define PF_DBCR_DBEN1_Msk (0x2UL) /*!< PF DBCR: DBEN1 (Bitfield-Mask: 0x01) */ +#define PF_DBCR_DBEN0_Pos (0UL) /*!< PF DBCR: DBEN0 (Bit 0) */ +#define PF_DBCR_DBEN0_Msk (0x1UL) /*!< PF DBCR: DBEN0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PF_MOD ========================================================= */ +#define PF_PF_MOD_MODE11_Pos (22UL) /*!< PF PF_MOD: MODE11 (Bit 22) */ +#define PF_PF_MOD_MODE11_Msk (0xc00000UL) /*!< PF PF_MOD: MODE11 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE10_Pos (20UL) /*!< PF PF_MOD: MODE10 (Bit 20) */ +#define PF_PF_MOD_MODE10_Msk (0x300000UL) /*!< PF PF_MOD: MODE10 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE9_Pos (18UL) /*!< PF PF_MOD: MODE9 (Bit 18) */ +#define PF_PF_MOD_MODE9_Msk (0xc0000UL) /*!< PF PF_MOD: MODE9 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE8_Pos (16UL) /*!< PF PF_MOD: MODE8 (Bit 16) */ +#define PF_PF_MOD_MODE8_Msk (0x30000UL) /*!< PF PF_MOD: MODE8 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE7_Pos (14UL) /*!< PF PF_MOD: MODE7 (Bit 14) */ +#define PF_PF_MOD_MODE7_Msk (0xc000UL) /*!< PF PF_MOD: MODE7 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE6_Pos (12UL) /*!< PF PF_MOD: MODE6 (Bit 12) */ +#define PF_PF_MOD_MODE6_Msk (0x3000UL) /*!< PF PF_MOD: MODE6 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE5_Pos (10UL) /*!< PF PF_MOD: MODE5 (Bit 10) */ +#define PF_PF_MOD_MODE5_Msk (0xc00UL) /*!< PF PF_MOD: MODE5 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE4_Pos (8UL) /*!< PF PF_MOD: MODE4 (Bit 8) */ +#define PF_PF_MOD_MODE4_Msk (0x300UL) /*!< PF PF_MOD: MODE4 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE3_Pos (6UL) /*!< PF PF_MOD: MODE3 (Bit 6) */ +#define PF_PF_MOD_MODE3_Msk (0xc0UL) /*!< PF PF_MOD: MODE3 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE2_Pos (4UL) /*!< PF PF_MOD: MODE2 (Bit 4) */ +#define PF_PF_MOD_MODE2_Msk (0x30UL) /*!< PF PF_MOD: MODE2 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE1_Pos (2UL) /*!< PF PF_MOD: MODE1 (Bit 2) */ +#define PF_PF_MOD_MODE1_Msk (0xcUL) /*!< PF PF_MOD: MODE1 (Bitfield-Mask: 0x03) */ +#define PF_PF_MOD_MODE0_Pos (0UL) /*!< PF PF_MOD: MODE0 (Bit 0) */ +#define PF_PF_MOD_MODE0_Msk (0x3UL) /*!< PF PF_MOD: MODE0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PF_TYP ========================================================= */ +#define PF_PF_TYP_TYP11_Pos (11UL) /*!< PF PF_TYP: TYP11 (Bit 11) */ +#define PF_PF_TYP_TYP11_Msk (0x800UL) /*!< PF PF_TYP: TYP11 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP10_Pos (10UL) /*!< PF PF_TYP: TYP10 (Bit 10) */ +#define PF_PF_TYP_TYP10_Msk (0x400UL) /*!< PF PF_TYP: TYP10 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP9_Pos (9UL) /*!< PF PF_TYP: TYP9 (Bit 9) */ +#define PF_PF_TYP_TYP9_Msk (0x200UL) /*!< PF PF_TYP: TYP9 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP8_Pos (8UL) /*!< PF PF_TYP: TYP8 (Bit 8) */ +#define PF_PF_TYP_TYP8_Msk (0x100UL) /*!< PF PF_TYP: TYP8 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP7_Pos (7UL) /*!< PF PF_TYP: TYP7 (Bit 7) */ +#define PF_PF_TYP_TYP7_Msk (0x80UL) /*!< PF PF_TYP: TYP7 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP6_Pos (6UL) /*!< PF PF_TYP: TYP6 (Bit 6) */ +#define PF_PF_TYP_TYP6_Msk (0x40UL) /*!< PF PF_TYP: TYP6 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP5_Pos (5UL) /*!< PF PF_TYP: TYP5 (Bit 5) */ +#define PF_PF_TYP_TYP5_Msk (0x20UL) /*!< PF PF_TYP: TYP5 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP4_Pos (4UL) /*!< PF PF_TYP: TYP4 (Bit 4) */ +#define PF_PF_TYP_TYP4_Msk (0x10UL) /*!< PF PF_TYP: TYP4 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP3_Pos (3UL) /*!< PF PF_TYP: TYP3 (Bit 3) */ +#define PF_PF_TYP_TYP3_Msk (0x8UL) /*!< PF PF_TYP: TYP3 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP2_Pos (2UL) /*!< PF PF_TYP: TYP2 (Bit 2) */ +#define PF_PF_TYP_TYP2_Msk (0x4UL) /*!< PF PF_TYP: TYP2 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP1_Pos (1UL) /*!< PF PF_TYP: TYP1 (Bit 1) */ +#define PF_PF_TYP_TYP1_Msk (0x2UL) /*!< PF PF_TYP: TYP1 (Bitfield-Mask: 0x01) */ +#define PF_PF_TYP_TYP0_Pos (0UL) /*!< PF PF_TYP: TYP0 (Bit 0) */ +#define PF_PF_TYP_TYP0_Msk (0x1UL) /*!< PF PF_TYP: TYP0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PF_AFSR1 ======================================================== */ +#define PF_PF_AFSR1_AFSR7_Pos (28UL) /*!< PF PF_AFSR1: AFSR7 (Bit 28) */ +#define PF_PF_AFSR1_AFSR7_Msk (0xf0000000UL) /*!< PF PF_AFSR1: AFSR7 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR6_Pos (24UL) /*!< PF PF_AFSR1: AFSR6 (Bit 24) */ +#define PF_PF_AFSR1_AFSR6_Msk (0xf000000UL) /*!< PF PF_AFSR1: AFSR6 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR5_Pos (20UL) /*!< PF PF_AFSR1: AFSR5 (Bit 20) */ +#define PF_PF_AFSR1_AFSR5_Msk (0xf00000UL) /*!< PF PF_AFSR1: AFSR5 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR4_Pos (16UL) /*!< PF PF_AFSR1: AFSR4 (Bit 16) */ +#define PF_PF_AFSR1_AFSR4_Msk (0xf0000UL) /*!< PF PF_AFSR1: AFSR4 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR3_Pos (12UL) /*!< PF PF_AFSR1: AFSR3 (Bit 12) */ +#define PF_PF_AFSR1_AFSR3_Msk (0xf000UL) /*!< PF PF_AFSR1: AFSR3 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR2_Pos (8UL) /*!< PF PF_AFSR1: AFSR2 (Bit 8) */ +#define PF_PF_AFSR1_AFSR2_Msk (0xf00UL) /*!< PF PF_AFSR1: AFSR2 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR1_Pos (4UL) /*!< PF PF_AFSR1: AFSR1 (Bit 4) */ +#define PF_PF_AFSR1_AFSR1_Msk (0xf0UL) /*!< PF PF_AFSR1: AFSR1 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR1_AFSR0_Pos (0UL) /*!< PF PF_AFSR1: AFSR0 (Bit 0) */ +#define PF_PF_AFSR1_AFSR0_Msk (0xfUL) /*!< PF PF_AFSR1: AFSR0 (Bitfield-Mask: 0x0f) */ +/* ======================================================= PF_AFSR2 ======================================================== */ +#define PF_PF_AFSR2_AFSR11_Pos (12UL) /*!< PF PF_AFSR2: AFSR11 (Bit 12) */ +#define PF_PF_AFSR2_AFSR11_Msk (0xf000UL) /*!< PF PF_AFSR2: AFSR11 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR2_AFSR10_Pos (8UL) /*!< PF PF_AFSR2: AFSR10 (Bit 8) */ +#define PF_PF_AFSR2_AFSR10_Msk (0xf00UL) /*!< PF PF_AFSR2: AFSR10 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR2_AFSR9_Pos (4UL) /*!< PF PF_AFSR2: AFSR9 (Bit 4) */ +#define PF_PF_AFSR2_AFSR9_Msk (0xf0UL) /*!< PF PF_AFSR2: AFSR9 (Bitfield-Mask: 0x0f) */ +#define PF_PF_AFSR2_AFSR8_Pos (0UL) /*!< PF PF_AFSR2: AFSR8 (Bit 0) */ +#define PF_PF_AFSR2_AFSR8_Msk (0xfUL) /*!< PF PF_AFSR2: AFSR8 (Bitfield-Mask: 0x0f) */ +/* ======================================================== PF_PUPD ======================================================== */ +#define PF_PF_PUPD_PUPD11_Pos (22UL) /*!< PF PF_PUPD: PUPD11 (Bit 22) */ +#define PF_PF_PUPD_PUPD11_Msk (0xc00000UL) /*!< PF PF_PUPD: PUPD11 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD10_Pos (20UL) /*!< PF PF_PUPD: PUPD10 (Bit 20) */ +#define PF_PF_PUPD_PUPD10_Msk (0x300000UL) /*!< PF PF_PUPD: PUPD10 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD9_Pos (18UL) /*!< PF PF_PUPD: PUPD9 (Bit 18) */ +#define PF_PF_PUPD_PUPD9_Msk (0xc0000UL) /*!< PF PF_PUPD: PUPD9 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD8_Pos (16UL) /*!< PF PF_PUPD: PUPD8 (Bit 16) */ +#define PF_PF_PUPD_PUPD8_Msk (0x30000UL) /*!< PF PF_PUPD: PUPD8 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD7_Pos (14UL) /*!< PF PF_PUPD: PUPD7 (Bit 14) */ +#define PF_PF_PUPD_PUPD7_Msk (0xc000UL) /*!< PF PF_PUPD: PUPD7 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD6_Pos (12UL) /*!< PF PF_PUPD: PUPD6 (Bit 12) */ +#define PF_PF_PUPD_PUPD6_Msk (0x3000UL) /*!< PF PF_PUPD: PUPD6 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD5_Pos (10UL) /*!< PF PF_PUPD: PUPD5 (Bit 10) */ +#define PF_PF_PUPD_PUPD5_Msk (0xc00UL) /*!< PF PF_PUPD: PUPD5 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD4_Pos (8UL) /*!< PF PF_PUPD: PUPD4 (Bit 8) */ +#define PF_PF_PUPD_PUPD4_Msk (0x300UL) /*!< PF PF_PUPD: PUPD4 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD3_Pos (6UL) /*!< PF PF_PUPD: PUPD3 (Bit 6) */ +#define PF_PF_PUPD_PUPD3_Msk (0xc0UL) /*!< PF PF_PUPD: PUPD3 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD2_Pos (4UL) /*!< PF PF_PUPD: PUPD2 (Bit 4) */ +#define PF_PF_PUPD_PUPD2_Msk (0x30UL) /*!< PF PF_PUPD: PUPD2 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD1_Pos (2UL) /*!< PF PF_PUPD: PUPD1 (Bit 2) */ +#define PF_PF_PUPD_PUPD1_Msk (0xcUL) /*!< PF PF_PUPD: PUPD1 (Bitfield-Mask: 0x03) */ +#define PF_PF_PUPD_PUPD0_Pos (0UL) /*!< PF PF_PUPD: PUPD0 (Bit 0) */ +#define PF_PF_PUPD_PUPD0_Msk (0x3UL) /*!< PF PF_PUPD: PUPD0 (Bitfield-Mask: 0x03) */ +/* ======================================================== PF_INDR ======================================================== */ +#define PF_PF_INDR_INDR11_Pos (11UL) /*!< PF PF_INDR: INDR11 (Bit 11) */ +#define PF_PF_INDR_INDR11_Msk (0x800UL) /*!< PF PF_INDR: INDR11 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR10_Pos (10UL) /*!< PF PF_INDR: INDR10 (Bit 10) */ +#define PF_PF_INDR_INDR10_Msk (0x400UL) /*!< PF PF_INDR: INDR10 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR9_Pos (9UL) /*!< PF PF_INDR: INDR9 (Bit 9) */ +#define PF_PF_INDR_INDR9_Msk (0x200UL) /*!< PF PF_INDR: INDR9 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR8_Pos (8UL) /*!< PF PF_INDR: INDR8 (Bit 8) */ +#define PF_PF_INDR_INDR8_Msk (0x100UL) /*!< PF PF_INDR: INDR8 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR7_Pos (7UL) /*!< PF PF_INDR: INDR7 (Bit 7) */ +#define PF_PF_INDR_INDR7_Msk (0x80UL) /*!< PF PF_INDR: INDR7 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR6_Pos (6UL) /*!< PF PF_INDR: INDR6 (Bit 6) */ +#define PF_PF_INDR_INDR6_Msk (0x40UL) /*!< PF PF_INDR: INDR6 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR5_Pos (5UL) /*!< PF PF_INDR: INDR5 (Bit 5) */ +#define PF_PF_INDR_INDR5_Msk (0x20UL) /*!< PF PF_INDR: INDR5 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR4_Pos (4UL) /*!< PF PF_INDR: INDR4 (Bit 4) */ +#define PF_PF_INDR_INDR4_Msk (0x10UL) /*!< PF PF_INDR: INDR4 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR3_Pos (3UL) /*!< PF PF_INDR: INDR3 (Bit 3) */ +#define PF_PF_INDR_INDR3_Msk (0x8UL) /*!< PF PF_INDR: INDR3 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR2_Pos (2UL) /*!< PF PF_INDR: INDR2 (Bit 2) */ +#define PF_PF_INDR_INDR2_Msk (0x4UL) /*!< PF PF_INDR: INDR2 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR1_Pos (1UL) /*!< PF PF_INDR: INDR1 (Bit 1) */ +#define PF_PF_INDR_INDR1_Msk (0x2UL) /*!< PF PF_INDR: INDR1 (Bitfield-Mask: 0x01) */ +#define PF_PF_INDR_INDR0_Pos (0UL) /*!< PF PF_INDR: INDR0 (Bit 0) */ +#define PF_PF_INDR_INDR0_Msk (0x1UL) /*!< PF PF_INDR: INDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================= PF_OUTDR ======================================================== */ +#define PF_PF_OUTDR_OUTDR11_Pos (11UL) /*!< PF PF_OUTDR: OUTDR11 (Bit 11) */ +#define PF_PF_OUTDR_OUTDR11_Msk (0x800UL) /*!< PF PF_OUTDR: OUTDR11 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR10_Pos (10UL) /*!< PF PF_OUTDR: OUTDR10 (Bit 10) */ +#define PF_PF_OUTDR_OUTDR10_Msk (0x400UL) /*!< PF PF_OUTDR: OUTDR10 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR9_Pos (9UL) /*!< PF PF_OUTDR: OUTDR9 (Bit 9) */ +#define PF_PF_OUTDR_OUTDR9_Msk (0x200UL) /*!< PF PF_OUTDR: OUTDR9 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR8_Pos (8UL) /*!< PF PF_OUTDR: OUTDR8 (Bit 8) */ +#define PF_PF_OUTDR_OUTDR8_Msk (0x100UL) /*!< PF PF_OUTDR: OUTDR8 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR7_Pos (7UL) /*!< PF PF_OUTDR: OUTDR7 (Bit 7) */ +#define PF_PF_OUTDR_OUTDR7_Msk (0x80UL) /*!< PF PF_OUTDR: OUTDR7 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR6_Pos (6UL) /*!< PF PF_OUTDR: OUTDR6 (Bit 6) */ +#define PF_PF_OUTDR_OUTDR6_Msk (0x40UL) /*!< PF PF_OUTDR: OUTDR6 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR5_Pos (5UL) /*!< PF PF_OUTDR: OUTDR5 (Bit 5) */ +#define PF_PF_OUTDR_OUTDR5_Msk (0x20UL) /*!< PF PF_OUTDR: OUTDR5 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR4_Pos (4UL) /*!< PF PF_OUTDR: OUTDR4 (Bit 4) */ +#define PF_PF_OUTDR_OUTDR4_Msk (0x10UL) /*!< PF PF_OUTDR: OUTDR4 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR3_Pos (3UL) /*!< PF PF_OUTDR: OUTDR3 (Bit 3) */ +#define PF_PF_OUTDR_OUTDR3_Msk (0x8UL) /*!< PF PF_OUTDR: OUTDR3 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR2_Pos (2UL) /*!< PF PF_OUTDR: OUTDR2 (Bit 2) */ +#define PF_PF_OUTDR_OUTDR2_Msk (0x4UL) /*!< PF PF_OUTDR: OUTDR2 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR1_Pos (1UL) /*!< PF PF_OUTDR: OUTDR1 (Bit 1) */ +#define PF_PF_OUTDR_OUTDR1_Msk (0x2UL) /*!< PF PF_OUTDR: OUTDR1 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDR_OUTDR0_Pos (0UL) /*!< PF PF_OUTDR: OUTDR0 (Bit 0) */ +#define PF_PF_OUTDR_OUTDR0_Msk (0x1UL) /*!< PF PF_OUTDR: OUTDR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PF_BSR ========================================================= */ +#define PF_PF_BSR_BSR11_Pos (11UL) /*!< PF PF_BSR: BSR11 (Bit 11) */ +#define PF_PF_BSR_BSR11_Msk (0x800UL) /*!< PF PF_BSR: BSR11 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR10_Pos (10UL) /*!< PF PF_BSR: BSR10 (Bit 10) */ +#define PF_PF_BSR_BSR10_Msk (0x400UL) /*!< PF PF_BSR: BSR10 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR9_Pos (9UL) /*!< PF PF_BSR: BSR9 (Bit 9) */ +#define PF_PF_BSR_BSR9_Msk (0x200UL) /*!< PF PF_BSR: BSR9 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR8_Pos (8UL) /*!< PF PF_BSR: BSR8 (Bit 8) */ +#define PF_PF_BSR_BSR8_Msk (0x100UL) /*!< PF PF_BSR: BSR8 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR7_Pos (7UL) /*!< PF PF_BSR: BSR7 (Bit 7) */ +#define PF_PF_BSR_BSR7_Msk (0x80UL) /*!< PF PF_BSR: BSR7 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR6_Pos (6UL) /*!< PF PF_BSR: BSR6 (Bit 6) */ +#define PF_PF_BSR_BSR6_Msk (0x40UL) /*!< PF PF_BSR: BSR6 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR5_Pos (5UL) /*!< PF PF_BSR: BSR5 (Bit 5) */ +#define PF_PF_BSR_BSR5_Msk (0x20UL) /*!< PF PF_BSR: BSR5 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR4_Pos (4UL) /*!< PF PF_BSR: BSR4 (Bit 4) */ +#define PF_PF_BSR_BSR4_Msk (0x10UL) /*!< PF PF_BSR: BSR4 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR3_Pos (3UL) /*!< PF PF_BSR: BSR3 (Bit 3) */ +#define PF_PF_BSR_BSR3_Msk (0x8UL) /*!< PF PF_BSR: BSR3 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR2_Pos (2UL) /*!< PF PF_BSR: BSR2 (Bit 2) */ +#define PF_PF_BSR_BSR2_Msk (0x4UL) /*!< PF PF_BSR: BSR2 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR1_Pos (1UL) /*!< PF PF_BSR: BSR1 (Bit 1) */ +#define PF_PF_BSR_BSR1_Msk (0x2UL) /*!< PF PF_BSR: BSR1 (Bitfield-Mask: 0x01) */ +#define PF_PF_BSR_BSR0_Pos (0UL) /*!< PF PF_BSR: BSR0 (Bit 0) */ +#define PF_PF_BSR_BSR0_Msk (0x1UL) /*!< PF PF_BSR: BSR0 (Bitfield-Mask: 0x01) */ +/* ======================================================== PF_BCR ========================================================= */ +#define PF_PF_BCR_BCR11_Pos (11UL) /*!< PF PF_BCR: BCR11 (Bit 11) */ +#define PF_PF_BCR_BCR11_Msk (0x800UL) /*!< PF PF_BCR: BCR11 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR10_Pos (10UL) /*!< PF PF_BCR: BCR10 (Bit 10) */ +#define PF_PF_BCR_BCR10_Msk (0x400UL) /*!< PF PF_BCR: BCR10 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR9_Pos (9UL) /*!< PF PF_BCR: BCR9 (Bit 9) */ +#define PF_PF_BCR_BCR9_Msk (0x200UL) /*!< PF PF_BCR: BCR9 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR8_Pos (8UL) /*!< PF PF_BCR: BCR8 (Bit 8) */ +#define PF_PF_BCR_BCR8_Msk (0x100UL) /*!< PF PF_BCR: BCR8 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR7_Pos (7UL) /*!< PF PF_BCR: BCR7 (Bit 7) */ +#define PF_PF_BCR_BCR7_Msk (0x80UL) /*!< PF PF_BCR: BCR7 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR6_Pos (6UL) /*!< PF PF_BCR: BCR6 (Bit 6) */ +#define PF_PF_BCR_BCR6_Msk (0x40UL) /*!< PF PF_BCR: BCR6 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR5_Pos (5UL) /*!< PF PF_BCR: BCR5 (Bit 5) */ +#define PF_PF_BCR_BCR5_Msk (0x20UL) /*!< PF PF_BCR: BCR5 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR4_Pos (4UL) /*!< PF PF_BCR: BCR4 (Bit 4) */ +#define PF_PF_BCR_BCR4_Msk (0x10UL) /*!< PF PF_BCR: BCR4 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR3_Pos (3UL) /*!< PF PF_BCR: BCR3 (Bit 3) */ +#define PF_PF_BCR_BCR3_Msk (0x8UL) /*!< PF PF_BCR: BCR3 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR2_Pos (2UL) /*!< PF PF_BCR: BCR2 (Bit 2) */ +#define PF_PF_BCR_BCR2_Msk (0x4UL) /*!< PF PF_BCR: BCR2 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR1_Pos (1UL) /*!< PF PF_BCR: BCR1 (Bit 1) */ +#define PF_PF_BCR_BCR1_Msk (0x2UL) /*!< PF PF_BCR: BCR1 (Bitfield-Mask: 0x01) */ +#define PF_PF_BCR_BCR0_Pos (0UL) /*!< PF PF_BCR: BCR0 (Bit 0) */ +#define PF_PF_BCR_BCR0_Msk (0x1UL) /*!< PF PF_BCR: BCR0 (Bitfield-Mask: 0x01) */ +/* ====================================================== PF_OUTDMSK ======================================================= */ +#define PF_PF_OUTDMSK_OUTDMSK11_Pos (11UL) /*!< PF PF_OUTDMSK: OUTDMSK11 (Bit 11) */ +#define PF_PF_OUTDMSK_OUTDMSK11_Msk (0x800UL) /*!< PF PF_OUTDMSK: OUTDMSK11 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK10_Pos (10UL) /*!< PF PF_OUTDMSK: OUTDMSK10 (Bit 10) */ +#define PF_PF_OUTDMSK_OUTDMSK10_Msk (0x400UL) /*!< PF PF_OUTDMSK: OUTDMSK10 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK9_Pos (9UL) /*!< PF PF_OUTDMSK: OUTDMSK9 (Bit 9) */ +#define PF_PF_OUTDMSK_OUTDMSK9_Msk (0x200UL) /*!< PF PF_OUTDMSK: OUTDMSK9 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK8_Pos (8UL) /*!< PF PF_OUTDMSK: OUTDMSK8 (Bit 8) */ +#define PF_PF_OUTDMSK_OUTDMSK8_Msk (0x100UL) /*!< PF PF_OUTDMSK: OUTDMSK8 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK7_Pos (7UL) /*!< PF PF_OUTDMSK: OUTDMSK7 (Bit 7) */ +#define PF_PF_OUTDMSK_OUTDMSK7_Msk (0x80UL) /*!< PF PF_OUTDMSK: OUTDMSK7 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK6_Pos (6UL) /*!< PF PF_OUTDMSK: OUTDMSK6 (Bit 6) */ +#define PF_PF_OUTDMSK_OUTDMSK6_Msk (0x40UL) /*!< PF PF_OUTDMSK: OUTDMSK6 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK5_Pos (5UL) /*!< PF PF_OUTDMSK: OUTDMSK5 (Bit 5) */ +#define PF_PF_OUTDMSK_OUTDMSK5_Msk (0x20UL) /*!< PF PF_OUTDMSK: OUTDMSK5 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK4_Pos (4UL) /*!< PF PF_OUTDMSK: OUTDMSK4 (Bit 4) */ +#define PF_PF_OUTDMSK_OUTDMSK4_Msk (0x10UL) /*!< PF PF_OUTDMSK: OUTDMSK4 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK3_Pos (3UL) /*!< PF PF_OUTDMSK: OUTDMSK3 (Bit 3) */ +#define PF_PF_OUTDMSK_OUTDMSK3_Msk (0x8UL) /*!< PF PF_OUTDMSK: OUTDMSK3 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK2_Pos (2UL) /*!< PF PF_OUTDMSK: OUTDMSK2 (Bit 2) */ +#define PF_PF_OUTDMSK_OUTDMSK2_Msk (0x4UL) /*!< PF PF_OUTDMSK: OUTDMSK2 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK1_Pos (1UL) /*!< PF PF_OUTDMSK: OUTDMSK1 (Bit 1) */ +#define PF_PF_OUTDMSK_OUTDMSK1_Msk (0x2UL) /*!< PF PF_OUTDMSK: OUTDMSK1 (Bitfield-Mask: 0x01) */ +#define PF_PF_OUTDMSK_OUTDMSK0_Pos (0UL) /*!< PF PF_OUTDMSK: OUTDMSK0 (Bit 0) */ +#define PF_PF_OUTDMSK_OUTDMSK0_Msk (0x1UL) /*!< PF PF_OUTDMSK: OUTDMSK0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ FMC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== ADR ========================================================== */ +#define FMC_ADR_ADDR_Pos (0UL) /*!< FMC ADR: ADDR (Bit 0) */ +#define FMC_ADR_ADDR_Msk (0xffffffffUL) /*!< FMC ADR: ADDR (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= IDR1 ========================================================== */ +#define FMC_IDR1_ID1_Pos (0UL) /*!< FMC IDR1: ID1 (Bit 0) */ +#define FMC_IDR1_ID1_Msk (0xffffffffUL) /*!< FMC IDR1: ID1 (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= IDR2 ========================================================== */ +#define FMC_IDR2_ID2_Pos (0UL) /*!< FMC IDR2: ID2 (Bit 0) */ +#define FMC_IDR2_ID2_Msk (0xffffffffUL) /*!< FMC IDR2: ID2 (Bitfield-Mask: 0xffffffff) */ +/* ========================================================== CR =========================================================== */ +#define FMC_CR_WTIDKY_Pos (16UL) /*!< FMC CR: WTIDKY (Bit 16) */ +#define FMC_CR_WTIDKY_Msk (0xffff0000UL) /*!< FMC CR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define FMC_CR_FMKEY_Pos (8UL) /*!< FMC CR: FMKEY (Bit 8) */ +#define FMC_CR_FMKEY_Msk (0xff00UL) /*!< FMC CR: FMKEY (Bitfield-Mask: 0xff) */ +#define FMC_CR_FMBUSY_Pos (7UL) /*!< FMC CR: FMBUSY (Bit 7) */ +#define FMC_CR_FMBUSY_Msk (0x80UL) /*!< FMC CR: FMBUSY (Bitfield-Mask: 0x01) */ +#define FMC_CR_FMOD_Pos (0UL) /*!< FMC CR: FMOD (Bit 0) */ +#define FMC_CR_FMOD_Msk (0xfUL) /*!< FMC CR: FMOD (Bitfield-Mask: 0x0f) */ +/* ========================================================== BCR ========================================================== */ +#define FMC_BCR_WTIDKY_Pos (16UL) /*!< FMC BCR: WTIDKY (Bit 16) */ +#define FMC_BCR_WTIDKY_Msk (0xffff0000UL) /*!< FMC BCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define FMC_BCR_CNF3BEN_Pos (8UL) /*!< FMC BCR: CNF3BEN (Bit 8) */ +#define FMC_BCR_CNF3BEN_Msk (0xf00UL) /*!< FMC BCR: CNF3BEN (Bitfield-Mask: 0x0f) */ +#define FMC_BCR_CNF2BEN_Pos (4UL) /*!< FMC BCR: CNF2BEN (Bit 4) */ +#define FMC_BCR_CNF2BEN_Msk (0xf0UL) /*!< FMC BCR: CNF2BEN (Bitfield-Mask: 0x0f) */ +#define FMC_BCR_CNF1BEN_Pos (0UL) /*!< FMC BCR: CNF1BEN (Bit 0) */ +#define FMC_BCR_CNF1BEN_Msk (0xfUL) /*!< FMC BCR: CNF1BEN (Bitfield-Mask: 0x0f) */ +/* ======================================================== ERFLAG ========================================================= */ +#define FMC_ERFLAG_INSTFLAG_Pos (1UL) /*!< FMC ERFLAG: INSTFLAG (Bit 1) */ +#define FMC_ERFLAG_INSTFLAG_Msk (0x2UL) /*!< FMC ERFLAG: INSTFLAG (Bitfield-Mask: 0x01) */ +#define FMC_ERFLAG_FMOPFLAG_Pos (0UL) /*!< FMC ERFLAG: FMOPFLAG (Bit 0) */ +#define FMC_ERFLAG_FMOPFLAG_Msk (0x1UL) /*!< FMC ERFLAG: FMOPFLAG (Bitfield-Mask: 0x01) */ +/* ======================================================== PAGEBUF ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ WDT ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define WDT_CR_WTIDKY_Pos (16UL) /*!< WDT CR: WTIDKY (Bit 16) */ +#define WDT_CR_WTIDKY_Msk (0xffff0000UL) /*!< WDT CR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define WDT_CR_RSTEN_Pos (10UL) /*!< WDT CR: RSTEN (Bit 10) */ +#define WDT_CR_RSTEN_Msk (0xfc00UL) /*!< WDT CR: RSTEN (Bitfield-Mask: 0x3f) */ +#define WDT_CR_CNTEN_Pos (4UL) /*!< WDT CR: CNTEN (Bit 4) */ +#define WDT_CR_CNTEN_Msk (0x3f0UL) /*!< WDT CR: CNTEN (Bitfield-Mask: 0x3f) */ +#define WDT_CR_WINMIEN_Pos (3UL) /*!< WDT CR: WINMIEN (Bit 3) */ +#define WDT_CR_WINMIEN_Msk (0x8UL) /*!< WDT CR: WINMIEN (Bitfield-Mask: 0x01) */ +#define WDT_CR_UNFIEN_Pos (2UL) /*!< WDT CR: UNFIEN (Bit 2) */ +#define WDT_CR_UNFIEN_Msk (0x4UL) /*!< WDT CR: UNFIEN (Bitfield-Mask: 0x01) */ +#define WDT_CR_CLKDIV_Pos (0UL) /*!< WDT CR: CLKDIV (Bit 0) */ +#define WDT_CR_CLKDIV_Msk (0x3UL) /*!< WDT CR: CLKDIV (Bitfield-Mask: 0x03) */ +/* ========================================================== SR =========================================================== */ +#define WDT_SR_DBGCNTEN_Pos (7UL) /*!< WDT SR: DBGCNTEN (Bit 7) */ +#define WDT_SR_DBGCNTEN_Msk (0x80UL) /*!< WDT SR: DBGCNTEN (Bitfield-Mask: 0x01) */ +#define WDT_SR_WINMIFLAG_Pos (1UL) /*!< WDT SR: WINMIFLAG (Bit 1) */ +#define WDT_SR_WINMIFLAG_Msk (0x2UL) /*!< WDT SR: WINMIFLAG (Bitfield-Mask: 0x01) */ +#define WDT_SR_UNFIFLAG_Pos (0UL) /*!< WDT SR: UNFIFLAG (Bit 0) */ +#define WDT_SR_UNFIFLAG_Msk (0x1UL) /*!< WDT SR: UNFIFLAG (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define WDT_DR_DATA_Pos (0UL) /*!< WDT DR: DATA (Bit 0) */ +#define WDT_DR_DATA_Msk (0xffffffUL) /*!< WDT DR: DATA (Bitfield-Mask: 0xffffff) */ +/* ========================================================== CNT ========================================================== */ +#define WDT_CNT_CNT_Pos (0UL) /*!< WDT CNT: CNT (Bit 0) */ +#define WDT_CNT_CNT_Msk (0xffffffUL) /*!< WDT CNT: CNT (Bitfield-Mask: 0xffffff) */ +/* ========================================================= WINDR ========================================================= */ +#define WDT_WINDR_WDATA_Pos (0UL) /*!< WDT WINDR: WDATA (Bit 0) */ +#define WDT_WINDR_WDATA_Msk (0xffffffUL) /*!< WDT WINDR: WDATA (Bitfield-Mask: 0xffffff) */ +/* ========================================================= CNTR ========================================================== */ +#define WDT_CNTR_CNTR_Pos (0UL) /*!< WDT CNTR: CNTR (Bit 0) */ +#define WDT_CNTR_CNTR_Msk (0xffUL) /*!< WDT CNTR: CNTR (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ WT ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define WT_CR_WTEN_Pos (7UL) /*!< WT CR: WTEN (Bit 7) */ +#define WT_CR_WTEN_Msk (0x80UL) /*!< WT CR: WTEN (Bitfield-Mask: 0x01) */ +#define WT_CR_WTINTV_Pos (4UL) /*!< WT CR: WTINTV (Bit 4) */ +#define WT_CR_WTINTV_Msk (0x30UL) /*!< WT CR: WTINTV (Bitfield-Mask: 0x03) */ +#define WT_CR_WTIEN_Pos (3UL) /*!< WT CR: WTIEN (Bit 3) */ +#define WT_CR_WTIEN_Msk (0x8UL) /*!< WT CR: WTIEN (Bitfield-Mask: 0x01) */ +#define WT_CR_WTIFLAG_Pos (1UL) /*!< WT CR: WTIFLAG (Bit 1) */ +#define WT_CR_WTIFLAG_Msk (0x2UL) /*!< WT CR: WTIFLAG (Bitfield-Mask: 0x01) */ +#define WT_CR_WTCLR_Pos (0UL) /*!< WT CR: WTCLR (Bit 0) */ +#define WT_CR_WTCLR_Msk (0x1UL) /*!< WT CR: WTCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define WT_DR_WTDATA_Pos (0UL) /*!< WT DR: WTDATA (Bit 0) */ +#define WT_DR_WTDATA_Msk (0xfffUL) /*!< WT DR: WTDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define WT_CNT_CNT_Pos (0UL) /*!< WT CNT: CNT (Bit 0) */ +#define WT_CNT_CNT_Msk (0xfffUL) /*!< WT CNT: CNT (Bitfield-Mask: 0xfff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER1n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER1n_CR_T1nEN_Pos (15UL) /*!< TIMER1n CR: T1nEN (Bit 15) */ +#define TIMER1n_CR_T1nEN_Msk (0x8000UL) /*!< TIMER1n CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nCLK_Pos (14UL) /*!< TIMER1n CR: T1nCLK (Bit 14) */ +#define TIMER1n_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER1n CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nMS_Pos (12UL) /*!< TIMER1n CR: T1nMS (Bit 12) */ +#define TIMER1n_CR_T1nMS_Msk (0x3000UL) /*!< TIMER1n CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER1n_CR_T1nECE_Pos (11UL) /*!< TIMER1n CR: T1nECE (Bit 11) */ +#define TIMER1n_CR_T1nECE_Msk (0x800UL) /*!< TIMER1n CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nOPOL_Pos (8UL) /*!< TIMER1n CR: T1nOPOL (Bit 8) */ +#define TIMER1n_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER1n CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nCPOL_Pos (6UL) /*!< TIMER1n CR: T1nCPOL (Bit 6) */ +#define TIMER1n_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER1n CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER1n_CR_T1nMIEN_Pos (5UL) /*!< TIMER1n CR: T1nMIEN (Bit 5) */ +#define TIMER1n_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER1n CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nCIEN_Pos (4UL) /*!< TIMER1n CR: T1nCIEN (Bit 4) */ +#define TIMER1n_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER1n CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER1n CR: T1nMIFLAG (Bit 3) */ +#define TIMER1n_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER1n CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER1n CR: T1nCIFLAG (Bit 2) */ +#define TIMER1n_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER1n CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nPAU_Pos (1UL) /*!< TIMER1n CR: T1nPAU (Bit 1) */ +#define TIMER1n_CR_T1nPAU_Msk (0x2UL) /*!< TIMER1n CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER1n_CR_T1nCLR_Pos (0UL) /*!< TIMER1n CR: T1nCLR (Bit 0) */ +#define TIMER1n_CR_T1nCLR_Msk (0x1UL) /*!< TIMER1n CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER1n_ADR_ADATA_Pos (0UL) /*!< TIMER1n ADR: ADATA (Bit 0) */ +#define TIMER1n_ADR_ADATA_Msk (0xffffUL) /*!< TIMER1n ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER1n_BDR_BDATA_Pos (0UL) /*!< TIMER1n BDR: BDATA (Bit 0) */ +#define TIMER1n_BDR_BDATA_Msk (0xffffUL) /*!< TIMER1n BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER1n_CAPDR_CAPD_Pos (0UL) /*!< TIMER1n CAPDR: CAPD (Bit 0) */ +#define TIMER1n_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER1n CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER1n_PREDR_PRED_Pos (0UL) /*!< TIMER1n PREDR: PRED (Bit 0) */ +#define TIMER1n_PREDR_PRED_Msk (0xfffUL) /*!< TIMER1n PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER1n_CNT_CNT_Pos (0UL) /*!< TIMER1n CNT: CNT (Bit 0) */ +#define TIMER1n_CNT_CNT_Msk (0xffffUL) /*!< TIMER1n CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER10 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER10_CR_T1nEN_Pos (15UL) /*!< TIMER10 CR: T1nEN (Bit 15) */ +#define TIMER10_CR_T1nEN_Msk (0x8000UL) /*!< TIMER10 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nCLK_Pos (14UL) /*!< TIMER10 CR: T1nCLK (Bit 14) */ +#define TIMER10_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER10 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nMS_Pos (12UL) /*!< TIMER10 CR: T1nMS (Bit 12) */ +#define TIMER10_CR_T1nMS_Msk (0x3000UL) /*!< TIMER10 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER10_CR_T1nECE_Pos (11UL) /*!< TIMER10 CR: T1nECE (Bit 11) */ +#define TIMER10_CR_T1nECE_Msk (0x800UL) /*!< TIMER10 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nOPOL_Pos (8UL) /*!< TIMER10 CR: T1nOPOL (Bit 8) */ +#define TIMER10_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER10 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nCPOL_Pos (6UL) /*!< TIMER10 CR: T1nCPOL (Bit 6) */ +#define TIMER10_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER10 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER10_CR_T1nMIEN_Pos (5UL) /*!< TIMER10 CR: T1nMIEN (Bit 5) */ +#define TIMER10_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER10 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nCIEN_Pos (4UL) /*!< TIMER10 CR: T1nCIEN (Bit 4) */ +#define TIMER10_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER10 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER10 CR: T1nMIFLAG (Bit 3) */ +#define TIMER10_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER10 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER10 CR: T1nCIFLAG (Bit 2) */ +#define TIMER10_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER10 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nPAU_Pos (1UL) /*!< TIMER10 CR: T1nPAU (Bit 1) */ +#define TIMER10_CR_T1nPAU_Msk (0x2UL) /*!< TIMER10 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER10_CR_T1nCLR_Pos (0UL) /*!< TIMER10 CR: T1nCLR (Bit 0) */ +#define TIMER10_CR_T1nCLR_Msk (0x1UL) /*!< TIMER10 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER10_ADR_ADATA_Pos (0UL) /*!< TIMER10 ADR: ADATA (Bit 0) */ +#define TIMER10_ADR_ADATA_Msk (0xffffUL) /*!< TIMER10 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER10_BDR_BDATA_Pos (0UL) /*!< TIMER10 BDR: BDATA (Bit 0) */ +#define TIMER10_BDR_BDATA_Msk (0xffffUL) /*!< TIMER10 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER10_CAPDR_CAPD_Pos (0UL) /*!< TIMER10 CAPDR: CAPD (Bit 0) */ +#define TIMER10_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER10 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER10_PREDR_PRED_Pos (0UL) /*!< TIMER10 PREDR: PRED (Bit 0) */ +#define TIMER10_PREDR_PRED_Msk (0xfffUL) /*!< TIMER10 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER10_CNT_CNT_Pos (0UL) /*!< TIMER10 CNT: CNT (Bit 0) */ +#define TIMER10_CNT_CNT_Msk (0xffffUL) /*!< TIMER10 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER11 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER11_CR_T1nEN_Pos (15UL) /*!< TIMER11 CR: T1nEN (Bit 15) */ +#define TIMER11_CR_T1nEN_Msk (0x8000UL) /*!< TIMER11 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nCLK_Pos (14UL) /*!< TIMER11 CR: T1nCLK (Bit 14) */ +#define TIMER11_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER11 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nMS_Pos (12UL) /*!< TIMER11 CR: T1nMS (Bit 12) */ +#define TIMER11_CR_T1nMS_Msk (0x3000UL) /*!< TIMER11 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER11_CR_T1nECE_Pos (11UL) /*!< TIMER11 CR: T1nECE (Bit 11) */ +#define TIMER11_CR_T1nECE_Msk (0x800UL) /*!< TIMER11 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nOPOL_Pos (8UL) /*!< TIMER11 CR: T1nOPOL (Bit 8) */ +#define TIMER11_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER11 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nCPOL_Pos (6UL) /*!< TIMER11 CR: T1nCPOL (Bit 6) */ +#define TIMER11_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER11 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER11_CR_T1nMIEN_Pos (5UL) /*!< TIMER11 CR: T1nMIEN (Bit 5) */ +#define TIMER11_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER11 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nCIEN_Pos (4UL) /*!< TIMER11 CR: T1nCIEN (Bit 4) */ +#define TIMER11_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER11 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER11 CR: T1nMIFLAG (Bit 3) */ +#define TIMER11_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER11 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER11 CR: T1nCIFLAG (Bit 2) */ +#define TIMER11_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER11 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nPAU_Pos (1UL) /*!< TIMER11 CR: T1nPAU (Bit 1) */ +#define TIMER11_CR_T1nPAU_Msk (0x2UL) /*!< TIMER11 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER11_CR_T1nCLR_Pos (0UL) /*!< TIMER11 CR: T1nCLR (Bit 0) */ +#define TIMER11_CR_T1nCLR_Msk (0x1UL) /*!< TIMER11 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER11_ADR_ADATA_Pos (0UL) /*!< TIMER11 ADR: ADATA (Bit 0) */ +#define TIMER11_ADR_ADATA_Msk (0xffffUL) /*!< TIMER11 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER11_BDR_BDATA_Pos (0UL) /*!< TIMER11 BDR: BDATA (Bit 0) */ +#define TIMER11_BDR_BDATA_Msk (0xffffUL) /*!< TIMER11 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER11_CAPDR_CAPD_Pos (0UL) /*!< TIMER11 CAPDR: CAPD (Bit 0) */ +#define TIMER11_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER11 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER11_PREDR_PRED_Pos (0UL) /*!< TIMER11 PREDR: PRED (Bit 0) */ +#define TIMER11_PREDR_PRED_Msk (0xfffUL) /*!< TIMER11 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER11_CNT_CNT_Pos (0UL) /*!< TIMER11 CNT: CNT (Bit 0) */ +#define TIMER11_CNT_CNT_Msk (0xffffUL) /*!< TIMER11 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER12 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER12_CR_T1nEN_Pos (15UL) /*!< TIMER12 CR: T1nEN (Bit 15) */ +#define TIMER12_CR_T1nEN_Msk (0x8000UL) /*!< TIMER12 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nCLK_Pos (14UL) /*!< TIMER12 CR: T1nCLK (Bit 14) */ +#define TIMER12_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER12 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nMS_Pos (12UL) /*!< TIMER12 CR: T1nMS (Bit 12) */ +#define TIMER12_CR_T1nMS_Msk (0x3000UL) /*!< TIMER12 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER12_CR_T1nECE_Pos (11UL) /*!< TIMER12 CR: T1nECE (Bit 11) */ +#define TIMER12_CR_T1nECE_Msk (0x800UL) /*!< TIMER12 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nOPOL_Pos (8UL) /*!< TIMER12 CR: T1nOPOL (Bit 8) */ +#define TIMER12_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER12 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nCPOL_Pos (6UL) /*!< TIMER12 CR: T1nCPOL (Bit 6) */ +#define TIMER12_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER12 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER12_CR_T1nMIEN_Pos (5UL) /*!< TIMER12 CR: T1nMIEN (Bit 5) */ +#define TIMER12_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER12 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nCIEN_Pos (4UL) /*!< TIMER12 CR: T1nCIEN (Bit 4) */ +#define TIMER12_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER12 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER12 CR: T1nMIFLAG (Bit 3) */ +#define TIMER12_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER12 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER12 CR: T1nCIFLAG (Bit 2) */ +#define TIMER12_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER12 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nPAU_Pos (1UL) /*!< TIMER12 CR: T1nPAU (Bit 1) */ +#define TIMER12_CR_T1nPAU_Msk (0x2UL) /*!< TIMER12 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER12_CR_T1nCLR_Pos (0UL) /*!< TIMER12 CR: T1nCLR (Bit 0) */ +#define TIMER12_CR_T1nCLR_Msk (0x1UL) /*!< TIMER12 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER12_ADR_ADATA_Pos (0UL) /*!< TIMER12 ADR: ADATA (Bit 0) */ +#define TIMER12_ADR_ADATA_Msk (0xffffUL) /*!< TIMER12 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER12_BDR_BDATA_Pos (0UL) /*!< TIMER12 BDR: BDATA (Bit 0) */ +#define TIMER12_BDR_BDATA_Msk (0xffffUL) /*!< TIMER12 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER12_CAPDR_CAPD_Pos (0UL) /*!< TIMER12 CAPDR: CAPD (Bit 0) */ +#define TIMER12_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER12 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER12_PREDR_PRED_Pos (0UL) /*!< TIMER12 PREDR: PRED (Bit 0) */ +#define TIMER12_PREDR_PRED_Msk (0xfffUL) /*!< TIMER12 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER12_CNT_CNT_Pos (0UL) /*!< TIMER12 CNT: CNT (Bit 0) */ +#define TIMER12_CNT_CNT_Msk (0xffffUL) /*!< TIMER12 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER13 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER13_CR_T1nEN_Pos (15UL) /*!< TIMER13 CR: T1nEN (Bit 15) */ +#define TIMER13_CR_T1nEN_Msk (0x8000UL) /*!< TIMER13 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nCLK_Pos (14UL) /*!< TIMER13 CR: T1nCLK (Bit 14) */ +#define TIMER13_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER13 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nMS_Pos (12UL) /*!< TIMER13 CR: T1nMS (Bit 12) */ +#define TIMER13_CR_T1nMS_Msk (0x3000UL) /*!< TIMER13 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER13_CR_T1nECE_Pos (11UL) /*!< TIMER13 CR: T1nECE (Bit 11) */ +#define TIMER13_CR_T1nECE_Msk (0x800UL) /*!< TIMER13 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nOPOL_Pos (8UL) /*!< TIMER13 CR: T1nOPOL (Bit 8) */ +#define TIMER13_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER13 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nCPOL_Pos (6UL) /*!< TIMER13 CR: T1nCPOL (Bit 6) */ +#define TIMER13_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER13 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER13_CR_T1nMIEN_Pos (5UL) /*!< TIMER13 CR: T1nMIEN (Bit 5) */ +#define TIMER13_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER13 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nCIEN_Pos (4UL) /*!< TIMER13 CR: T1nCIEN (Bit 4) */ +#define TIMER13_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER13 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER13 CR: T1nMIFLAG (Bit 3) */ +#define TIMER13_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER13 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER13 CR: T1nCIFLAG (Bit 2) */ +#define TIMER13_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER13 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nPAU_Pos (1UL) /*!< TIMER13 CR: T1nPAU (Bit 1) */ +#define TIMER13_CR_T1nPAU_Msk (0x2UL) /*!< TIMER13 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER13_CR_T1nCLR_Pos (0UL) /*!< TIMER13 CR: T1nCLR (Bit 0) */ +#define TIMER13_CR_T1nCLR_Msk (0x1UL) /*!< TIMER13 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER13_ADR_ADATA_Pos (0UL) /*!< TIMER13 ADR: ADATA (Bit 0) */ +#define TIMER13_ADR_ADATA_Msk (0xffffUL) /*!< TIMER13 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER13_BDR_BDATA_Pos (0UL) /*!< TIMER13 BDR: BDATA (Bit 0) */ +#define TIMER13_BDR_BDATA_Msk (0xffffUL) /*!< TIMER13 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER13_CAPDR_CAPD_Pos (0UL) /*!< TIMER13 CAPDR: CAPD (Bit 0) */ +#define TIMER13_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER13 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER13_PREDR_PRED_Pos (0UL) /*!< TIMER13 PREDR: PRED (Bit 0) */ +#define TIMER13_PREDR_PRED_Msk (0xfffUL) /*!< TIMER13 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER13_CNT_CNT_Pos (0UL) /*!< TIMER13 CNT: CNT (Bit 0) */ +#define TIMER13_CNT_CNT_Msk (0xffffUL) /*!< TIMER13 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER14 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER14_CR_T1nEN_Pos (15UL) /*!< TIMER14 CR: T1nEN (Bit 15) */ +#define TIMER14_CR_T1nEN_Msk (0x8000UL) /*!< TIMER14 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nCLK_Pos (14UL) /*!< TIMER14 CR: T1nCLK (Bit 14) */ +#define TIMER14_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER14 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nMS_Pos (12UL) /*!< TIMER14 CR: T1nMS (Bit 12) */ +#define TIMER14_CR_T1nMS_Msk (0x3000UL) /*!< TIMER14 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER14_CR_T1nECE_Pos (11UL) /*!< TIMER14 CR: T1nECE (Bit 11) */ +#define TIMER14_CR_T1nECE_Msk (0x800UL) /*!< TIMER14 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nOPOL_Pos (8UL) /*!< TIMER14 CR: T1nOPOL (Bit 8) */ +#define TIMER14_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER14 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nCPOL_Pos (6UL) /*!< TIMER14 CR: T1nCPOL (Bit 6) */ +#define TIMER14_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER14 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER14_CR_T1nMIEN_Pos (5UL) /*!< TIMER14 CR: T1nMIEN (Bit 5) */ +#define TIMER14_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER14 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nCIEN_Pos (4UL) /*!< TIMER14 CR: T1nCIEN (Bit 4) */ +#define TIMER14_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER14 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER14 CR: T1nMIFLAG (Bit 3) */ +#define TIMER14_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER14 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER14 CR: T1nCIFLAG (Bit 2) */ +#define TIMER14_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER14 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nPAU_Pos (1UL) /*!< TIMER14 CR: T1nPAU (Bit 1) */ +#define TIMER14_CR_T1nPAU_Msk (0x2UL) /*!< TIMER14 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER14_CR_T1nCLR_Pos (0UL) /*!< TIMER14 CR: T1nCLR (Bit 0) */ +#define TIMER14_CR_T1nCLR_Msk (0x1UL) /*!< TIMER14 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER14_ADR_ADATA_Pos (0UL) /*!< TIMER14 ADR: ADATA (Bit 0) */ +#define TIMER14_ADR_ADATA_Msk (0xffffUL) /*!< TIMER14 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER14_BDR_BDATA_Pos (0UL) /*!< TIMER14 BDR: BDATA (Bit 0) */ +#define TIMER14_BDR_BDATA_Msk (0xffffUL) /*!< TIMER14 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER14_CAPDR_CAPD_Pos (0UL) /*!< TIMER14 CAPDR: CAPD (Bit 0) */ +#define TIMER14_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER14 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER14_PREDR_PRED_Pos (0UL) /*!< TIMER14 PREDR: PRED (Bit 0) */ +#define TIMER14_PREDR_PRED_Msk (0xfffUL) /*!< TIMER14 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER14_CNT_CNT_Pos (0UL) /*!< TIMER14 CNT: CNT (Bit 0) */ +#define TIMER14_CNT_CNT_Msk (0xffffUL) /*!< TIMER14 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER15 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER15_CR_T1nEN_Pos (15UL) /*!< TIMER15 CR: T1nEN (Bit 15) */ +#define TIMER15_CR_T1nEN_Msk (0x8000UL) /*!< TIMER15 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nCLK_Pos (14UL) /*!< TIMER15 CR: T1nCLK (Bit 14) */ +#define TIMER15_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER15 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nMS_Pos (12UL) /*!< TIMER15 CR: T1nMS (Bit 12) */ +#define TIMER15_CR_T1nMS_Msk (0x3000UL) /*!< TIMER15 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER15_CR_T1nECE_Pos (11UL) /*!< TIMER15 CR: T1nECE (Bit 11) */ +#define TIMER15_CR_T1nECE_Msk (0x800UL) /*!< TIMER15 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nOPOL_Pos (8UL) /*!< TIMER15 CR: T1nOPOL (Bit 8) */ +#define TIMER15_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER15 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nCPOL_Pos (6UL) /*!< TIMER15 CR: T1nCPOL (Bit 6) */ +#define TIMER15_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER15 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER15_CR_T1nMIEN_Pos (5UL) /*!< TIMER15 CR: T1nMIEN (Bit 5) */ +#define TIMER15_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER15 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nCIEN_Pos (4UL) /*!< TIMER15 CR: T1nCIEN (Bit 4) */ +#define TIMER15_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER15 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER15 CR: T1nMIFLAG (Bit 3) */ +#define TIMER15_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER15 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER15 CR: T1nCIFLAG (Bit 2) */ +#define TIMER15_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER15 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nPAU_Pos (1UL) /*!< TIMER15 CR: T1nPAU (Bit 1) */ +#define TIMER15_CR_T1nPAU_Msk (0x2UL) /*!< TIMER15 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER15_CR_T1nCLR_Pos (0UL) /*!< TIMER15 CR: T1nCLR (Bit 0) */ +#define TIMER15_CR_T1nCLR_Msk (0x1UL) /*!< TIMER15 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER15_ADR_ADATA_Pos (0UL) /*!< TIMER15 ADR: ADATA (Bit 0) */ +#define TIMER15_ADR_ADATA_Msk (0xffffUL) /*!< TIMER15 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER15_BDR_BDATA_Pos (0UL) /*!< TIMER15 BDR: BDATA (Bit 0) */ +#define TIMER15_BDR_BDATA_Msk (0xffffUL) /*!< TIMER15 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER15_CAPDR_CAPD_Pos (0UL) /*!< TIMER15 CAPDR: CAPD (Bit 0) */ +#define TIMER15_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER15 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER15_PREDR_PRED_Pos (0UL) /*!< TIMER15 PREDR: PRED (Bit 0) */ +#define TIMER15_PREDR_PRED_Msk (0xfffUL) /*!< TIMER15 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER15_CNT_CNT_Pos (0UL) /*!< TIMER15 CNT: CNT (Bit 0) */ +#define TIMER15_CNT_CNT_Msk (0xffffUL) /*!< TIMER15 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER16 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER16_CR_T1nEN_Pos (15UL) /*!< TIMER16 CR: T1nEN (Bit 15) */ +#define TIMER16_CR_T1nEN_Msk (0x8000UL) /*!< TIMER16 CR: T1nEN (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nCLK_Pos (14UL) /*!< TIMER16 CR: T1nCLK (Bit 14) */ +#define TIMER16_CR_T1nCLK_Msk (0x4000UL) /*!< TIMER16 CR: T1nCLK (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nMS_Pos (12UL) /*!< TIMER16 CR: T1nMS (Bit 12) */ +#define TIMER16_CR_T1nMS_Msk (0x3000UL) /*!< TIMER16 CR: T1nMS (Bitfield-Mask: 0x03) */ +#define TIMER16_CR_T1nECE_Pos (11UL) /*!< TIMER16 CR: T1nECE (Bit 11) */ +#define TIMER16_CR_T1nECE_Msk (0x800UL) /*!< TIMER16 CR: T1nECE (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nOPOL_Pos (8UL) /*!< TIMER16 CR: T1nOPOL (Bit 8) */ +#define TIMER16_CR_T1nOPOL_Msk (0x100UL) /*!< TIMER16 CR: T1nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nCPOL_Pos (6UL) /*!< TIMER16 CR: T1nCPOL (Bit 6) */ +#define TIMER16_CR_T1nCPOL_Msk (0xc0UL) /*!< TIMER16 CR: T1nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER16_CR_T1nMIEN_Pos (5UL) /*!< TIMER16 CR: T1nMIEN (Bit 5) */ +#define TIMER16_CR_T1nMIEN_Msk (0x20UL) /*!< TIMER16 CR: T1nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nCIEN_Pos (4UL) /*!< TIMER16 CR: T1nCIEN (Bit 4) */ +#define TIMER16_CR_T1nCIEN_Msk (0x10UL) /*!< TIMER16 CR: T1nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nMIFLAG_Pos (3UL) /*!< TIMER16 CR: T1nMIFLAG (Bit 3) */ +#define TIMER16_CR_T1nMIFLAG_Msk (0x8UL) /*!< TIMER16 CR: T1nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nCIFLAG_Pos (2UL) /*!< TIMER16 CR: T1nCIFLAG (Bit 2) */ +#define TIMER16_CR_T1nCIFLAG_Msk (0x4UL) /*!< TIMER16 CR: T1nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nPAU_Pos (1UL) /*!< TIMER16 CR: T1nPAU (Bit 1) */ +#define TIMER16_CR_T1nPAU_Msk (0x2UL) /*!< TIMER16 CR: T1nPAU (Bitfield-Mask: 0x01) */ +#define TIMER16_CR_T1nCLR_Pos (0UL) /*!< TIMER16 CR: T1nCLR (Bit 0) */ +#define TIMER16_CR_T1nCLR_Msk (0x1UL) /*!< TIMER16 CR: T1nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER16_ADR_ADATA_Pos (0UL) /*!< TIMER16 ADR: ADATA (Bit 0) */ +#define TIMER16_ADR_ADATA_Msk (0xffffUL) /*!< TIMER16 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER16_BDR_BDATA_Pos (0UL) /*!< TIMER16 BDR: BDATA (Bit 0) */ +#define TIMER16_BDR_BDATA_Msk (0xffffUL) /*!< TIMER16 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER16_CAPDR_CAPD_Pos (0UL) /*!< TIMER16 CAPDR: CAPD (Bit 0) */ +#define TIMER16_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER16 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER16_PREDR_PRED_Pos (0UL) /*!< TIMER16 PREDR: PRED (Bit 0) */ +#define TIMER16_PREDR_PRED_Msk (0xfffUL) /*!< TIMER16 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER16_CNT_CNT_Pos (0UL) /*!< TIMER16 CNT: CNT (Bit 0) */ +#define TIMER16_CNT_CNT_Msk (0xffffUL) /*!< TIMER16 CNT: CNT (Bitfield-Mask: 0xffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER2n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER2n_CR_T2nEN_Pos (15UL) /*!< TIMER2n CR: T2nEN (Bit 15) */ +#define TIMER2n_CR_T2nEN_Msk (0x8000UL) /*!< TIMER2n CR: T2nEN (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nCLK_Pos (14UL) /*!< TIMER2n CR: T2nCLK (Bit 14) */ +#define TIMER2n_CR_T2nCLK_Msk (0x4000UL) /*!< TIMER2n CR: T2nCLK (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nMS_Pos (12UL) /*!< TIMER2n CR: T2nMS (Bit 12) */ +#define TIMER2n_CR_T2nMS_Msk (0x3000UL) /*!< TIMER2n CR: T2nMS (Bitfield-Mask: 0x03) */ +#define TIMER2n_CR_T2nECE_Pos (11UL) /*!< TIMER2n CR: T2nECE (Bit 11) */ +#define TIMER2n_CR_T2nECE_Msk (0x800UL) /*!< TIMER2n CR: T2nECE (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_CAPSEL_Pos (9UL) /*!< TIMER2n CR: CAPSEL (Bit 9) */ +#define TIMER2n_CR_CAPSEL_Msk (0x600UL) /*!< TIMER2n CR: CAPSEL (Bitfield-Mask: 0x03) */ +#define TIMER2n_CR_T2nOPOL_Pos (8UL) /*!< TIMER2n CR: T2nOPOL (Bit 8) */ +#define TIMER2n_CR_T2nOPOL_Msk (0x100UL) /*!< TIMER2n CR: T2nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nCPOL_Pos (6UL) /*!< TIMER2n CR: T2nCPOL (Bit 6) */ +#define TIMER2n_CR_T2nCPOL_Msk (0xc0UL) /*!< TIMER2n CR: T2nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER2n_CR_T2nMIEN_Pos (5UL) /*!< TIMER2n CR: T2nMIEN (Bit 5) */ +#define TIMER2n_CR_T2nMIEN_Msk (0x20UL) /*!< TIMER2n CR: T2nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nCIEN_Pos (4UL) /*!< TIMER2n CR: T2nCIEN (Bit 4) */ +#define TIMER2n_CR_T2nCIEN_Msk (0x10UL) /*!< TIMER2n CR: T2nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nMIFLAG_Pos (3UL) /*!< TIMER2n CR: T2nMIFLAG (Bit 3) */ +#define TIMER2n_CR_T2nMIFLAG_Msk (0x8UL) /*!< TIMER2n CR: T2nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nCIFLAG_Pos (2UL) /*!< TIMER2n CR: T2nCIFLAG (Bit 2) */ +#define TIMER2n_CR_T2nCIFLAG_Msk (0x4UL) /*!< TIMER2n CR: T2nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nPAU_Pos (1UL) /*!< TIMER2n CR: T2nPAU (Bit 1) */ +#define TIMER2n_CR_T2nPAU_Msk (0x2UL) /*!< TIMER2n CR: T2nPAU (Bitfield-Mask: 0x01) */ +#define TIMER2n_CR_T2nCLR_Pos (0UL) /*!< TIMER2n CR: T2nCLR (Bit 0) */ +#define TIMER2n_CR_T2nCLR_Msk (0x1UL) /*!< TIMER2n CR: T2nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER2n_ADR_ADATA_Pos (0UL) /*!< TIMER2n ADR: ADATA (Bit 0) */ +#define TIMER2n_ADR_ADATA_Msk (0xffffffffUL) /*!< TIMER2n ADR: ADATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER2n_BDR_BDATA_Pos (0UL) /*!< TIMER2n BDR: BDATA (Bit 0) */ +#define TIMER2n_BDR_BDATA_Msk (0xffffffffUL) /*!< TIMER2n BDR: BDATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER2n_CAPDR_CAPD_Pos (0UL) /*!< TIMER2n CAPDR: CAPD (Bit 0) */ +#define TIMER2n_CAPDR_CAPD_Msk (0xffffffffUL) /*!< TIMER2n CAPDR: CAPD (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER2n_PREDR_PRED_Pos (0UL) /*!< TIMER2n PREDR: PRED (Bit 0) */ +#define TIMER2n_PREDR_PRED_Msk (0xfffUL) /*!< TIMER2n PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER2n_CNT_CNT_Pos (0UL) /*!< TIMER2n CNT: CNT (Bit 0) */ +#define TIMER2n_CNT_CNT_Msk (0xffffffffUL) /*!< TIMER2n CNT: CNT (Bitfield-Mask: 0xffffffff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER20 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER20_CR_T2nEN_Pos (15UL) /*!< TIMER20 CR: T2nEN (Bit 15) */ +#define TIMER20_CR_T2nEN_Msk (0x8000UL) /*!< TIMER20 CR: T2nEN (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nCLK_Pos (14UL) /*!< TIMER20 CR: T2nCLK (Bit 14) */ +#define TIMER20_CR_T2nCLK_Msk (0x4000UL) /*!< TIMER20 CR: T2nCLK (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nMS_Pos (12UL) /*!< TIMER20 CR: T2nMS (Bit 12) */ +#define TIMER20_CR_T2nMS_Msk (0x3000UL) /*!< TIMER20 CR: T2nMS (Bitfield-Mask: 0x03) */ +#define TIMER20_CR_T2nECE_Pos (11UL) /*!< TIMER20 CR: T2nECE (Bit 11) */ +#define TIMER20_CR_T2nECE_Msk (0x800UL) /*!< TIMER20 CR: T2nECE (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_CAPSEL_Pos (9UL) /*!< TIMER20 CR: CAPSEL (Bit 9) */ +#define TIMER20_CR_CAPSEL_Msk (0x600UL) /*!< TIMER20 CR: CAPSEL (Bitfield-Mask: 0x03) */ +#define TIMER20_CR_T2nOPOL_Pos (8UL) /*!< TIMER20 CR: T2nOPOL (Bit 8) */ +#define TIMER20_CR_T2nOPOL_Msk (0x100UL) /*!< TIMER20 CR: T2nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nCPOL_Pos (6UL) /*!< TIMER20 CR: T2nCPOL (Bit 6) */ +#define TIMER20_CR_T2nCPOL_Msk (0xc0UL) /*!< TIMER20 CR: T2nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER20_CR_T2nMIEN_Pos (5UL) /*!< TIMER20 CR: T2nMIEN (Bit 5) */ +#define TIMER20_CR_T2nMIEN_Msk (0x20UL) /*!< TIMER20 CR: T2nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nCIEN_Pos (4UL) /*!< TIMER20 CR: T2nCIEN (Bit 4) */ +#define TIMER20_CR_T2nCIEN_Msk (0x10UL) /*!< TIMER20 CR: T2nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nMIFLAG_Pos (3UL) /*!< TIMER20 CR: T2nMIFLAG (Bit 3) */ +#define TIMER20_CR_T2nMIFLAG_Msk (0x8UL) /*!< TIMER20 CR: T2nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nCIFLAG_Pos (2UL) /*!< TIMER20 CR: T2nCIFLAG (Bit 2) */ +#define TIMER20_CR_T2nCIFLAG_Msk (0x4UL) /*!< TIMER20 CR: T2nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nPAU_Pos (1UL) /*!< TIMER20 CR: T2nPAU (Bit 1) */ +#define TIMER20_CR_T2nPAU_Msk (0x2UL) /*!< TIMER20 CR: T2nPAU (Bitfield-Mask: 0x01) */ +#define TIMER20_CR_T2nCLR_Pos (0UL) /*!< TIMER20 CR: T2nCLR (Bit 0) */ +#define TIMER20_CR_T2nCLR_Msk (0x1UL) /*!< TIMER20 CR: T2nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER20_ADR_ADATA_Pos (0UL) /*!< TIMER20 ADR: ADATA (Bit 0) */ +#define TIMER20_ADR_ADATA_Msk (0xffffffffUL) /*!< TIMER20 ADR: ADATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER20_BDR_BDATA_Pos (0UL) /*!< TIMER20 BDR: BDATA (Bit 0) */ +#define TIMER20_BDR_BDATA_Msk (0xffffffffUL) /*!< TIMER20 BDR: BDATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER20_CAPDR_CAPD_Pos (0UL) /*!< TIMER20 CAPDR: CAPD (Bit 0) */ +#define TIMER20_CAPDR_CAPD_Msk (0xffffffffUL) /*!< TIMER20 CAPDR: CAPD (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER20_PREDR_PRED_Pos (0UL) /*!< TIMER20 PREDR: PRED (Bit 0) */ +#define TIMER20_PREDR_PRED_Msk (0xfffUL) /*!< TIMER20 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER20_CNT_CNT_Pos (0UL) /*!< TIMER20 CNT: CNT (Bit 0) */ +#define TIMER20_CNT_CNT_Msk (0xffffffffUL) /*!< TIMER20 CNT: CNT (Bitfield-Mask: 0xffffffff) */ +/* ====================================================== TIMER20_CR ======================================================= */ +#define TIMER20_TIMER20_CR_T2nEN_Pos (15UL) /*!< TIMER20 TIMER20_CR: T2nEN (Bit 15) */ +#define TIMER20_TIMER20_CR_T2nEN_Msk (0x8000UL) /*!< TIMER20 TIMER20_CR: T2nEN (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nCLK_Pos (14UL) /*!< TIMER20 TIMER20_CR: T2nCLK (Bit 14) */ +#define TIMER20_TIMER20_CR_T2nCLK_Msk (0x4000UL) /*!< TIMER20 TIMER20_CR: T2nCLK (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nMS_Pos (12UL) /*!< TIMER20 TIMER20_CR: T2nMS (Bit 12) */ +#define TIMER20_TIMER20_CR_T2nMS_Msk (0x3000UL) /*!< TIMER20 TIMER20_CR: T2nMS (Bitfield-Mask: 0x03) */ +#define TIMER20_TIMER20_CR_T2nECE_Pos (11UL) /*!< TIMER20 TIMER20_CR: T2nECE (Bit 11) */ +#define TIMER20_TIMER20_CR_T2nECE_Msk (0x800UL) /*!< TIMER20 TIMER20_CR: T2nECE (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_CAPSEL_Pos (9UL) /*!< TIMER20 TIMER20_CR: CAPSEL (Bit 9) */ +#define TIMER20_TIMER20_CR_CAPSEL_Msk (0x600UL) /*!< TIMER20 TIMER20_CR: CAPSEL (Bitfield-Mask: 0x03) */ +#define TIMER20_TIMER20_CR_T2nOPOL_Pos (8UL) /*!< TIMER20 TIMER20_CR: T2nOPOL (Bit 8) */ +#define TIMER20_TIMER20_CR_T2nOPOL_Msk (0x100UL) /*!< TIMER20 TIMER20_CR: T2nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nCPOL_Pos (6UL) /*!< TIMER20 TIMER20_CR: T2nCPOL (Bit 6) */ +#define TIMER20_TIMER20_CR_T2nCPOL_Msk (0xc0UL) /*!< TIMER20 TIMER20_CR: T2nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER20_TIMER20_CR_T2nMIEN_Pos (5UL) /*!< TIMER20 TIMER20_CR: T2nMIEN (Bit 5) */ +#define TIMER20_TIMER20_CR_T2nMIEN_Msk (0x20UL) /*!< TIMER20 TIMER20_CR: T2nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nCIEN_Pos (4UL) /*!< TIMER20 TIMER20_CR: T2nCIEN (Bit 4) */ +#define TIMER20_TIMER20_CR_T2nCIEN_Msk (0x10UL) /*!< TIMER20 TIMER20_CR: T2nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nMIFLAG_Pos (3UL) /*!< TIMER20 TIMER20_CR: T2nMIFLAG (Bit 3) */ +#define TIMER20_TIMER20_CR_T2nMIFLAG_Msk (0x8UL) /*!< TIMER20 TIMER20_CR: T2nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nCIFLAG_Pos (2UL) /*!< TIMER20 TIMER20_CR: T2nCIFLAG (Bit 2) */ +#define TIMER20_TIMER20_CR_T2nCIFLAG_Msk (0x4UL) /*!< TIMER20 TIMER20_CR: T2nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nPAU_Pos (1UL) /*!< TIMER20 TIMER20_CR: T2nPAU (Bit 1) */ +#define TIMER20_TIMER20_CR_T2nPAU_Msk (0x2UL) /*!< TIMER20 TIMER20_CR: T2nPAU (Bitfield-Mask: 0x01) */ +#define TIMER20_TIMER20_CR_T2nCLR_Pos (0UL) /*!< TIMER20 TIMER20_CR: T2nCLR (Bit 0) */ +#define TIMER20_TIMER20_CR_T2nCLR_Msk (0x1UL) /*!< TIMER20 TIMER20_CR: T2nCLR (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER21 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER21_CR_T2nEN_Pos (15UL) /*!< TIMER21 CR: T2nEN (Bit 15) */ +#define TIMER21_CR_T2nEN_Msk (0x8000UL) /*!< TIMER21 CR: T2nEN (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nCLK_Pos (14UL) /*!< TIMER21 CR: T2nCLK (Bit 14) */ +#define TIMER21_CR_T2nCLK_Msk (0x4000UL) /*!< TIMER21 CR: T2nCLK (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nMS_Pos (12UL) /*!< TIMER21 CR: T2nMS (Bit 12) */ +#define TIMER21_CR_T2nMS_Msk (0x3000UL) /*!< TIMER21 CR: T2nMS (Bitfield-Mask: 0x03) */ +#define TIMER21_CR_T2nECE_Pos (11UL) /*!< TIMER21 CR: T2nECE (Bit 11) */ +#define TIMER21_CR_T2nECE_Msk (0x800UL) /*!< TIMER21 CR: T2nECE (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_CAPSEL_Pos (9UL) /*!< TIMER21 CR: CAPSEL (Bit 9) */ +#define TIMER21_CR_CAPSEL_Msk (0x600UL) /*!< TIMER21 CR: CAPSEL (Bitfield-Mask: 0x03) */ +#define TIMER21_CR_T2nOPOL_Pos (8UL) /*!< TIMER21 CR: T2nOPOL (Bit 8) */ +#define TIMER21_CR_T2nOPOL_Msk (0x100UL) /*!< TIMER21 CR: T2nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nCPOL_Pos (6UL) /*!< TIMER21 CR: T2nCPOL (Bit 6) */ +#define TIMER21_CR_T2nCPOL_Msk (0xc0UL) /*!< TIMER21 CR: T2nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER21_CR_T2nMIEN_Pos (5UL) /*!< TIMER21 CR: T2nMIEN (Bit 5) */ +#define TIMER21_CR_T2nMIEN_Msk (0x20UL) /*!< TIMER21 CR: T2nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nCIEN_Pos (4UL) /*!< TIMER21 CR: T2nCIEN (Bit 4) */ +#define TIMER21_CR_T2nCIEN_Msk (0x10UL) /*!< TIMER21 CR: T2nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nMIFLAG_Pos (3UL) /*!< TIMER21 CR: T2nMIFLAG (Bit 3) */ +#define TIMER21_CR_T2nMIFLAG_Msk (0x8UL) /*!< TIMER21 CR: T2nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nCIFLAG_Pos (2UL) /*!< TIMER21 CR: T2nCIFLAG (Bit 2) */ +#define TIMER21_CR_T2nCIFLAG_Msk (0x4UL) /*!< TIMER21 CR: T2nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nPAU_Pos (1UL) /*!< TIMER21 CR: T2nPAU (Bit 1) */ +#define TIMER21_CR_T2nPAU_Msk (0x2UL) /*!< TIMER21 CR: T2nPAU (Bitfield-Mask: 0x01) */ +#define TIMER21_CR_T2nCLR_Pos (0UL) /*!< TIMER21 CR: T2nCLR (Bit 0) */ +#define TIMER21_CR_T2nCLR_Msk (0x1UL) /*!< TIMER21 CR: T2nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER21_ADR_ADATA_Pos (0UL) /*!< TIMER21 ADR: ADATA (Bit 0) */ +#define TIMER21_ADR_ADATA_Msk (0xffffffffUL) /*!< TIMER21 ADR: ADATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER21_BDR_BDATA_Pos (0UL) /*!< TIMER21 BDR: BDATA (Bit 0) */ +#define TIMER21_BDR_BDATA_Msk (0xffffffffUL) /*!< TIMER21 BDR: BDATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER21_CAPDR_CAPD_Pos (0UL) /*!< TIMER21 CAPDR: CAPD (Bit 0) */ +#define TIMER21_CAPDR_CAPD_Msk (0xffffffffUL) /*!< TIMER21 CAPDR: CAPD (Bitfield-Mask: 0xffffffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER21_PREDR_PRED_Pos (0UL) /*!< TIMER21 PREDR: PRED (Bit 0) */ +#define TIMER21_PREDR_PRED_Msk (0xfffUL) /*!< TIMER21 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER21_CNT_CNT_Pos (0UL) /*!< TIMER21 CNT: CNT (Bit 0) */ +#define TIMER21_CNT_CNT_Msk (0xffffffffUL) /*!< TIMER21 CNT: CNT (Bitfield-Mask: 0xffffffff) */ +/* ====================================================== TIMER21_CR ======================================================= */ +#define TIMER21_TIMER21_CR_T2nEN_Pos (15UL) /*!< TIMER21 TIMER21_CR: T2nEN (Bit 15) */ +#define TIMER21_TIMER21_CR_T2nEN_Msk (0x8000UL) /*!< TIMER21 TIMER21_CR: T2nEN (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nCLK_Pos (14UL) /*!< TIMER21 TIMER21_CR: T2nCLK (Bit 14) */ +#define TIMER21_TIMER21_CR_T2nCLK_Msk (0x4000UL) /*!< TIMER21 TIMER21_CR: T2nCLK (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nMS_Pos (12UL) /*!< TIMER21 TIMER21_CR: T2nMS (Bit 12) */ +#define TIMER21_TIMER21_CR_T2nMS_Msk (0x3000UL) /*!< TIMER21 TIMER21_CR: T2nMS (Bitfield-Mask: 0x03) */ +#define TIMER21_TIMER21_CR_T2nECE_Pos (11UL) /*!< TIMER21 TIMER21_CR: T2nECE (Bit 11) */ +#define TIMER21_TIMER21_CR_T2nECE_Msk (0x800UL) /*!< TIMER21 TIMER21_CR: T2nECE (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nOPOL_Pos (8UL) /*!< TIMER21 TIMER21_CR: T2nOPOL (Bit 8) */ +#define TIMER21_TIMER21_CR_T2nOPOL_Msk (0x100UL) /*!< TIMER21 TIMER21_CR: T2nOPOL (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nCPOL_Pos (6UL) /*!< TIMER21 TIMER21_CR: T2nCPOL (Bit 6) */ +#define TIMER21_TIMER21_CR_T2nCPOL_Msk (0xc0UL) /*!< TIMER21 TIMER21_CR: T2nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER21_TIMER21_CR_T2nMIEN_Pos (5UL) /*!< TIMER21 TIMER21_CR: T2nMIEN (Bit 5) */ +#define TIMER21_TIMER21_CR_T2nMIEN_Msk (0x20UL) /*!< TIMER21 TIMER21_CR: T2nMIEN (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nCIEN_Pos (4UL) /*!< TIMER21 TIMER21_CR: T2nCIEN (Bit 4) */ +#define TIMER21_TIMER21_CR_T2nCIEN_Msk (0x10UL) /*!< TIMER21 TIMER21_CR: T2nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nMIFLAG_Pos (3UL) /*!< TIMER21 TIMER21_CR: T2nMIFLAG (Bit 3) */ +#define TIMER21_TIMER21_CR_T2nMIFLAG_Msk (0x8UL) /*!< TIMER21 TIMER21_CR: T2nMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nCIFLAG_Pos (2UL) /*!< TIMER21 TIMER21_CR: T2nCIFLAG (Bit 2) */ +#define TIMER21_TIMER21_CR_T2nCIFLAG_Msk (0x4UL) /*!< TIMER21 TIMER21_CR: T2nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nPAU_Pos (1UL) /*!< TIMER21 TIMER21_CR: T2nPAU (Bit 1) */ +#define TIMER21_TIMER21_CR_T2nPAU_Msk (0x2UL) /*!< TIMER21 TIMER21_CR: T2nPAU (Bitfield-Mask: 0x01) */ +#define TIMER21_TIMER21_CR_T2nCLR_Pos (0UL) /*!< TIMER21 TIMER21_CR: T2nCLR (Bit 0) */ +#define TIMER21_TIMER21_CR_T2nCLR_Msk (0x1UL) /*!< TIMER21 TIMER21_CR: T2nCLR (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER3n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER3n_CR_T3nEN_Pos (15UL) /*!< TIMER3n CR: T3nEN (Bit 15) */ +#define TIMER3n_CR_T3nEN_Msk (0x8000UL) /*!< TIMER3n CR: T3nEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_T3nCLK_Pos (14UL) /*!< TIMER3n CR: T3nCLK (Bit 14) */ +#define TIMER3n_CR_T3nCLK_Msk (0x4000UL) /*!< TIMER3n CR: T3nCLK (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_T3nMS_Pos (12UL) /*!< TIMER3n CR: T3nMS (Bit 12) */ +#define TIMER3n_CR_T3nMS_Msk (0x3000UL) /*!< TIMER3n CR: T3nMS (Bitfield-Mask: 0x03) */ +#define TIMER3n_CR_T3nECE_Pos (11UL) /*!< TIMER3n CR: T3nECE (Bit 11) */ +#define TIMER3n_CR_T3nECE_Msk (0x800UL) /*!< TIMER3n CR: T3nECE (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_FORCA_Pos (10UL) /*!< TIMER3n CR: FORCA (Bit 10) */ +#define TIMER3n_CR_FORCA_Msk (0x400UL) /*!< TIMER3n CR: FORCA (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_DLYEN_Pos (9UL) /*!< TIMER3n CR: DLYEN (Bit 9) */ +#define TIMER3n_CR_DLYEN_Msk (0x200UL) /*!< TIMER3n CR: DLYEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_DLYPOS_Pos (8UL) /*!< TIMER3n CR: DLYPOS (Bit 8) */ +#define TIMER3n_CR_DLYPOS_Msk (0x100UL) /*!< TIMER3n CR: DLYPOS (Bitfield-Mask: 0x01) */ +#define TIMER3n_CR_T3nCPOL_Pos (6UL) /*!< TIMER3n CR: T3nCPOL (Bit 6) */ +#define TIMER3n_CR_T3nCPOL_Msk (0xc0UL) /*!< TIMER3n CR: T3nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER3n_CR_UPDT_Pos (4UL) /*!< TIMER3n CR: UPDT (Bit 4) */ +#define TIMER3n_CR_UPDT_Msk (0x30UL) /*!< TIMER3n CR: UPDT (Bitfield-Mask: 0x03) */ +#define TIMER3n_CR_PMOC_Pos (1UL) /*!< TIMER3n CR: PMOC (Bit 1) */ +#define TIMER3n_CR_PMOC_Msk (0xeUL) /*!< TIMER3n CR: PMOC (Bitfield-Mask: 0x07) */ +#define TIMER3n_CR_T3nCLR_Pos (0UL) /*!< TIMER3n CR: T3nCLR (Bit 0) */ +#define TIMER3n_CR_T3nCLR_Msk (0x1UL) /*!< TIMER3n CR: T3nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== PDR ========================================================== */ +#define TIMER3n_PDR_PDATA_Pos (0UL) /*!< TIMER3n PDR: PDATA (Bit 0) */ +#define TIMER3n_PDR_PDATA_Msk (0xffffUL) /*!< TIMER3n PDR: PDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER3n_ADR_ADATA_Pos (0UL) /*!< TIMER3n ADR: ADATA (Bit 0) */ +#define TIMER3n_ADR_ADATA_Msk (0xffffUL) /*!< TIMER3n ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER3n_BDR_BDATA_Pos (0UL) /*!< TIMER3n BDR: BDATA (Bit 0) */ +#define TIMER3n_BDR_BDATA_Msk (0xffffUL) /*!< TIMER3n BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== CDR ========================================================== */ +#define TIMER3n_CDR_CDATA_Pos (0UL) /*!< TIMER3n CDR: CDATA (Bit 0) */ +#define TIMER3n_CDR_CDATA_Msk (0xffffUL) /*!< TIMER3n CDR: CDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER3n_CAPDR_CAPD_Pos (0UL) /*!< TIMER3n CAPDR: CAPD (Bit 0) */ +#define TIMER3n_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER3n CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER3n_PREDR_PRED_Pos (0UL) /*!< TIMER3n PREDR: PRED (Bit 0) */ +#define TIMER3n_PREDR_PRED_Msk (0xfffUL) /*!< TIMER3n PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER3n_CNT_CNT_Pos (0UL) /*!< TIMER3n CNT: CNT (Bit 0) */ +#define TIMER3n_CNT_CNT_Msk (0xffffUL) /*!< TIMER3n CNT: CNT (Bitfield-Mask: 0xffff) */ +/* ========================================================= OUTCR ========================================================= */ +#define TIMER3n_OUTCR_WTIDKY_Pos (16UL) /*!< TIMER3n OUTCR: WTIDKY (Bit 16) */ +#define TIMER3n_OUTCR_WTIDKY_Msk (0xffff0000UL) /*!< TIMER3n OUTCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define TIMER3n_OUTCR_POLB_Pos (15UL) /*!< TIMER3n OUTCR: POLB (Bit 15) */ +#define TIMER3n_OUTCR_POLB_Msk (0x8000UL) /*!< TIMER3n OUTCR: POLB (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_POLA_Pos (14UL) /*!< TIMER3n OUTCR: POLA (Bit 14) */ +#define TIMER3n_OUTCR_POLA_Msk (0x4000UL) /*!< TIMER3n OUTCR: POLA (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PABOE_Pos (13UL) /*!< TIMER3n OUTCR: PABOE (Bit 13) */ +#define TIMER3n_OUTCR_PABOE_Msk (0x2000UL) /*!< TIMER3n OUTCR: PABOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PBBOE_Pos (12UL) /*!< TIMER3n OUTCR: PBBOE (Bit 12) */ +#define TIMER3n_OUTCR_PBBOE_Msk (0x1000UL) /*!< TIMER3n OUTCR: PBBOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PCBOE_Pos (11UL) /*!< TIMER3n OUTCR: PCBOE (Bit 11) */ +#define TIMER3n_OUTCR_PCBOE_Msk (0x800UL) /*!< TIMER3n OUTCR: PCBOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PAAOE_Pos (10UL) /*!< TIMER3n OUTCR: PAAOE (Bit 10) */ +#define TIMER3n_OUTCR_PAAOE_Msk (0x400UL) /*!< TIMER3n OUTCR: PAAOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PBAOE_Pos (9UL) /*!< TIMER3n OUTCR: PBAOE (Bit 9) */ +#define TIMER3n_OUTCR_PBAOE_Msk (0x200UL) /*!< TIMER3n OUTCR: PBAOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_PCAOE_Pos (8UL) /*!< TIMER3n OUTCR: PCAOE (Bit 8) */ +#define TIMER3n_OUTCR_PCAOE_Msk (0x100UL) /*!< TIMER3n OUTCR: PCAOE (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLAB_Pos (6UL) /*!< TIMER3n OUTCR: LVLAB (Bit 6) */ +#define TIMER3n_OUTCR_LVLAB_Msk (0x40UL) /*!< TIMER3n OUTCR: LVLAB (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLBB_Pos (5UL) /*!< TIMER3n OUTCR: LVLBB (Bit 5) */ +#define TIMER3n_OUTCR_LVLBB_Msk (0x20UL) /*!< TIMER3n OUTCR: LVLBB (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLCB_Pos (4UL) /*!< TIMER3n OUTCR: LVLCB (Bit 4) */ +#define TIMER3n_OUTCR_LVLCB_Msk (0x10UL) /*!< TIMER3n OUTCR: LVLCB (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLAA_Pos (2UL) /*!< TIMER3n OUTCR: LVLAA (Bit 2) */ +#define TIMER3n_OUTCR_LVLAA_Msk (0x4UL) /*!< TIMER3n OUTCR: LVLAA (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLBA_Pos (1UL) /*!< TIMER3n OUTCR: LVLBA (Bit 1) */ +#define TIMER3n_OUTCR_LVLBA_Msk (0x2UL) /*!< TIMER3n OUTCR: LVLBA (Bitfield-Mask: 0x01) */ +#define TIMER3n_OUTCR_LVLCA_Pos (0UL) /*!< TIMER3n OUTCR: LVLCA (Bit 0) */ +#define TIMER3n_OUTCR_LVLCA_Msk (0x1UL) /*!< TIMER3n OUTCR: LVLCA (Bitfield-Mask: 0x01) */ +/* ========================================================== DLY ========================================================== */ +#define TIMER3n_DLY_DLY_Pos (0UL) /*!< TIMER3n DLY: DLY (Bit 0) */ +#define TIMER3n_DLY_DLY_Msk (0x3ffUL) /*!< TIMER3n DLY: DLY (Bitfield-Mask: 0x3ff) */ +/* ========================================================= INTCR ========================================================= */ +#define TIMER3n_INTCR_HIZIEN_Pos (6UL) /*!< TIMER3n INTCR: HIZIEN (Bit 6) */ +#define TIMER3n_INTCR_HIZIEN_Msk (0x40UL) /*!< TIMER3n INTCR: HIZIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nCIEN_Pos (5UL) /*!< TIMER3n INTCR: T3nCIEN (Bit 5) */ +#define TIMER3n_INTCR_T3nCIEN_Msk (0x20UL) /*!< TIMER3n INTCR: T3nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nBTIEN_Pos (4UL) /*!< TIMER3n INTCR: T3nBTIEN (Bit 4) */ +#define TIMER3n_INTCR_T3nBTIEN_Msk (0x10UL) /*!< TIMER3n INTCR: T3nBTIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nPMIEN_Pos (3UL) /*!< TIMER3n INTCR: T3nPMIEN (Bit 3) */ +#define TIMER3n_INTCR_T3nPMIEN_Msk (0x8UL) /*!< TIMER3n INTCR: T3nPMIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nAMIEN_Pos (2UL) /*!< TIMER3n INTCR: T3nAMIEN (Bit 2) */ +#define TIMER3n_INTCR_T3nAMIEN_Msk (0x4UL) /*!< TIMER3n INTCR: T3nAMIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nBMIEN_Pos (1UL) /*!< TIMER3n INTCR: T3nBMIEN (Bit 1) */ +#define TIMER3n_INTCR_T3nBMIEN_Msk (0x2UL) /*!< TIMER3n INTCR: T3nBMIEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTCR_T3nCMIEN_Pos (0UL) /*!< TIMER3n INTCR: T3nCMIEN (Bit 0) */ +#define TIMER3n_INTCR_T3nCMIEN_Msk (0x1UL) /*!< TIMER3n INTCR: T3nCMIEN (Bitfield-Mask: 0x01) */ +/* ======================================================== INTFLAG ======================================================== */ +#define TIMER3n_INTFLAG_HIZIFLAG_Pos (6UL) /*!< TIMER3n INTFLAG: HIZIFLAG (Bit 6) */ +#define TIMER3n_INTFLAG_HIZIFLAG_Msk (0x40UL) /*!< TIMER3n INTFLAG: HIZIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nCIFLAG_Pos (5UL) /*!< TIMER3n INTFLAG: T3nCIFLAG (Bit 5) */ +#define TIMER3n_INTFLAG_T3nCIFLAG_Msk (0x20UL) /*!< TIMER3n INTFLAG: T3nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nBTIFLAG_Pos (4UL) /*!< TIMER3n INTFLAG: T3nBTIFLAG (Bit 4) */ +#define TIMER3n_INTFLAG_T3nBTIFLAG_Msk (0x10UL) /*!< TIMER3n INTFLAG: T3nBTIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nPMIFLAG_Pos (3UL) /*!< TIMER3n INTFLAG: T3nPMIFLAG (Bit 3) */ +#define TIMER3n_INTFLAG_T3nPMIFLAG_Msk (0x8UL) /*!< TIMER3n INTFLAG: T3nPMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nAMIFLAG_Pos (2UL) /*!< TIMER3n INTFLAG: T3nAMIFLAG (Bit 2) */ +#define TIMER3n_INTFLAG_T3nAMIFLAG_Msk (0x4UL) /*!< TIMER3n INTFLAG: T3nAMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nBMIFLAG_Pos (1UL) /*!< TIMER3n INTFLAG: T3nBMIFLAG (Bit 1) */ +#define TIMER3n_INTFLAG_T3nBMIFLAG_Msk (0x2UL) /*!< TIMER3n INTFLAG: T3nBMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER3n_INTFLAG_T3nCMIFLAG_Pos (0UL) /*!< TIMER3n INTFLAG: T3nCMIFLAG (Bit 0) */ +#define TIMER3n_INTFLAG_T3nCMIFLAG_Msk (0x1UL) /*!< TIMER3n INTFLAG: T3nCMIFLAG (Bitfield-Mask: 0x01) */ +/* ========================================================= HIZCR ========================================================= */ +#define TIMER3n_HIZCR_HIZEN_Pos (7UL) /*!< TIMER3n HIZCR: HIZEN (Bit 7) */ +#define TIMER3n_HIZCR_HIZEN_Msk (0x80UL) /*!< TIMER3n HIZCR: HIZEN (Bitfield-Mask: 0x01) */ +#define TIMER3n_HIZCR_HIZSW_Pos (4UL) /*!< TIMER3n HIZCR: HIZSW (Bit 4) */ +#define TIMER3n_HIZCR_HIZSW_Msk (0x10UL) /*!< TIMER3n HIZCR: HIZSW (Bitfield-Mask: 0x01) */ +#define TIMER3n_HIZCR_HEDGE_Pos (2UL) /*!< TIMER3n HIZCR: HEDGE (Bit 2) */ +#define TIMER3n_HIZCR_HEDGE_Msk (0x4UL) /*!< TIMER3n HIZCR: HEDGE (Bitfield-Mask: 0x01) */ +#define TIMER3n_HIZCR_HIZSTA_Pos (1UL) /*!< TIMER3n HIZCR: HIZSTA (Bit 1) */ +#define TIMER3n_HIZCR_HIZSTA_Msk (0x2UL) /*!< TIMER3n HIZCR: HIZSTA (Bitfield-Mask: 0x01) */ +#define TIMER3n_HIZCR_HIZCLR_Pos (0UL) /*!< TIMER3n HIZCR: HIZCLR (Bit 0) */ +#define TIMER3n_HIZCR_HIZCLR_Msk (0x1UL) /*!< TIMER3n HIZCR: HIZCLR (Bitfield-Mask: 0x01) */ +/* ========================================================= ADTCR ========================================================= */ +#define TIMER3n_ADTCR_T3nBTTG_Pos (4UL) /*!< TIMER3n ADTCR: T3nBTTG (Bit 4) */ +#define TIMER3n_ADTCR_T3nBTTG_Msk (0x10UL) /*!< TIMER3n ADTCR: T3nBTTG (Bitfield-Mask: 0x01) */ +#define TIMER3n_ADTCR_T3nPMTG_Pos (3UL) /*!< TIMER3n ADTCR: T3nPMTG (Bit 3) */ +#define TIMER3n_ADTCR_T3nPMTG_Msk (0x8UL) /*!< TIMER3n ADTCR: T3nPMTG (Bitfield-Mask: 0x01) */ +#define TIMER3n_ADTCR_T3nAMTG_Pos (2UL) /*!< TIMER3n ADTCR: T3nAMTG (Bit 2) */ +#define TIMER3n_ADTCR_T3nAMTG_Msk (0x4UL) /*!< TIMER3n ADTCR: T3nAMTG (Bitfield-Mask: 0x01) */ +#define TIMER3n_ADTCR_T3nBMTG_Pos (1UL) /*!< TIMER3n ADTCR: T3nBMTG (Bit 1) */ +#define TIMER3n_ADTCR_T3nBMTG_Msk (0x2UL) /*!< TIMER3n ADTCR: T3nBMTG (Bitfield-Mask: 0x01) */ +#define TIMER3n_ADTCR_T3nCMTG_Pos (0UL) /*!< TIMER3n ADTCR: T3nCMTG (Bit 0) */ +#define TIMER3n_ADTCR_T3nCMTG_Msk (0x1UL) /*!< TIMER3n ADTCR: T3nCMTG (Bitfield-Mask: 0x01) */ +/* ========================================================= ADTDR ========================================================= */ +#define TIMER3n_ADTDR_ADTDATA_Pos (0UL) /*!< TIMER3n ADTDR: ADTDATA (Bit 0) */ +#define TIMER3n_ADTDR_ADTDATA_Msk (0x3fffUL) /*!< TIMER3n ADTDR: ADTDATA (Bitfield-Mask: 0x3fff) */ + + +/* =========================================================================================================================== */ +/* ================ TIMER30 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define TIMER30_CR_T3nEN_Pos (15UL) /*!< TIMER30 CR: T3nEN (Bit 15) */ +#define TIMER30_CR_T3nEN_Msk (0x8000UL) /*!< TIMER30 CR: T3nEN (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_T3nCLK_Pos (14UL) /*!< TIMER30 CR: T3nCLK (Bit 14) */ +#define TIMER30_CR_T3nCLK_Msk (0x4000UL) /*!< TIMER30 CR: T3nCLK (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_T3nMS_Pos (12UL) /*!< TIMER30 CR: T3nMS (Bit 12) */ +#define TIMER30_CR_T3nMS_Msk (0x3000UL) /*!< TIMER30 CR: T3nMS (Bitfield-Mask: 0x03) */ +#define TIMER30_CR_T3nECE_Pos (11UL) /*!< TIMER30 CR: T3nECE (Bit 11) */ +#define TIMER30_CR_T3nECE_Msk (0x800UL) /*!< TIMER30 CR: T3nECE (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_FORCA_Pos (10UL) /*!< TIMER30 CR: FORCA (Bit 10) */ +#define TIMER30_CR_FORCA_Msk (0x400UL) /*!< TIMER30 CR: FORCA (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_DLYEN_Pos (9UL) /*!< TIMER30 CR: DLYEN (Bit 9) */ +#define TIMER30_CR_DLYEN_Msk (0x200UL) /*!< TIMER30 CR: DLYEN (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_DLYPOS_Pos (8UL) /*!< TIMER30 CR: DLYPOS (Bit 8) */ +#define TIMER30_CR_DLYPOS_Msk (0x100UL) /*!< TIMER30 CR: DLYPOS (Bitfield-Mask: 0x01) */ +#define TIMER30_CR_T3nCPOL_Pos (6UL) /*!< TIMER30 CR: T3nCPOL (Bit 6) */ +#define TIMER30_CR_T3nCPOL_Msk (0xc0UL) /*!< TIMER30 CR: T3nCPOL (Bitfield-Mask: 0x03) */ +#define TIMER30_CR_UPDT_Pos (4UL) /*!< TIMER30 CR: UPDT (Bit 4) */ +#define TIMER30_CR_UPDT_Msk (0x30UL) /*!< TIMER30 CR: UPDT (Bitfield-Mask: 0x03) */ +#define TIMER30_CR_PMOC_Pos (1UL) /*!< TIMER30 CR: PMOC (Bit 1) */ +#define TIMER30_CR_PMOC_Msk (0xeUL) /*!< TIMER30 CR: PMOC (Bitfield-Mask: 0x07) */ +#define TIMER30_CR_T3nCLR_Pos (0UL) /*!< TIMER30 CR: T3nCLR (Bit 0) */ +#define TIMER30_CR_T3nCLR_Msk (0x1UL) /*!< TIMER30 CR: T3nCLR (Bitfield-Mask: 0x01) */ +/* ========================================================== PDR ========================================================== */ +#define TIMER30_PDR_PDATA_Pos (0UL) /*!< TIMER30 PDR: PDATA (Bit 0) */ +#define TIMER30_PDR_PDATA_Msk (0xffffUL) /*!< TIMER30 PDR: PDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== ADR ========================================================== */ +#define TIMER30_ADR_ADATA_Pos (0UL) /*!< TIMER30 ADR: ADATA (Bit 0) */ +#define TIMER30_ADR_ADATA_Msk (0xffffUL) /*!< TIMER30 ADR: ADATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== BDR ========================================================== */ +#define TIMER30_BDR_BDATA_Pos (0UL) /*!< TIMER30 BDR: BDATA (Bit 0) */ +#define TIMER30_BDR_BDATA_Msk (0xffffUL) /*!< TIMER30 BDR: BDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================== CDR ========================================================== */ +#define TIMER30_CDR_CDATA_Pos (0UL) /*!< TIMER30 CDR: CDATA (Bit 0) */ +#define TIMER30_CDR_CDATA_Msk (0xffffUL) /*!< TIMER30 CDR: CDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= CAPDR ========================================================= */ +#define TIMER30_CAPDR_CAPD_Pos (0UL) /*!< TIMER30 CAPDR: CAPD (Bit 0) */ +#define TIMER30_CAPDR_CAPD_Msk (0xffffUL) /*!< TIMER30 CAPDR: CAPD (Bitfield-Mask: 0xffff) */ +/* ========================================================= PREDR ========================================================= */ +#define TIMER30_PREDR_PRED_Pos (0UL) /*!< TIMER30 PREDR: PRED (Bit 0) */ +#define TIMER30_PREDR_PRED_Msk (0xfffUL) /*!< TIMER30 PREDR: PRED (Bitfield-Mask: 0xfff) */ +/* ========================================================== CNT ========================================================== */ +#define TIMER30_CNT_CNT_Pos (0UL) /*!< TIMER30 CNT: CNT (Bit 0) */ +#define TIMER30_CNT_CNT_Msk (0xffffUL) /*!< TIMER30 CNT: CNT (Bitfield-Mask: 0xffff) */ +/* ========================================================= OUTCR ========================================================= */ +#define TIMER30_OUTCR_WTIDKY_Pos (16UL) /*!< TIMER30 OUTCR: WTIDKY (Bit 16) */ +#define TIMER30_OUTCR_WTIDKY_Msk (0xffff0000UL) /*!< TIMER30 OUTCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define TIMER30_OUTCR_POLB_Pos (15UL) /*!< TIMER30 OUTCR: POLB (Bit 15) */ +#define TIMER30_OUTCR_POLB_Msk (0x8000UL) /*!< TIMER30 OUTCR: POLB (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_POLA_Pos (14UL) /*!< TIMER30 OUTCR: POLA (Bit 14) */ +#define TIMER30_OUTCR_POLA_Msk (0x4000UL) /*!< TIMER30 OUTCR: POLA (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PABOE_Pos (13UL) /*!< TIMER30 OUTCR: PABOE (Bit 13) */ +#define TIMER30_OUTCR_PABOE_Msk (0x2000UL) /*!< TIMER30 OUTCR: PABOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PBBOE_Pos (12UL) /*!< TIMER30 OUTCR: PBBOE (Bit 12) */ +#define TIMER30_OUTCR_PBBOE_Msk (0x1000UL) /*!< TIMER30 OUTCR: PBBOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PCBOE_Pos (11UL) /*!< TIMER30 OUTCR: PCBOE (Bit 11) */ +#define TIMER30_OUTCR_PCBOE_Msk (0x800UL) /*!< TIMER30 OUTCR: PCBOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PAAOE_Pos (10UL) /*!< TIMER30 OUTCR: PAAOE (Bit 10) */ +#define TIMER30_OUTCR_PAAOE_Msk (0x400UL) /*!< TIMER30 OUTCR: PAAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PBAOE_Pos (9UL) /*!< TIMER30 OUTCR: PBAOE (Bit 9) */ +#define TIMER30_OUTCR_PBAOE_Msk (0x200UL) /*!< TIMER30 OUTCR: PBAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_PCAOE_Pos (8UL) /*!< TIMER30 OUTCR: PCAOE (Bit 8) */ +#define TIMER30_OUTCR_PCAOE_Msk (0x100UL) /*!< TIMER30 OUTCR: PCAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLAB_Pos (6UL) /*!< TIMER30 OUTCR: LVLAB (Bit 6) */ +#define TIMER30_OUTCR_LVLAB_Msk (0x40UL) /*!< TIMER30 OUTCR: LVLAB (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLBB_Pos (5UL) /*!< TIMER30 OUTCR: LVLBB (Bit 5) */ +#define TIMER30_OUTCR_LVLBB_Msk (0x20UL) /*!< TIMER30 OUTCR: LVLBB (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLCB_Pos (4UL) /*!< TIMER30 OUTCR: LVLCB (Bit 4) */ +#define TIMER30_OUTCR_LVLCB_Msk (0x10UL) /*!< TIMER30 OUTCR: LVLCB (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLAA_Pos (2UL) /*!< TIMER30 OUTCR: LVLAA (Bit 2) */ +#define TIMER30_OUTCR_LVLAA_Msk (0x4UL) /*!< TIMER30 OUTCR: LVLAA (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLBA_Pos (1UL) /*!< TIMER30 OUTCR: LVLBA (Bit 1) */ +#define TIMER30_OUTCR_LVLBA_Msk (0x2UL) /*!< TIMER30 OUTCR: LVLBA (Bitfield-Mask: 0x01) */ +#define TIMER30_OUTCR_LVLCA_Pos (0UL) /*!< TIMER30 OUTCR: LVLCA (Bit 0) */ +#define TIMER30_OUTCR_LVLCA_Msk (0x1UL) /*!< TIMER30 OUTCR: LVLCA (Bitfield-Mask: 0x01) */ +/* ========================================================== DLY ========================================================== */ +#define TIMER30_DLY_DLY_Pos (0UL) /*!< TIMER30 DLY: DLY (Bit 0) */ +#define TIMER30_DLY_DLY_Msk (0x3ffUL) /*!< TIMER30 DLY: DLY (Bitfield-Mask: 0x3ff) */ +/* ========================================================= INTCR ========================================================= */ +#define TIMER30_INTCR_HIZIEN_Pos (6UL) /*!< TIMER30 INTCR: HIZIEN (Bit 6) */ +#define TIMER30_INTCR_HIZIEN_Msk (0x40UL) /*!< TIMER30 INTCR: HIZIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nCIEN_Pos (5UL) /*!< TIMER30 INTCR: T3nCIEN (Bit 5) */ +#define TIMER30_INTCR_T3nCIEN_Msk (0x20UL) /*!< TIMER30 INTCR: T3nCIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nBTIEN_Pos (4UL) /*!< TIMER30 INTCR: T3nBTIEN (Bit 4) */ +#define TIMER30_INTCR_T3nBTIEN_Msk (0x10UL) /*!< TIMER30 INTCR: T3nBTIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nPMIEN_Pos (3UL) /*!< TIMER30 INTCR: T3nPMIEN (Bit 3) */ +#define TIMER30_INTCR_T3nPMIEN_Msk (0x8UL) /*!< TIMER30 INTCR: T3nPMIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nAMIEN_Pos (2UL) /*!< TIMER30 INTCR: T3nAMIEN (Bit 2) */ +#define TIMER30_INTCR_T3nAMIEN_Msk (0x4UL) /*!< TIMER30 INTCR: T3nAMIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nBMIEN_Pos (1UL) /*!< TIMER30 INTCR: T3nBMIEN (Bit 1) */ +#define TIMER30_INTCR_T3nBMIEN_Msk (0x2UL) /*!< TIMER30 INTCR: T3nBMIEN (Bitfield-Mask: 0x01) */ +#define TIMER30_INTCR_T3nCMIEN_Pos (0UL) /*!< TIMER30 INTCR: T3nCMIEN (Bit 0) */ +#define TIMER30_INTCR_T3nCMIEN_Msk (0x1UL) /*!< TIMER30 INTCR: T3nCMIEN (Bitfield-Mask: 0x01) */ +/* ======================================================== INTFLAG ======================================================== */ +#define TIMER30_INTFLAG_HIZIFLAG_Pos (6UL) /*!< TIMER30 INTFLAG: HIZIFLAG (Bit 6) */ +#define TIMER30_INTFLAG_HIZIFLAG_Msk (0x40UL) /*!< TIMER30 INTFLAG: HIZIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nCIFLAG_Pos (5UL) /*!< TIMER30 INTFLAG: T3nCIFLAG (Bit 5) */ +#define TIMER30_INTFLAG_T3nCIFLAG_Msk (0x20UL) /*!< TIMER30 INTFLAG: T3nCIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nBTIFLAG_Pos (4UL) /*!< TIMER30 INTFLAG: T3nBTIFLAG (Bit 4) */ +#define TIMER30_INTFLAG_T3nBTIFLAG_Msk (0x10UL) /*!< TIMER30 INTFLAG: T3nBTIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nPMIFLAG_Pos (3UL) /*!< TIMER30 INTFLAG: T3nPMIFLAG (Bit 3) */ +#define TIMER30_INTFLAG_T3nPMIFLAG_Msk (0x8UL) /*!< TIMER30 INTFLAG: T3nPMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nAMIFLAG_Pos (2UL) /*!< TIMER30 INTFLAG: T3nAMIFLAG (Bit 2) */ +#define TIMER30_INTFLAG_T3nAMIFLAG_Msk (0x4UL) /*!< TIMER30 INTFLAG: T3nAMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nBMIFLAG_Pos (1UL) /*!< TIMER30 INTFLAG: T3nBMIFLAG (Bit 1) */ +#define TIMER30_INTFLAG_T3nBMIFLAG_Msk (0x2UL) /*!< TIMER30 INTFLAG: T3nBMIFLAG (Bitfield-Mask: 0x01) */ +#define TIMER30_INTFLAG_T3nCMIFLAG_Pos (0UL) /*!< TIMER30 INTFLAG: T3nCMIFLAG (Bit 0) */ +#define TIMER30_INTFLAG_T3nCMIFLAG_Msk (0x1UL) /*!< TIMER30 INTFLAG: T3nCMIFLAG (Bitfield-Mask: 0x01) */ +/* ========================================================= HIZCR ========================================================= */ +#define TIMER30_HIZCR_HIZEN_Pos (7UL) /*!< TIMER30 HIZCR: HIZEN (Bit 7) */ +#define TIMER30_HIZCR_HIZEN_Msk (0x80UL) /*!< TIMER30 HIZCR: HIZEN (Bitfield-Mask: 0x01) */ +#define TIMER30_HIZCR_HIZSW_Pos (4UL) /*!< TIMER30 HIZCR: HIZSW (Bit 4) */ +#define TIMER30_HIZCR_HIZSW_Msk (0x10UL) /*!< TIMER30 HIZCR: HIZSW (Bitfield-Mask: 0x01) */ +#define TIMER30_HIZCR_HEDGE_Pos (2UL) /*!< TIMER30 HIZCR: HEDGE (Bit 2) */ +#define TIMER30_HIZCR_HEDGE_Msk (0x4UL) /*!< TIMER30 HIZCR: HEDGE (Bitfield-Mask: 0x01) */ +#define TIMER30_HIZCR_HIZSTA_Pos (1UL) /*!< TIMER30 HIZCR: HIZSTA (Bit 1) */ +#define TIMER30_HIZCR_HIZSTA_Msk (0x2UL) /*!< TIMER30 HIZCR: HIZSTA (Bitfield-Mask: 0x01) */ +#define TIMER30_HIZCR_HIZCLR_Pos (0UL) /*!< TIMER30 HIZCR: HIZCLR (Bit 0) */ +#define TIMER30_HIZCR_HIZCLR_Msk (0x1UL) /*!< TIMER30 HIZCR: HIZCLR (Bitfield-Mask: 0x01) */ +/* ========================================================= ADTCR ========================================================= */ +#define TIMER30_ADTCR_T3nBTTG_Pos (4UL) /*!< TIMER30 ADTCR: T3nBTTG (Bit 4) */ +#define TIMER30_ADTCR_T3nBTTG_Msk (0x10UL) /*!< TIMER30 ADTCR: T3nBTTG (Bitfield-Mask: 0x01) */ +#define TIMER30_ADTCR_T3nPMTG_Pos (3UL) /*!< TIMER30 ADTCR: T3nPMTG (Bit 3) */ +#define TIMER30_ADTCR_T3nPMTG_Msk (0x8UL) /*!< TIMER30 ADTCR: T3nPMTG (Bitfield-Mask: 0x01) */ +#define TIMER30_ADTCR_T3nAMTG_Pos (2UL) /*!< TIMER30 ADTCR: T3nAMTG (Bit 2) */ +#define TIMER30_ADTCR_T3nAMTG_Msk (0x4UL) /*!< TIMER30 ADTCR: T3nAMTG (Bitfield-Mask: 0x01) */ +#define TIMER30_ADTCR_T3nBMTG_Pos (1UL) /*!< TIMER30 ADTCR: T3nBMTG (Bit 1) */ +#define TIMER30_ADTCR_T3nBMTG_Msk (0x2UL) /*!< TIMER30 ADTCR: T3nBMTG (Bitfield-Mask: 0x01) */ +#define TIMER30_ADTCR_T3nCMTG_Pos (0UL) /*!< TIMER30 ADTCR: T3nCMTG (Bit 0) */ +#define TIMER30_ADTCR_T3nCMTG_Msk (0x1UL) /*!< TIMER30 ADTCR: T3nCMTG (Bitfield-Mask: 0x01) */ +/* ========================================================= ADTDR ========================================================= */ +#define TIMER30_ADTDR_ADTDATA_Pos (0UL) /*!< TIMER30 ADTDR: ADTDATA (Bit 0) */ +#define TIMER30_ADTDR_ADTDATA_Msk (0x3fffUL) /*!< TIMER30 ADTDR: ADTDATA (Bitfield-Mask: 0x3fff) */ +/* ======================================================= T30_OUTCR ======================================================= */ +#define TIMER30_T30_OUTCR_WTIDKY_Pos (16UL) /*!< TIMER30 T30_OUTCR: WTIDKY (Bit 16) */ +#define TIMER30_T30_OUTCR_WTIDKY_Msk (0xffff0000UL) /*!< TIMER30 T30_OUTCR: WTIDKY (Bitfield-Mask: 0xffff) */ +#define TIMER30_T30_OUTCR_POLB_Pos (15UL) /*!< TIMER30 T30_OUTCR: POLB (Bit 15) */ +#define TIMER30_T30_OUTCR_POLB_Msk (0x8000UL) /*!< TIMER30 T30_OUTCR: POLB (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_POLA_Pos (14UL) /*!< TIMER30 T30_OUTCR: POLA (Bit 14) */ +#define TIMER30_T30_OUTCR_POLA_Msk (0x4000UL) /*!< TIMER30 T30_OUTCR: POLA (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PABOE_Pos (13UL) /*!< TIMER30 T30_OUTCR: PABOE (Bit 13) */ +#define TIMER30_T30_OUTCR_PABOE_Msk (0x2000UL) /*!< TIMER30 T30_OUTCR: PABOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PBBOE_Pos (12UL) /*!< TIMER30 T30_OUTCR: PBBOE (Bit 12) */ +#define TIMER30_T30_OUTCR_PBBOE_Msk (0x1000UL) /*!< TIMER30 T30_OUTCR: PBBOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PCBOE_Pos (11UL) /*!< TIMER30 T30_OUTCR: PCBOE (Bit 11) */ +#define TIMER30_T30_OUTCR_PCBOE_Msk (0x800UL) /*!< TIMER30 T30_OUTCR: PCBOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PAAOE_Pos (10UL) /*!< TIMER30 T30_OUTCR: PAAOE (Bit 10) */ +#define TIMER30_T30_OUTCR_PAAOE_Msk (0x400UL) /*!< TIMER30 T30_OUTCR: PAAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PBAOE_Pos (9UL) /*!< TIMER30 T30_OUTCR: PBAOE (Bit 9) */ +#define TIMER30_T30_OUTCR_PBAOE_Msk (0x200UL) /*!< TIMER30 T30_OUTCR: PBAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_PCAOE_Pos (8UL) /*!< TIMER30 T30_OUTCR: PCAOE (Bit 8) */ +#define TIMER30_T30_OUTCR_PCAOE_Msk (0x100UL) /*!< TIMER30 T30_OUTCR: PCAOE (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLAB_Pos (6UL) /*!< TIMER30 T30_OUTCR: LVLAB (Bit 6) */ +#define TIMER30_T30_OUTCR_LVLAB_Msk (0x40UL) /*!< TIMER30 T30_OUTCR: LVLAB (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLBB_Pos (5UL) /*!< TIMER30 T30_OUTCR: LVLBB (Bit 5) */ +#define TIMER30_T30_OUTCR_LVLBB_Msk (0x20UL) /*!< TIMER30 T30_OUTCR: LVLBB (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLCB_Pos (4UL) /*!< TIMER30 T30_OUTCR: LVLCB (Bit 4) */ +#define TIMER30_T30_OUTCR_LVLCB_Msk (0x10UL) /*!< TIMER30 T30_OUTCR: LVLCB (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLAA_Pos (2UL) /*!< TIMER30 T30_OUTCR: LVLAA (Bit 2) */ +#define TIMER30_T30_OUTCR_LVLAA_Msk (0x4UL) /*!< TIMER30 T30_OUTCR: LVLAA (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLBA_Pos (1UL) /*!< TIMER30 T30_OUTCR: LVLBA (Bit 1) */ +#define TIMER30_T30_OUTCR_LVLBA_Msk (0x2UL) /*!< TIMER30 T30_OUTCR: LVLBA (Bitfield-Mask: 0x01) */ +#define TIMER30_T30_OUTCR_LVLCA_Pos (0UL) /*!< TIMER30 T30_OUTCR: LVLCA (Bit 0) */ +#define TIMER30_T30_OUTCR_LVLCA_Msk (0x1UL) /*!< TIMER30 T30_OUTCR: LVLCA (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ ADC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define ADC_CR_ADCEN_Pos (15UL) /*!< ADC CR: ADCEN (Bit 15) */ +#define ADC_CR_ADCEN_Msk (0x8000UL) /*!< ADC CR: ADCEN (Bitfield-Mask: 0x01) */ +#define ADC_CR_TRIG_Pos (11UL) /*!< ADC CR: TRIG (Bit 11) */ +#define ADC_CR_TRIG_Msk (0x3800UL) /*!< ADC CR: TRIG (Bitfield-Mask: 0x07) */ +#define ADC_CR_REFSEL_Pos (10UL) /*!< ADC CR: REFSEL (Bit 10) */ +#define ADC_CR_REFSEL_Msk (0x400UL) /*!< ADC CR: REFSEL (Bitfield-Mask: 0x01) */ +#define ADC_CR_ADST_Pos (8UL) /*!< ADC CR: ADST (Bit 8) */ +#define ADC_CR_ADST_Msk (0x100UL) /*!< ADC CR: ADST (Bitfield-Mask: 0x01) */ +#define ADC_CR_ADCIEN_Pos (5UL) /*!< ADC CR: ADCIEN (Bit 5) */ +#define ADC_CR_ADCIEN_Msk (0x20UL) /*!< ADC CR: ADCIEN (Bitfield-Mask: 0x01) */ +#define ADC_CR_ADCIFLAG_Pos (4UL) /*!< ADC CR: ADCIFLAG (Bit 4) */ +#define ADC_CR_ADCIFLAG_Msk (0x10UL) /*!< ADC CR: ADCIFLAG (Bitfield-Mask: 0x01) */ +#define ADC_CR_ADSEL_Pos (0UL) /*!< ADC CR: ADSEL (Bit 0) */ +#define ADC_CR_ADSEL_Msk (0xfUL) /*!< ADC CR: ADSEL (Bitfield-Mask: 0x0f) */ +/* ========================================================== DR =========================================================== */ +#define ADC_DR_ADDATA_Pos (0UL) /*!< ADC DR: ADDATA (Bit 0) */ +#define ADC_DR_ADDATA_Msk (0xfffUL) /*!< ADC DR: ADDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================= PREDR ========================================================= */ +#define ADC_PREDR_PRED_Pos (0UL) /*!< ADC PREDR: PRED (Bit 0) */ +#define ADC_PREDR_PRED_Msk (0x1fUL) /*!< ADC PREDR: PRED (Bitfield-Mask: 0x1f) */ + + +/* =========================================================================================================================== */ +/* ================ USART1n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +#define USART1n_CR1_USTnMS_Pos (14UL) /*!< USART1n CR1: USTnMS (Bit 14) */ +#define USART1n_CR1_USTnMS_Msk (0xc000UL) /*!< USART1n CR1: USTnMS (Bitfield-Mask: 0x03) */ +#define USART1n_CR1_USTnP_Pos (12UL) /*!< USART1n CR1: USTnP (Bit 12) */ +#define USART1n_CR1_USTnP_Msk (0x3000UL) /*!< USART1n CR1: USTnP (Bitfield-Mask: 0x03) */ +#define USART1n_CR1_USTnS_Pos (9UL) /*!< USART1n CR1: USTnS (Bit 9) */ +#define USART1n_CR1_USTnS_Msk (0xe00UL) /*!< USART1n CR1: USTnS (Bitfield-Mask: 0x07) */ +#define USART1n_CR1_ORDn_Pos (8UL) /*!< USART1n CR1: ORDn (Bit 8) */ +#define USART1n_CR1_ORDn_Msk (0x100UL) /*!< USART1n CR1: ORDn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_CPOLn_Pos (7UL) /*!< USART1n CR1: CPOLn (Bit 7) */ +#define USART1n_CR1_CPOLn_Msk (0x80UL) /*!< USART1n CR1: CPOLn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_CPHAn_Pos (6UL) /*!< USART1n CR1: CPHAn (Bit 6) */ +#define USART1n_CR1_CPHAn_Msk (0x40UL) /*!< USART1n CR1: CPHAn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_DRIEn_Pos (5UL) /*!< USART1n CR1: DRIEn (Bit 5) */ +#define USART1n_CR1_DRIEn_Msk (0x20UL) /*!< USART1n CR1: DRIEn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_TXCIEn_Pos (4UL) /*!< USART1n CR1: TXCIEn (Bit 4) */ +#define USART1n_CR1_TXCIEn_Msk (0x10UL) /*!< USART1n CR1: TXCIEn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_RXCIEn_Pos (3UL) /*!< USART1n CR1: RXCIEn (Bit 3) */ +#define USART1n_CR1_RXCIEn_Msk (0x8UL) /*!< USART1n CR1: RXCIEn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_WAKEIEn_Pos (2UL) /*!< USART1n CR1: WAKEIEn (Bit 2) */ +#define USART1n_CR1_WAKEIEn_Msk (0x4UL) /*!< USART1n CR1: WAKEIEn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_TXEn_Pos (1UL) /*!< USART1n CR1: TXEn (Bit 1) */ +#define USART1n_CR1_TXEn_Msk (0x2UL) /*!< USART1n CR1: TXEn (Bitfield-Mask: 0x01) */ +#define USART1n_CR1_RXEn_Pos (0UL) /*!< USART1n CR1: RXEn (Bit 0) */ +#define USART1n_CR1_RXEn_Msk (0x1UL) /*!< USART1n CR1: RXEn (Bitfield-Mask: 0x01) */ +/* ========================================================== CR2 ========================================================== */ +#define USART1n_CR2_USTnEN_Pos (9UL) /*!< USART1n CR2: USTnEN (Bit 9) */ +#define USART1n_CR2_USTnEN_Msk (0x200UL) /*!< USART1n CR2: USTnEN (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_DBLSn_Pos (8UL) /*!< USART1n CR2: DBLSn (Bit 8) */ +#define USART1n_CR2_DBLSn_Msk (0x100UL) /*!< USART1n CR2: DBLSn (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_MASTERn_Pos (7UL) /*!< USART1n CR2: MASTERn (Bit 7) */ +#define USART1n_CR2_MASTERn_Msk (0x80UL) /*!< USART1n CR2: MASTERn (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_LOOPSn_Pos (6UL) /*!< USART1n CR2: LOOPSn (Bit 6) */ +#define USART1n_CR2_LOOPSn_Msk (0x40UL) /*!< USART1n CR2: LOOPSn (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_DISSCKn_Pos (5UL) /*!< USART1n CR2: DISSCKn (Bit 5) */ +#define USART1n_CR2_DISSCKn_Msk (0x20UL) /*!< USART1n CR2: DISSCKn (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_USTnSSEN_Pos (4UL) /*!< USART1n CR2: USTnSSEN (Bit 4) */ +#define USART1n_CR2_USTnSSEN_Msk (0x10UL) /*!< USART1n CR2: USTnSSEN (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_FXCHn_Pos (3UL) /*!< USART1n CR2: FXCHn (Bit 3) */ +#define USART1n_CR2_FXCHn_Msk (0x8UL) /*!< USART1n CR2: FXCHn (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_USTnSB_Pos (2UL) /*!< USART1n CR2: USTnSB (Bit 2) */ +#define USART1n_CR2_USTnSB_Msk (0x4UL) /*!< USART1n CR2: USTnSB (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_USTnTX8_Pos (1UL) /*!< USART1n CR2: USTnTX8 (Bit 1) */ +#define USART1n_CR2_USTnTX8_Msk (0x2UL) /*!< USART1n CR2: USTnTX8 (Bitfield-Mask: 0x01) */ +#define USART1n_CR2_USTnRX8_Pos (0UL) /*!< USART1n CR2: USTnRX8 (Bit 0) */ +#define USART1n_CR2_USTnRX8_Msk (0x1UL) /*!< USART1n CR2: USTnRX8 (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define USART1n_ST_DREn_Pos (7UL) /*!< USART1n ST: DREn (Bit 7) */ +#define USART1n_ST_DREn_Msk (0x80UL) /*!< USART1n ST: DREn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_TXCn_Pos (6UL) /*!< USART1n ST: TXCn (Bit 6) */ +#define USART1n_ST_TXCn_Msk (0x40UL) /*!< USART1n ST: TXCn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_RXCn_Pos (5UL) /*!< USART1n ST: RXCn (Bit 5) */ +#define USART1n_ST_RXCn_Msk (0x20UL) /*!< USART1n ST: RXCn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_WAKEn_Pos (4UL) /*!< USART1n ST: WAKEn (Bit 4) */ +#define USART1n_ST_WAKEn_Msk (0x10UL) /*!< USART1n ST: WAKEn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_DORn_Pos (2UL) /*!< USART1n ST: DORn (Bit 2) */ +#define USART1n_ST_DORn_Msk (0x4UL) /*!< USART1n ST: DORn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_FEn_Pos (1UL) /*!< USART1n ST: FEn (Bit 1) */ +#define USART1n_ST_FEn_Msk (0x2UL) /*!< USART1n ST: FEn (Bitfield-Mask: 0x01) */ +#define USART1n_ST_PEn_Pos (0UL) /*!< USART1n ST: PEn (Bit 0) */ +#define USART1n_ST_PEn_Msk (0x1UL) /*!< USART1n ST: PEn (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define USART1n_BDR_BDATA_Pos (0UL) /*!< USART1n BDR: BDATA (Bit 0) */ +#define USART1n_BDR_BDATA_Msk (0xfffUL) /*!< USART1n BDR: BDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== DR =========================================================== */ +#define USART1n_DR_DATA_Pos (0UL) /*!< USART1n DR: DATA (Bit 0) */ +#define USART1n_DR_DATA_Msk (0xffUL) /*!< USART1n DR: DATA (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ USART10 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +#define USART10_CR1_USTnMS_Pos (14UL) /*!< USART10 CR1: USTnMS (Bit 14) */ +#define USART10_CR1_USTnMS_Msk (0xc000UL) /*!< USART10 CR1: USTnMS (Bitfield-Mask: 0x03) */ +#define USART10_CR1_USTnP_Pos (12UL) /*!< USART10 CR1: USTnP (Bit 12) */ +#define USART10_CR1_USTnP_Msk (0x3000UL) /*!< USART10 CR1: USTnP (Bitfield-Mask: 0x03) */ +#define USART10_CR1_USTnS_Pos (9UL) /*!< USART10 CR1: USTnS (Bit 9) */ +#define USART10_CR1_USTnS_Msk (0xe00UL) /*!< USART10 CR1: USTnS (Bitfield-Mask: 0x07) */ +#define USART10_CR1_ORDn_Pos (8UL) /*!< USART10 CR1: ORDn (Bit 8) */ +#define USART10_CR1_ORDn_Msk (0x100UL) /*!< USART10 CR1: ORDn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_CPOLn_Pos (7UL) /*!< USART10 CR1: CPOLn (Bit 7) */ +#define USART10_CR1_CPOLn_Msk (0x80UL) /*!< USART10 CR1: CPOLn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_CPHAn_Pos (6UL) /*!< USART10 CR1: CPHAn (Bit 6) */ +#define USART10_CR1_CPHAn_Msk (0x40UL) /*!< USART10 CR1: CPHAn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_DRIEn_Pos (5UL) /*!< USART10 CR1: DRIEn (Bit 5) */ +#define USART10_CR1_DRIEn_Msk (0x20UL) /*!< USART10 CR1: DRIEn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_TXCIEn_Pos (4UL) /*!< USART10 CR1: TXCIEn (Bit 4) */ +#define USART10_CR1_TXCIEn_Msk (0x10UL) /*!< USART10 CR1: TXCIEn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_RXCIEn_Pos (3UL) /*!< USART10 CR1: RXCIEn (Bit 3) */ +#define USART10_CR1_RXCIEn_Msk (0x8UL) /*!< USART10 CR1: RXCIEn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_WAKEIEn_Pos (2UL) /*!< USART10 CR1: WAKEIEn (Bit 2) */ +#define USART10_CR1_WAKEIEn_Msk (0x4UL) /*!< USART10 CR1: WAKEIEn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_TXEn_Pos (1UL) /*!< USART10 CR1: TXEn (Bit 1) */ +#define USART10_CR1_TXEn_Msk (0x2UL) /*!< USART10 CR1: TXEn (Bitfield-Mask: 0x01) */ +#define USART10_CR1_RXEn_Pos (0UL) /*!< USART10 CR1: RXEn (Bit 0) */ +#define USART10_CR1_RXEn_Msk (0x1UL) /*!< USART10 CR1: RXEn (Bitfield-Mask: 0x01) */ +/* ========================================================== CR2 ========================================================== */ +#define USART10_CR2_USTnEN_Pos (9UL) /*!< USART10 CR2: USTnEN (Bit 9) */ +#define USART10_CR2_USTnEN_Msk (0x200UL) /*!< USART10 CR2: USTnEN (Bitfield-Mask: 0x01) */ +#define USART10_CR2_DBLSn_Pos (8UL) /*!< USART10 CR2: DBLSn (Bit 8) */ +#define USART10_CR2_DBLSn_Msk (0x100UL) /*!< USART10 CR2: DBLSn (Bitfield-Mask: 0x01) */ +#define USART10_CR2_MASTERn_Pos (7UL) /*!< USART10 CR2: MASTERn (Bit 7) */ +#define USART10_CR2_MASTERn_Msk (0x80UL) /*!< USART10 CR2: MASTERn (Bitfield-Mask: 0x01) */ +#define USART10_CR2_LOOPSn_Pos (6UL) /*!< USART10 CR2: LOOPSn (Bit 6) */ +#define USART10_CR2_LOOPSn_Msk (0x40UL) /*!< USART10 CR2: LOOPSn (Bitfield-Mask: 0x01) */ +#define USART10_CR2_DISSCKn_Pos (5UL) /*!< USART10 CR2: DISSCKn (Bit 5) */ +#define USART10_CR2_DISSCKn_Msk (0x20UL) /*!< USART10 CR2: DISSCKn (Bitfield-Mask: 0x01) */ +#define USART10_CR2_USTnSSEN_Pos (4UL) /*!< USART10 CR2: USTnSSEN (Bit 4) */ +#define USART10_CR2_USTnSSEN_Msk (0x10UL) /*!< USART10 CR2: USTnSSEN (Bitfield-Mask: 0x01) */ +#define USART10_CR2_FXCHn_Pos (3UL) /*!< USART10 CR2: FXCHn (Bit 3) */ +#define USART10_CR2_FXCHn_Msk (0x8UL) /*!< USART10 CR2: FXCHn (Bitfield-Mask: 0x01) */ +#define USART10_CR2_USTnSB_Pos (2UL) /*!< USART10 CR2: USTnSB (Bit 2) */ +#define USART10_CR2_USTnSB_Msk (0x4UL) /*!< USART10 CR2: USTnSB (Bitfield-Mask: 0x01) */ +#define USART10_CR2_USTnTX8_Pos (1UL) /*!< USART10 CR2: USTnTX8 (Bit 1) */ +#define USART10_CR2_USTnTX8_Msk (0x2UL) /*!< USART10 CR2: USTnTX8 (Bitfield-Mask: 0x01) */ +#define USART10_CR2_USTnRX8_Pos (0UL) /*!< USART10 CR2: USTnRX8 (Bit 0) */ +#define USART10_CR2_USTnRX8_Msk (0x1UL) /*!< USART10 CR2: USTnRX8 (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define USART10_ST_DREn_Pos (7UL) /*!< USART10 ST: DREn (Bit 7) */ +#define USART10_ST_DREn_Msk (0x80UL) /*!< USART10 ST: DREn (Bitfield-Mask: 0x01) */ +#define USART10_ST_TXCn_Pos (6UL) /*!< USART10 ST: TXCn (Bit 6) */ +#define USART10_ST_TXCn_Msk (0x40UL) /*!< USART10 ST: TXCn (Bitfield-Mask: 0x01) */ +#define USART10_ST_RXCn_Pos (5UL) /*!< USART10 ST: RXCn (Bit 5) */ +#define USART10_ST_RXCn_Msk (0x20UL) /*!< USART10 ST: RXCn (Bitfield-Mask: 0x01) */ +#define USART10_ST_WAKEn_Pos (4UL) /*!< USART10 ST: WAKEn (Bit 4) */ +#define USART10_ST_WAKEn_Msk (0x10UL) /*!< USART10 ST: WAKEn (Bitfield-Mask: 0x01) */ +#define USART10_ST_DORn_Pos (2UL) /*!< USART10 ST: DORn (Bit 2) */ +#define USART10_ST_DORn_Msk (0x4UL) /*!< USART10 ST: DORn (Bitfield-Mask: 0x01) */ +#define USART10_ST_FEn_Pos (1UL) /*!< USART10 ST: FEn (Bit 1) */ +#define USART10_ST_FEn_Msk (0x2UL) /*!< USART10 ST: FEn (Bitfield-Mask: 0x01) */ +#define USART10_ST_PEn_Pos (0UL) /*!< USART10 ST: PEn (Bit 0) */ +#define USART10_ST_PEn_Msk (0x1UL) /*!< USART10 ST: PEn (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define USART10_BDR_BDATA_Pos (0UL) /*!< USART10 BDR: BDATA (Bit 0) */ +#define USART10_BDR_BDATA_Msk (0xfffUL) /*!< USART10 BDR: BDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== DR =========================================================== */ +#define USART10_DR_DATA_Pos (0UL) /*!< USART10 DR: DATA (Bit 0) */ +#define USART10_DR_DATA_Msk (0xffUL) /*!< USART10 DR: DATA (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ USART11 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +#define USART11_CR1_USTnMS_Pos (14UL) /*!< USART11 CR1: USTnMS (Bit 14) */ +#define USART11_CR1_USTnMS_Msk (0xc000UL) /*!< USART11 CR1: USTnMS (Bitfield-Mask: 0x03) */ +#define USART11_CR1_USTnP_Pos (12UL) /*!< USART11 CR1: USTnP (Bit 12) */ +#define USART11_CR1_USTnP_Msk (0x3000UL) /*!< USART11 CR1: USTnP (Bitfield-Mask: 0x03) */ +#define USART11_CR1_USTnS_Pos (9UL) /*!< USART11 CR1: USTnS (Bit 9) */ +#define USART11_CR1_USTnS_Msk (0xe00UL) /*!< USART11 CR1: USTnS (Bitfield-Mask: 0x07) */ +#define USART11_CR1_ORDn_Pos (8UL) /*!< USART11 CR1: ORDn (Bit 8) */ +#define USART11_CR1_ORDn_Msk (0x100UL) /*!< USART11 CR1: ORDn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_CPOLn_Pos (7UL) /*!< USART11 CR1: CPOLn (Bit 7) */ +#define USART11_CR1_CPOLn_Msk (0x80UL) /*!< USART11 CR1: CPOLn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_CPHAn_Pos (6UL) /*!< USART11 CR1: CPHAn (Bit 6) */ +#define USART11_CR1_CPHAn_Msk (0x40UL) /*!< USART11 CR1: CPHAn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_DRIEn_Pos (5UL) /*!< USART11 CR1: DRIEn (Bit 5) */ +#define USART11_CR1_DRIEn_Msk (0x20UL) /*!< USART11 CR1: DRIEn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_TXCIEn_Pos (4UL) /*!< USART11 CR1: TXCIEn (Bit 4) */ +#define USART11_CR1_TXCIEn_Msk (0x10UL) /*!< USART11 CR1: TXCIEn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_RXCIEn_Pos (3UL) /*!< USART11 CR1: RXCIEn (Bit 3) */ +#define USART11_CR1_RXCIEn_Msk (0x8UL) /*!< USART11 CR1: RXCIEn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_WAKEIEn_Pos (2UL) /*!< USART11 CR1: WAKEIEn (Bit 2) */ +#define USART11_CR1_WAKEIEn_Msk (0x4UL) /*!< USART11 CR1: WAKEIEn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_TXEn_Pos (1UL) /*!< USART11 CR1: TXEn (Bit 1) */ +#define USART11_CR1_TXEn_Msk (0x2UL) /*!< USART11 CR1: TXEn (Bitfield-Mask: 0x01) */ +#define USART11_CR1_RXEn_Pos (0UL) /*!< USART11 CR1: RXEn (Bit 0) */ +#define USART11_CR1_RXEn_Msk (0x1UL) /*!< USART11 CR1: RXEn (Bitfield-Mask: 0x01) */ +/* ========================================================== CR2 ========================================================== */ +#define USART11_CR2_USTnEN_Pos (9UL) /*!< USART11 CR2: USTnEN (Bit 9) */ +#define USART11_CR2_USTnEN_Msk (0x200UL) /*!< USART11 CR2: USTnEN (Bitfield-Mask: 0x01) */ +#define USART11_CR2_DBLSn_Pos (8UL) /*!< USART11 CR2: DBLSn (Bit 8) */ +#define USART11_CR2_DBLSn_Msk (0x100UL) /*!< USART11 CR2: DBLSn (Bitfield-Mask: 0x01) */ +#define USART11_CR2_MASTERn_Pos (7UL) /*!< USART11 CR2: MASTERn (Bit 7) */ +#define USART11_CR2_MASTERn_Msk (0x80UL) /*!< USART11 CR2: MASTERn (Bitfield-Mask: 0x01) */ +#define USART11_CR2_LOOPSn_Pos (6UL) /*!< USART11 CR2: LOOPSn (Bit 6) */ +#define USART11_CR2_LOOPSn_Msk (0x40UL) /*!< USART11 CR2: LOOPSn (Bitfield-Mask: 0x01) */ +#define USART11_CR2_DISSCKn_Pos (5UL) /*!< USART11 CR2: DISSCKn (Bit 5) */ +#define USART11_CR2_DISSCKn_Msk (0x20UL) /*!< USART11 CR2: DISSCKn (Bitfield-Mask: 0x01) */ +#define USART11_CR2_USTnSSEN_Pos (4UL) /*!< USART11 CR2: USTnSSEN (Bit 4) */ +#define USART11_CR2_USTnSSEN_Msk (0x10UL) /*!< USART11 CR2: USTnSSEN (Bitfield-Mask: 0x01) */ +#define USART11_CR2_FXCHn_Pos (3UL) /*!< USART11 CR2: FXCHn (Bit 3) */ +#define USART11_CR2_FXCHn_Msk (0x8UL) /*!< USART11 CR2: FXCHn (Bitfield-Mask: 0x01) */ +#define USART11_CR2_USTnSB_Pos (2UL) /*!< USART11 CR2: USTnSB (Bit 2) */ +#define USART11_CR2_USTnSB_Msk (0x4UL) /*!< USART11 CR2: USTnSB (Bitfield-Mask: 0x01) */ +#define USART11_CR2_USTnTX8_Pos (1UL) /*!< USART11 CR2: USTnTX8 (Bit 1) */ +#define USART11_CR2_USTnTX8_Msk (0x2UL) /*!< USART11 CR2: USTnTX8 (Bitfield-Mask: 0x01) */ +#define USART11_CR2_USTnRX8_Pos (0UL) /*!< USART11 CR2: USTnRX8 (Bit 0) */ +#define USART11_CR2_USTnRX8_Msk (0x1UL) /*!< USART11 CR2: USTnRX8 (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define USART11_ST_DREn_Pos (7UL) /*!< USART11 ST: DREn (Bit 7) */ +#define USART11_ST_DREn_Msk (0x80UL) /*!< USART11 ST: DREn (Bitfield-Mask: 0x01) */ +#define USART11_ST_TXCn_Pos (6UL) /*!< USART11 ST: TXCn (Bit 6) */ +#define USART11_ST_TXCn_Msk (0x40UL) /*!< USART11 ST: TXCn (Bitfield-Mask: 0x01) */ +#define USART11_ST_RXCn_Pos (5UL) /*!< USART11 ST: RXCn (Bit 5) */ +#define USART11_ST_RXCn_Msk (0x20UL) /*!< USART11 ST: RXCn (Bitfield-Mask: 0x01) */ +#define USART11_ST_WAKEn_Pos (4UL) /*!< USART11 ST: WAKEn (Bit 4) */ +#define USART11_ST_WAKEn_Msk (0x10UL) /*!< USART11 ST: WAKEn (Bitfield-Mask: 0x01) */ +#define USART11_ST_DORn_Pos (2UL) /*!< USART11 ST: DORn (Bit 2) */ +#define USART11_ST_DORn_Msk (0x4UL) /*!< USART11 ST: DORn (Bitfield-Mask: 0x01) */ +#define USART11_ST_FEn_Pos (1UL) /*!< USART11 ST: FEn (Bit 1) */ +#define USART11_ST_FEn_Msk (0x2UL) /*!< USART11 ST: FEn (Bitfield-Mask: 0x01) */ +#define USART11_ST_PEn_Pos (0UL) /*!< USART11 ST: PEn (Bit 0) */ +#define USART11_ST_PEn_Msk (0x1UL) /*!< USART11 ST: PEn (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define USART11_BDR_BDATA_Pos (0UL) /*!< USART11 BDR: BDATA (Bit 0) */ +#define USART11_BDR_BDATA_Msk (0xfffUL) /*!< USART11 BDR: BDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== DR =========================================================== */ +#define USART11_DR_DATA_Pos (0UL) /*!< USART11 DR: DATA (Bit 0) */ +#define USART11_DR_DATA_Msk (0xffUL) /*!< USART11 DR: DATA (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ USART12 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +#define USART12_CR1_USTnMS_Pos (14UL) /*!< USART12 CR1: USTnMS (Bit 14) */ +#define USART12_CR1_USTnMS_Msk (0xc000UL) /*!< USART12 CR1: USTnMS (Bitfield-Mask: 0x03) */ +#define USART12_CR1_USTnP_Pos (12UL) /*!< USART12 CR1: USTnP (Bit 12) */ +#define USART12_CR1_USTnP_Msk (0x3000UL) /*!< USART12 CR1: USTnP (Bitfield-Mask: 0x03) */ +#define USART12_CR1_USTnS_Pos (9UL) /*!< USART12 CR1: USTnS (Bit 9) */ +#define USART12_CR1_USTnS_Msk (0xe00UL) /*!< USART12 CR1: USTnS (Bitfield-Mask: 0x07) */ +#define USART12_CR1_ORDn_Pos (8UL) /*!< USART12 CR1: ORDn (Bit 8) */ +#define USART12_CR1_ORDn_Msk (0x100UL) /*!< USART12 CR1: ORDn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_CPOLn_Pos (7UL) /*!< USART12 CR1: CPOLn (Bit 7) */ +#define USART12_CR1_CPOLn_Msk (0x80UL) /*!< USART12 CR1: CPOLn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_CPHAn_Pos (6UL) /*!< USART12 CR1: CPHAn (Bit 6) */ +#define USART12_CR1_CPHAn_Msk (0x40UL) /*!< USART12 CR1: CPHAn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_DRIEn_Pos (5UL) /*!< USART12 CR1: DRIEn (Bit 5) */ +#define USART12_CR1_DRIEn_Msk (0x20UL) /*!< USART12 CR1: DRIEn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_TXCIEn_Pos (4UL) /*!< USART12 CR1: TXCIEn (Bit 4) */ +#define USART12_CR1_TXCIEn_Msk (0x10UL) /*!< USART12 CR1: TXCIEn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_RXCIEn_Pos (3UL) /*!< USART12 CR1: RXCIEn (Bit 3) */ +#define USART12_CR1_RXCIEn_Msk (0x8UL) /*!< USART12 CR1: RXCIEn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_WAKEIEn_Pos (2UL) /*!< USART12 CR1: WAKEIEn (Bit 2) */ +#define USART12_CR1_WAKEIEn_Msk (0x4UL) /*!< USART12 CR1: WAKEIEn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_TXEn_Pos (1UL) /*!< USART12 CR1: TXEn (Bit 1) */ +#define USART12_CR1_TXEn_Msk (0x2UL) /*!< USART12 CR1: TXEn (Bitfield-Mask: 0x01) */ +#define USART12_CR1_RXEn_Pos (0UL) /*!< USART12 CR1: RXEn (Bit 0) */ +#define USART12_CR1_RXEn_Msk (0x1UL) /*!< USART12 CR1: RXEn (Bitfield-Mask: 0x01) */ +/* ========================================================== CR2 ========================================================== */ +#define USART12_CR2_USTnEN_Pos (9UL) /*!< USART12 CR2: USTnEN (Bit 9) */ +#define USART12_CR2_USTnEN_Msk (0x200UL) /*!< USART12 CR2: USTnEN (Bitfield-Mask: 0x01) */ +#define USART12_CR2_DBLSn_Pos (8UL) /*!< USART12 CR2: DBLSn (Bit 8) */ +#define USART12_CR2_DBLSn_Msk (0x100UL) /*!< USART12 CR2: DBLSn (Bitfield-Mask: 0x01) */ +#define USART12_CR2_MASTERn_Pos (7UL) /*!< USART12 CR2: MASTERn (Bit 7) */ +#define USART12_CR2_MASTERn_Msk (0x80UL) /*!< USART12 CR2: MASTERn (Bitfield-Mask: 0x01) */ +#define USART12_CR2_LOOPSn_Pos (6UL) /*!< USART12 CR2: LOOPSn (Bit 6) */ +#define USART12_CR2_LOOPSn_Msk (0x40UL) /*!< USART12 CR2: LOOPSn (Bitfield-Mask: 0x01) */ +#define USART12_CR2_DISSCKn_Pos (5UL) /*!< USART12 CR2: DISSCKn (Bit 5) */ +#define USART12_CR2_DISSCKn_Msk (0x20UL) /*!< USART12 CR2: DISSCKn (Bitfield-Mask: 0x01) */ +#define USART12_CR2_USTnSSEN_Pos (4UL) /*!< USART12 CR2: USTnSSEN (Bit 4) */ +#define USART12_CR2_USTnSSEN_Msk (0x10UL) /*!< USART12 CR2: USTnSSEN (Bitfield-Mask: 0x01) */ +#define USART12_CR2_FXCHn_Pos (3UL) /*!< USART12 CR2: FXCHn (Bit 3) */ +#define USART12_CR2_FXCHn_Msk (0x8UL) /*!< USART12 CR2: FXCHn (Bitfield-Mask: 0x01) */ +#define USART12_CR2_USTnSB_Pos (2UL) /*!< USART12 CR2: USTnSB (Bit 2) */ +#define USART12_CR2_USTnSB_Msk (0x4UL) /*!< USART12 CR2: USTnSB (Bitfield-Mask: 0x01) */ +#define USART12_CR2_USTnTX8_Pos (1UL) /*!< USART12 CR2: USTnTX8 (Bit 1) */ +#define USART12_CR2_USTnTX8_Msk (0x2UL) /*!< USART12 CR2: USTnTX8 (Bitfield-Mask: 0x01) */ +#define USART12_CR2_USTnRX8_Pos (0UL) /*!< USART12 CR2: USTnRX8 (Bit 0) */ +#define USART12_CR2_USTnRX8_Msk (0x1UL) /*!< USART12 CR2: USTnRX8 (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define USART12_ST_DREn_Pos (7UL) /*!< USART12 ST: DREn (Bit 7) */ +#define USART12_ST_DREn_Msk (0x80UL) /*!< USART12 ST: DREn (Bitfield-Mask: 0x01) */ +#define USART12_ST_TXCn_Pos (6UL) /*!< USART12 ST: TXCn (Bit 6) */ +#define USART12_ST_TXCn_Msk (0x40UL) /*!< USART12 ST: TXCn (Bitfield-Mask: 0x01) */ +#define USART12_ST_RXCn_Pos (5UL) /*!< USART12 ST: RXCn (Bit 5) */ +#define USART12_ST_RXCn_Msk (0x20UL) /*!< USART12 ST: RXCn (Bitfield-Mask: 0x01) */ +#define USART12_ST_WAKEn_Pos (4UL) /*!< USART12 ST: WAKEn (Bit 4) */ +#define USART12_ST_WAKEn_Msk (0x10UL) /*!< USART12 ST: WAKEn (Bitfield-Mask: 0x01) */ +#define USART12_ST_DORn_Pos (2UL) /*!< USART12 ST: DORn (Bit 2) */ +#define USART12_ST_DORn_Msk (0x4UL) /*!< USART12 ST: DORn (Bitfield-Mask: 0x01) */ +#define USART12_ST_FEn_Pos (1UL) /*!< USART12 ST: FEn (Bit 1) */ +#define USART12_ST_FEn_Msk (0x2UL) /*!< USART12 ST: FEn (Bitfield-Mask: 0x01) */ +#define USART12_ST_PEn_Pos (0UL) /*!< USART12 ST: PEn (Bit 0) */ +#define USART12_ST_PEn_Msk (0x1UL) /*!< USART12 ST: PEn (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define USART12_BDR_BDATA_Pos (0UL) /*!< USART12 BDR: BDATA (Bit 0) */ +#define USART12_BDR_BDATA_Msk (0xfffUL) /*!< USART12 BDR: BDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== DR =========================================================== */ +#define USART12_DR_DATA_Pos (0UL) /*!< USART12 DR: DATA (Bit 0) */ +#define USART12_DR_DATA_Msk (0xffUL) /*!< USART12 DR: DATA (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ USART13 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +#define USART13_CR1_USTnMS_Pos (14UL) /*!< USART13 CR1: USTnMS (Bit 14) */ +#define USART13_CR1_USTnMS_Msk (0xc000UL) /*!< USART13 CR1: USTnMS (Bitfield-Mask: 0x03) */ +#define USART13_CR1_USTnP_Pos (12UL) /*!< USART13 CR1: USTnP (Bit 12) */ +#define USART13_CR1_USTnP_Msk (0x3000UL) /*!< USART13 CR1: USTnP (Bitfield-Mask: 0x03) */ +#define USART13_CR1_USTnS_Pos (9UL) /*!< USART13 CR1: USTnS (Bit 9) */ +#define USART13_CR1_USTnS_Msk (0xe00UL) /*!< USART13 CR1: USTnS (Bitfield-Mask: 0x07) */ +#define USART13_CR1_ORDn_Pos (8UL) /*!< USART13 CR1: ORDn (Bit 8) */ +#define USART13_CR1_ORDn_Msk (0x100UL) /*!< USART13 CR1: ORDn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_CPOLn_Pos (7UL) /*!< USART13 CR1: CPOLn (Bit 7) */ +#define USART13_CR1_CPOLn_Msk (0x80UL) /*!< USART13 CR1: CPOLn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_CPHAn_Pos (6UL) /*!< USART13 CR1: CPHAn (Bit 6) */ +#define USART13_CR1_CPHAn_Msk (0x40UL) /*!< USART13 CR1: CPHAn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_DRIEn_Pos (5UL) /*!< USART13 CR1: DRIEn (Bit 5) */ +#define USART13_CR1_DRIEn_Msk (0x20UL) /*!< USART13 CR1: DRIEn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_TXCIEn_Pos (4UL) /*!< USART13 CR1: TXCIEn (Bit 4) */ +#define USART13_CR1_TXCIEn_Msk (0x10UL) /*!< USART13 CR1: TXCIEn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_RXCIEn_Pos (3UL) /*!< USART13 CR1: RXCIEn (Bit 3) */ +#define USART13_CR1_RXCIEn_Msk (0x8UL) /*!< USART13 CR1: RXCIEn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_WAKEIEn_Pos (2UL) /*!< USART13 CR1: WAKEIEn (Bit 2) */ +#define USART13_CR1_WAKEIEn_Msk (0x4UL) /*!< USART13 CR1: WAKEIEn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_TXEn_Pos (1UL) /*!< USART13 CR1: TXEn (Bit 1) */ +#define USART13_CR1_TXEn_Msk (0x2UL) /*!< USART13 CR1: TXEn (Bitfield-Mask: 0x01) */ +#define USART13_CR1_RXEn_Pos (0UL) /*!< USART13 CR1: RXEn (Bit 0) */ +#define USART13_CR1_RXEn_Msk (0x1UL) /*!< USART13 CR1: RXEn (Bitfield-Mask: 0x01) */ +/* ========================================================== CR2 ========================================================== */ +#define USART13_CR2_USTnEN_Pos (9UL) /*!< USART13 CR2: USTnEN (Bit 9) */ +#define USART13_CR2_USTnEN_Msk (0x200UL) /*!< USART13 CR2: USTnEN (Bitfield-Mask: 0x01) */ +#define USART13_CR2_DBLSn_Pos (8UL) /*!< USART13 CR2: DBLSn (Bit 8) */ +#define USART13_CR2_DBLSn_Msk (0x100UL) /*!< USART13 CR2: DBLSn (Bitfield-Mask: 0x01) */ +#define USART13_CR2_MASTERn_Pos (7UL) /*!< USART13 CR2: MASTERn (Bit 7) */ +#define USART13_CR2_MASTERn_Msk (0x80UL) /*!< USART13 CR2: MASTERn (Bitfield-Mask: 0x01) */ +#define USART13_CR2_LOOPSn_Pos (6UL) /*!< USART13 CR2: LOOPSn (Bit 6) */ +#define USART13_CR2_LOOPSn_Msk (0x40UL) /*!< USART13 CR2: LOOPSn (Bitfield-Mask: 0x01) */ +#define USART13_CR2_DISSCKn_Pos (5UL) /*!< USART13 CR2: DISSCKn (Bit 5) */ +#define USART13_CR2_DISSCKn_Msk (0x20UL) /*!< USART13 CR2: DISSCKn (Bitfield-Mask: 0x01) */ +#define USART13_CR2_USTnSSEN_Pos (4UL) /*!< USART13 CR2: USTnSSEN (Bit 4) */ +#define USART13_CR2_USTnSSEN_Msk (0x10UL) /*!< USART13 CR2: USTnSSEN (Bitfield-Mask: 0x01) */ +#define USART13_CR2_FXCHn_Pos (3UL) /*!< USART13 CR2: FXCHn (Bit 3) */ +#define USART13_CR2_FXCHn_Msk (0x8UL) /*!< USART13 CR2: FXCHn (Bitfield-Mask: 0x01) */ +#define USART13_CR2_USTnSB_Pos (2UL) /*!< USART13 CR2: USTnSB (Bit 2) */ +#define USART13_CR2_USTnSB_Msk (0x4UL) /*!< USART13 CR2: USTnSB (Bitfield-Mask: 0x01) */ +#define USART13_CR2_USTnTX8_Pos (1UL) /*!< USART13 CR2: USTnTX8 (Bit 1) */ +#define USART13_CR2_USTnTX8_Msk (0x2UL) /*!< USART13 CR2: USTnTX8 (Bitfield-Mask: 0x01) */ +#define USART13_CR2_USTnRX8_Pos (0UL) /*!< USART13 CR2: USTnRX8 (Bit 0) */ +#define USART13_CR2_USTnRX8_Msk (0x1UL) /*!< USART13 CR2: USTnRX8 (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define USART13_ST_DREn_Pos (7UL) /*!< USART13 ST: DREn (Bit 7) */ +#define USART13_ST_DREn_Msk (0x80UL) /*!< USART13 ST: DREn (Bitfield-Mask: 0x01) */ +#define USART13_ST_TXCn_Pos (6UL) /*!< USART13 ST: TXCn (Bit 6) */ +#define USART13_ST_TXCn_Msk (0x40UL) /*!< USART13 ST: TXCn (Bitfield-Mask: 0x01) */ +#define USART13_ST_RXCn_Pos (5UL) /*!< USART13 ST: RXCn (Bit 5) */ +#define USART13_ST_RXCn_Msk (0x20UL) /*!< USART13 ST: RXCn (Bitfield-Mask: 0x01) */ +#define USART13_ST_WAKEn_Pos (4UL) /*!< USART13 ST: WAKEn (Bit 4) */ +#define USART13_ST_WAKEn_Msk (0x10UL) /*!< USART13 ST: WAKEn (Bitfield-Mask: 0x01) */ +#define USART13_ST_DORn_Pos (2UL) /*!< USART13 ST: DORn (Bit 2) */ +#define USART13_ST_DORn_Msk (0x4UL) /*!< USART13 ST: DORn (Bitfield-Mask: 0x01) */ +#define USART13_ST_FEn_Pos (1UL) /*!< USART13 ST: FEn (Bit 1) */ +#define USART13_ST_FEn_Msk (0x2UL) /*!< USART13 ST: FEn (Bitfield-Mask: 0x01) */ +#define USART13_ST_PEn_Pos (0UL) /*!< USART13 ST: PEn (Bit 0) */ +#define USART13_ST_PEn_Msk (0x1UL) /*!< USART13 ST: PEn (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define USART13_BDR_BDATA_Pos (0UL) /*!< USART13 BDR: BDATA (Bit 0) */ +#define USART13_BDR_BDATA_Msk (0xfffUL) /*!< USART13 BDR: BDATA (Bitfield-Mask: 0xfff) */ +/* ========================================================== DR =========================================================== */ +#define USART13_DR_DATA_Pos (0UL) /*!< USART13 DR: DATA (Bit 0) */ +#define USART13_DR_DATA_Msk (0xffUL) /*!< USART13 DR: DATA (Bitfield-Mask: 0xff) */ + + +/* =========================================================================================================================== */ +/* ================ UARTn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +#define UARTn_RBR_RBR_Pos (0UL) /*!< UARTn RBR: RBR (Bit 0) */ +#define UARTn_RBR_RBR_Msk (0xffUL) /*!< UARTn RBR: RBR (Bitfield-Mask: 0xff) */ +/* ========================================================== THR ========================================================== */ +#define UARTn_THR_THR_Pos (0UL) /*!< UARTn THR: THR (Bit 0) */ +#define UARTn_THR_THR_Msk (0xffUL) /*!< UARTn THR: THR (Bitfield-Mask: 0xff) */ +/* ========================================================== IER ========================================================== */ +#define UARTn_IER_TXEIE_Pos (3UL) /*!< UARTn IER: TXEIE (Bit 3) */ +#define UARTn_IER_TXEIE_Msk (0x8UL) /*!< UARTn IER: TXEIE (Bitfield-Mask: 0x01) */ +#define UARTn_IER_RLSIE_Pos (2UL) /*!< UARTn IER: RLSIE (Bit 2) */ +#define UARTn_IER_RLSIE_Msk (0x4UL) /*!< UARTn IER: RLSIE (Bitfield-Mask: 0x01) */ +#define UARTn_IER_THREIE_Pos (1UL) /*!< UARTn IER: THREIE (Bit 1) */ +#define UARTn_IER_THREIE_Msk (0x2UL) /*!< UARTn IER: THREIE (Bitfield-Mask: 0x01) */ +#define UARTn_IER_DRIE_Pos (0UL) /*!< UARTn IER: DRIE (Bit 0) */ +#define UARTn_IER_DRIE_Msk (0x1UL) /*!< UARTn IER: DRIE (Bitfield-Mask: 0x01) */ +/* ========================================================== IIR ========================================================== */ +#define UARTn_IIR_TXE_Pos (4UL) /*!< UARTn IIR: TXE (Bit 4) */ +#define UARTn_IIR_TXE_Msk (0x10UL) /*!< UARTn IIR: TXE (Bitfield-Mask: 0x01) */ +#define UARTn_IIR_IID_Pos (1UL) /*!< UARTn IIR: IID (Bit 1) */ +#define UARTn_IIR_IID_Msk (0x6UL) /*!< UARTn IIR: IID (Bitfield-Mask: 0x03) */ +#define UARTn_IIR_IPEN_Pos (0UL) /*!< UARTn IIR: IPEN (Bit 0) */ +#define UARTn_IIR_IPEN_Msk (0x1UL) /*!< UARTn IIR: IPEN (Bitfield-Mask: 0x01) */ +/* ========================================================== LCR ========================================================== */ +#define UARTn_LCR_BREAK_Pos (6UL) /*!< UARTn LCR: BREAK (Bit 6) */ +#define UARTn_LCR_BREAK_Msk (0x40UL) /*!< UARTn LCR: BREAK (Bitfield-Mask: 0x01) */ +#define UARTn_LCR_STICKP_Pos (5UL) /*!< UARTn LCR: STICKP (Bit 5) */ +#define UARTn_LCR_STICKP_Msk (0x20UL) /*!< UARTn LCR: STICKP (Bitfield-Mask: 0x01) */ +#define UARTn_LCR_PARITY_Pos (4UL) /*!< UARTn LCR: PARITY (Bit 4) */ +#define UARTn_LCR_PARITY_Msk (0x10UL) /*!< UARTn LCR: PARITY (Bitfield-Mask: 0x01) */ +#define UARTn_LCR_PEN_Pos (3UL) /*!< UARTn LCR: PEN (Bit 3) */ +#define UARTn_LCR_PEN_Msk (0x8UL) /*!< UARTn LCR: PEN (Bitfield-Mask: 0x01) */ +#define UARTn_LCR_STOPBIT_Pos (2UL) /*!< UARTn LCR: STOPBIT (Bit 2) */ +#define UARTn_LCR_STOPBIT_Msk (0x4UL) /*!< UARTn LCR: STOPBIT (Bitfield-Mask: 0x01) */ +#define UARTn_LCR_DLEN_Pos (0UL) /*!< UARTn LCR: DLEN (Bit 0) */ +#define UARTn_LCR_DLEN_Msk (0x3UL) /*!< UARTn LCR: DLEN (Bitfield-Mask: 0x03) */ +/* ========================================================== DCR ========================================================== */ +#define UARTn_DCR_LBON_Pos (4UL) /*!< UARTn DCR: LBON (Bit 4) */ +#define UARTn_DCR_LBON_Msk (0x10UL) /*!< UARTn DCR: LBON (Bitfield-Mask: 0x01) */ +#define UARTn_DCR_RXINV_Pos (3UL) /*!< UARTn DCR: RXINV (Bit 3) */ +#define UARTn_DCR_RXINV_Msk (0x8UL) /*!< UARTn DCR: RXINV (Bitfield-Mask: 0x01) */ +#define UARTn_DCR_TXINV_Pos (2UL) /*!< UARTn DCR: TXINV (Bit 2) */ +#define UARTn_DCR_TXINV_Msk (0x4UL) /*!< UARTn DCR: TXINV (Bitfield-Mask: 0x01) */ +/* ========================================================== LSR ========================================================== */ +#define UARTn_LSR_TEMT_Pos (6UL) /*!< UARTn LSR: TEMT (Bit 6) */ +#define UARTn_LSR_TEMT_Msk (0x40UL) /*!< UARTn LSR: TEMT (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_THRE_Pos (5UL) /*!< UARTn LSR: THRE (Bit 5) */ +#define UARTn_LSR_THRE_Msk (0x20UL) /*!< UARTn LSR: THRE (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_BI_Pos (4UL) /*!< UARTn LSR: BI (Bit 4) */ +#define UARTn_LSR_BI_Msk (0x10UL) /*!< UARTn LSR: BI (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_FE_Pos (3UL) /*!< UARTn LSR: FE (Bit 3) */ +#define UARTn_LSR_FE_Msk (0x8UL) /*!< UARTn LSR: FE (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_PE_Pos (2UL) /*!< UARTn LSR: PE (Bit 2) */ +#define UARTn_LSR_PE_Msk (0x4UL) /*!< UARTn LSR: PE (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_OE_Pos (1UL) /*!< UARTn LSR: OE (Bit 1) */ +#define UARTn_LSR_OE_Msk (0x2UL) /*!< UARTn LSR: OE (Bitfield-Mask: 0x01) */ +#define UARTn_LSR_DR_Pos (0UL) /*!< UARTn LSR: DR (Bit 0) */ +#define UARTn_LSR_DR_Msk (0x1UL) /*!< UARTn LSR: DR (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define UARTn_BDR_BDR_Pos (0UL) /*!< UARTn BDR: BDR (Bit 0) */ +#define UARTn_BDR_BDR_Msk (0xffffUL) /*!< UARTn BDR: BDR (Bitfield-Mask: 0xffff) */ +/* ========================================================== BFR ========================================================== */ +#define UARTn_BFR_BFR_Pos (0UL) /*!< UARTn BFR: BFR (Bit 0) */ +#define UARTn_BFR_BFR_Msk (0xffUL) /*!< UARTn BFR: BFR (Bitfield-Mask: 0xff) */ +/* ========================================================= IDTR ========================================================== */ +#define UARTn_IDTR_SMS_Pos (7UL) /*!< UARTn IDTR: SMS (Bit 7) */ +#define UARTn_IDTR_SMS_Msk (0x80UL) /*!< UARTn IDTR: SMS (Bitfield-Mask: 0x01) */ +#define UARTn_IDTR_DMS_Pos (6UL) /*!< UARTn IDTR: DMS (Bit 6) */ +#define UARTn_IDTR_DMS_Msk (0x40UL) /*!< UARTn IDTR: DMS (Bitfield-Mask: 0x01) */ +#define UARTn_IDTR_WAITVAL_Pos (0UL) /*!< UARTn IDTR: WAITVAL (Bit 0) */ +#define UARTn_IDTR_WAITVAL_Msk (0x7UL) /*!< UARTn IDTR: WAITVAL (Bitfield-Mask: 0x07) */ + + +/* =========================================================================================================================== */ +/* ================ UART0 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +#define UART0_RBR_RBR_Pos (0UL) /*!< UART0 RBR: RBR (Bit 0) */ +#define UART0_RBR_RBR_Msk (0xffUL) /*!< UART0 RBR: RBR (Bitfield-Mask: 0xff) */ +/* ========================================================== THR ========================================================== */ +#define UART0_THR_THR_Pos (0UL) /*!< UART0 THR: THR (Bit 0) */ +#define UART0_THR_THR_Msk (0xffUL) /*!< UART0 THR: THR (Bitfield-Mask: 0xff) */ +/* ========================================================== IER ========================================================== */ +#define UART0_IER_TXEIE_Pos (3UL) /*!< UART0 IER: TXEIE (Bit 3) */ +#define UART0_IER_TXEIE_Msk (0x8UL) /*!< UART0 IER: TXEIE (Bitfield-Mask: 0x01) */ +#define UART0_IER_RLSIE_Pos (2UL) /*!< UART0 IER: RLSIE (Bit 2) */ +#define UART0_IER_RLSIE_Msk (0x4UL) /*!< UART0 IER: RLSIE (Bitfield-Mask: 0x01) */ +#define UART0_IER_THREIE_Pos (1UL) /*!< UART0 IER: THREIE (Bit 1) */ +#define UART0_IER_THREIE_Msk (0x2UL) /*!< UART0 IER: THREIE (Bitfield-Mask: 0x01) */ +#define UART0_IER_DRIE_Pos (0UL) /*!< UART0 IER: DRIE (Bit 0) */ +#define UART0_IER_DRIE_Msk (0x1UL) /*!< UART0 IER: DRIE (Bitfield-Mask: 0x01) */ +/* ========================================================== IIR ========================================================== */ +#define UART0_IIR_TXE_Pos (4UL) /*!< UART0 IIR: TXE (Bit 4) */ +#define UART0_IIR_TXE_Msk (0x10UL) /*!< UART0 IIR: TXE (Bitfield-Mask: 0x01) */ +#define UART0_IIR_IID_Pos (1UL) /*!< UART0 IIR: IID (Bit 1) */ +#define UART0_IIR_IID_Msk (0x6UL) /*!< UART0 IIR: IID (Bitfield-Mask: 0x03) */ +#define UART0_IIR_IPEN_Pos (0UL) /*!< UART0 IIR: IPEN (Bit 0) */ +#define UART0_IIR_IPEN_Msk (0x1UL) /*!< UART0 IIR: IPEN (Bitfield-Mask: 0x01) */ +/* ========================================================== LCR ========================================================== */ +#define UART0_LCR_BREAK_Pos (6UL) /*!< UART0 LCR: BREAK (Bit 6) */ +#define UART0_LCR_BREAK_Msk (0x40UL) /*!< UART0 LCR: BREAK (Bitfield-Mask: 0x01) */ +#define UART0_LCR_STICKP_Pos (5UL) /*!< UART0 LCR: STICKP (Bit 5) */ +#define UART0_LCR_STICKP_Msk (0x20UL) /*!< UART0 LCR: STICKP (Bitfield-Mask: 0x01) */ +#define UART0_LCR_PARITY_Pos (4UL) /*!< UART0 LCR: PARITY (Bit 4) */ +#define UART0_LCR_PARITY_Msk (0x10UL) /*!< UART0 LCR: PARITY (Bitfield-Mask: 0x01) */ +#define UART0_LCR_PEN_Pos (3UL) /*!< UART0 LCR: PEN (Bit 3) */ +#define UART0_LCR_PEN_Msk (0x8UL) /*!< UART0 LCR: PEN (Bitfield-Mask: 0x01) */ +#define UART0_LCR_STOPBIT_Pos (2UL) /*!< UART0 LCR: STOPBIT (Bit 2) */ +#define UART0_LCR_STOPBIT_Msk (0x4UL) /*!< UART0 LCR: STOPBIT (Bitfield-Mask: 0x01) */ +#define UART0_LCR_DLEN_Pos (0UL) /*!< UART0 LCR: DLEN (Bit 0) */ +#define UART0_LCR_DLEN_Msk (0x3UL) /*!< UART0 LCR: DLEN (Bitfield-Mask: 0x03) */ +/* ========================================================== DCR ========================================================== */ +#define UART0_DCR_LBON_Pos (4UL) /*!< UART0 DCR: LBON (Bit 4) */ +#define UART0_DCR_LBON_Msk (0x10UL) /*!< UART0 DCR: LBON (Bitfield-Mask: 0x01) */ +#define UART0_DCR_RXINV_Pos (3UL) /*!< UART0 DCR: RXINV (Bit 3) */ +#define UART0_DCR_RXINV_Msk (0x8UL) /*!< UART0 DCR: RXINV (Bitfield-Mask: 0x01) */ +#define UART0_DCR_TXINV_Pos (2UL) /*!< UART0 DCR: TXINV (Bit 2) */ +#define UART0_DCR_TXINV_Msk (0x4UL) /*!< UART0 DCR: TXINV (Bitfield-Mask: 0x01) */ +/* ========================================================== LSR ========================================================== */ +#define UART0_LSR_TEMT_Pos (6UL) /*!< UART0 LSR: TEMT (Bit 6) */ +#define UART0_LSR_TEMT_Msk (0x40UL) /*!< UART0 LSR: TEMT (Bitfield-Mask: 0x01) */ +#define UART0_LSR_THRE_Pos (5UL) /*!< UART0 LSR: THRE (Bit 5) */ +#define UART0_LSR_THRE_Msk (0x20UL) /*!< UART0 LSR: THRE (Bitfield-Mask: 0x01) */ +#define UART0_LSR_BI_Pos (4UL) /*!< UART0 LSR: BI (Bit 4) */ +#define UART0_LSR_BI_Msk (0x10UL) /*!< UART0 LSR: BI (Bitfield-Mask: 0x01) */ +#define UART0_LSR_FE_Pos (3UL) /*!< UART0 LSR: FE (Bit 3) */ +#define UART0_LSR_FE_Msk (0x8UL) /*!< UART0 LSR: FE (Bitfield-Mask: 0x01) */ +#define UART0_LSR_PE_Pos (2UL) /*!< UART0 LSR: PE (Bit 2) */ +#define UART0_LSR_PE_Msk (0x4UL) /*!< UART0 LSR: PE (Bitfield-Mask: 0x01) */ +#define UART0_LSR_OE_Pos (1UL) /*!< UART0 LSR: OE (Bit 1) */ +#define UART0_LSR_OE_Msk (0x2UL) /*!< UART0 LSR: OE (Bitfield-Mask: 0x01) */ +#define UART0_LSR_DR_Pos (0UL) /*!< UART0 LSR: DR (Bit 0) */ +#define UART0_LSR_DR_Msk (0x1UL) /*!< UART0 LSR: DR (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define UART0_BDR_BDR_Pos (0UL) /*!< UART0 BDR: BDR (Bit 0) */ +#define UART0_BDR_BDR_Msk (0xffffUL) /*!< UART0 BDR: BDR (Bitfield-Mask: 0xffff) */ +/* ========================================================== BFR ========================================================== */ +#define UART0_BFR_BFR_Pos (0UL) /*!< UART0 BFR: BFR (Bit 0) */ +#define UART0_BFR_BFR_Msk (0xffUL) /*!< UART0 BFR: BFR (Bitfield-Mask: 0xff) */ +/* ========================================================= IDTR ========================================================== */ +#define UART0_IDTR_SMS_Pos (7UL) /*!< UART0 IDTR: SMS (Bit 7) */ +#define UART0_IDTR_SMS_Msk (0x80UL) /*!< UART0 IDTR: SMS (Bitfield-Mask: 0x01) */ +#define UART0_IDTR_DMS_Pos (6UL) /*!< UART0 IDTR: DMS (Bit 6) */ +#define UART0_IDTR_DMS_Msk (0x40UL) /*!< UART0 IDTR: DMS (Bitfield-Mask: 0x01) */ +#define UART0_IDTR_WAITVAL_Pos (0UL) /*!< UART0 IDTR: WAITVAL (Bit 0) */ +#define UART0_IDTR_WAITVAL_Msk (0x7UL) /*!< UART0 IDTR: WAITVAL (Bitfield-Mask: 0x07) */ + + +/* =========================================================================================================================== */ +/* ================ UART1 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +#define UART1_RBR_RBR_Pos (0UL) /*!< UART1 RBR: RBR (Bit 0) */ +#define UART1_RBR_RBR_Msk (0xffUL) /*!< UART1 RBR: RBR (Bitfield-Mask: 0xff) */ +/* ========================================================== THR ========================================================== */ +#define UART1_THR_THR_Pos (0UL) /*!< UART1 THR: THR (Bit 0) */ +#define UART1_THR_THR_Msk (0xffUL) /*!< UART1 THR: THR (Bitfield-Mask: 0xff) */ +/* ========================================================== IER ========================================================== */ +#define UART1_IER_TXEIE_Pos (3UL) /*!< UART1 IER: TXEIE (Bit 3) */ +#define UART1_IER_TXEIE_Msk (0x8UL) /*!< UART1 IER: TXEIE (Bitfield-Mask: 0x01) */ +#define UART1_IER_RLSIE_Pos (2UL) /*!< UART1 IER: RLSIE (Bit 2) */ +#define UART1_IER_RLSIE_Msk (0x4UL) /*!< UART1 IER: RLSIE (Bitfield-Mask: 0x01) */ +#define UART1_IER_THREIE_Pos (1UL) /*!< UART1 IER: THREIE (Bit 1) */ +#define UART1_IER_THREIE_Msk (0x2UL) /*!< UART1 IER: THREIE (Bitfield-Mask: 0x01) */ +#define UART1_IER_DRIE_Pos (0UL) /*!< UART1 IER: DRIE (Bit 0) */ +#define UART1_IER_DRIE_Msk (0x1UL) /*!< UART1 IER: DRIE (Bitfield-Mask: 0x01) */ +/* ========================================================== IIR ========================================================== */ +#define UART1_IIR_TXE_Pos (4UL) /*!< UART1 IIR: TXE (Bit 4) */ +#define UART1_IIR_TXE_Msk (0x10UL) /*!< UART1 IIR: TXE (Bitfield-Mask: 0x01) */ +#define UART1_IIR_IID_Pos (1UL) /*!< UART1 IIR: IID (Bit 1) */ +#define UART1_IIR_IID_Msk (0x6UL) /*!< UART1 IIR: IID (Bitfield-Mask: 0x03) */ +#define UART1_IIR_IPEN_Pos (0UL) /*!< UART1 IIR: IPEN (Bit 0) */ +#define UART1_IIR_IPEN_Msk (0x1UL) /*!< UART1 IIR: IPEN (Bitfield-Mask: 0x01) */ +/* ========================================================== LCR ========================================================== */ +#define UART1_LCR_BREAK_Pos (6UL) /*!< UART1 LCR: BREAK (Bit 6) */ +#define UART1_LCR_BREAK_Msk (0x40UL) /*!< UART1 LCR: BREAK (Bitfield-Mask: 0x01) */ +#define UART1_LCR_STICKP_Pos (5UL) /*!< UART1 LCR: STICKP (Bit 5) */ +#define UART1_LCR_STICKP_Msk (0x20UL) /*!< UART1 LCR: STICKP (Bitfield-Mask: 0x01) */ +#define UART1_LCR_PARITY_Pos (4UL) /*!< UART1 LCR: PARITY (Bit 4) */ +#define UART1_LCR_PARITY_Msk (0x10UL) /*!< UART1 LCR: PARITY (Bitfield-Mask: 0x01) */ +#define UART1_LCR_PEN_Pos (3UL) /*!< UART1 LCR: PEN (Bit 3) */ +#define UART1_LCR_PEN_Msk (0x8UL) /*!< UART1 LCR: PEN (Bitfield-Mask: 0x01) */ +#define UART1_LCR_STOPBIT_Pos (2UL) /*!< UART1 LCR: STOPBIT (Bit 2) */ +#define UART1_LCR_STOPBIT_Msk (0x4UL) /*!< UART1 LCR: STOPBIT (Bitfield-Mask: 0x01) */ +#define UART1_LCR_DLEN_Pos (0UL) /*!< UART1 LCR: DLEN (Bit 0) */ +#define UART1_LCR_DLEN_Msk (0x3UL) /*!< UART1 LCR: DLEN (Bitfield-Mask: 0x03) */ +/* ========================================================== DCR ========================================================== */ +#define UART1_DCR_LBON_Pos (4UL) /*!< UART1 DCR: LBON (Bit 4) */ +#define UART1_DCR_LBON_Msk (0x10UL) /*!< UART1 DCR: LBON (Bitfield-Mask: 0x01) */ +#define UART1_DCR_RXINV_Pos (3UL) /*!< UART1 DCR: RXINV (Bit 3) */ +#define UART1_DCR_RXINV_Msk (0x8UL) /*!< UART1 DCR: RXINV (Bitfield-Mask: 0x01) */ +#define UART1_DCR_TXINV_Pos (2UL) /*!< UART1 DCR: TXINV (Bit 2) */ +#define UART1_DCR_TXINV_Msk (0x4UL) /*!< UART1 DCR: TXINV (Bitfield-Mask: 0x01) */ +/* ========================================================== LSR ========================================================== */ +#define UART1_LSR_TEMT_Pos (6UL) /*!< UART1 LSR: TEMT (Bit 6) */ +#define UART1_LSR_TEMT_Msk (0x40UL) /*!< UART1 LSR: TEMT (Bitfield-Mask: 0x01) */ +#define UART1_LSR_THRE_Pos (5UL) /*!< UART1 LSR: THRE (Bit 5) */ +#define UART1_LSR_THRE_Msk (0x20UL) /*!< UART1 LSR: THRE (Bitfield-Mask: 0x01) */ +#define UART1_LSR_BI_Pos (4UL) /*!< UART1 LSR: BI (Bit 4) */ +#define UART1_LSR_BI_Msk (0x10UL) /*!< UART1 LSR: BI (Bitfield-Mask: 0x01) */ +#define UART1_LSR_FE_Pos (3UL) /*!< UART1 LSR: FE (Bit 3) */ +#define UART1_LSR_FE_Msk (0x8UL) /*!< UART1 LSR: FE (Bitfield-Mask: 0x01) */ +#define UART1_LSR_PE_Pos (2UL) /*!< UART1 LSR: PE (Bit 2) */ +#define UART1_LSR_PE_Msk (0x4UL) /*!< UART1 LSR: PE (Bitfield-Mask: 0x01) */ +#define UART1_LSR_OE_Pos (1UL) /*!< UART1 LSR: OE (Bit 1) */ +#define UART1_LSR_OE_Msk (0x2UL) /*!< UART1 LSR: OE (Bitfield-Mask: 0x01) */ +#define UART1_LSR_DR_Pos (0UL) /*!< UART1 LSR: DR (Bit 0) */ +#define UART1_LSR_DR_Msk (0x1UL) /*!< UART1 LSR: DR (Bitfield-Mask: 0x01) */ +/* ========================================================== BDR ========================================================== */ +#define UART1_BDR_BDR_Pos (0UL) /*!< UART1 BDR: BDR (Bit 0) */ +#define UART1_BDR_BDR_Msk (0xffffUL) /*!< UART1 BDR: BDR (Bitfield-Mask: 0xffff) */ +/* ========================================================== BFR ========================================================== */ +#define UART1_BFR_BFR_Pos (0UL) /*!< UART1 BFR: BFR (Bit 0) */ +#define UART1_BFR_BFR_Msk (0xffUL) /*!< UART1 BFR: BFR (Bitfield-Mask: 0xff) */ +/* ========================================================= IDTR ========================================================== */ +#define UART1_IDTR_SMS_Pos (7UL) /*!< UART1 IDTR: SMS (Bit 7) */ +#define UART1_IDTR_SMS_Msk (0x80UL) /*!< UART1 IDTR: SMS (Bitfield-Mask: 0x01) */ +#define UART1_IDTR_DMS_Pos (6UL) /*!< UART1 IDTR: DMS (Bit 6) */ +#define UART1_IDTR_DMS_Msk (0x40UL) /*!< UART1 IDTR: DMS (Bitfield-Mask: 0x01) */ +#define UART1_IDTR_WAITVAL_Pos (0UL) /*!< UART1 IDTR: WAITVAL (Bit 0) */ +#define UART1_IDTR_WAITVAL_Msk (0x7UL) /*!< UART1 IDTR: WAITVAL (Bitfield-Mask: 0x07) */ + + +/* =========================================================================================================================== */ +/* ================ I2Cn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define I2Cn_CR_I2CnEN_Pos (7UL) /*!< I2Cn CR: I2CnEN (Bit 7) */ +#define I2Cn_CR_I2CnEN_Msk (0x80UL) /*!< I2Cn CR: I2CnEN (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_TXDLYENBn_Pos (6UL) /*!< I2Cn CR: TXDLYENBn (Bit 6) */ +#define I2Cn_CR_TXDLYENBn_Msk (0x40UL) /*!< I2Cn CR: TXDLYENBn (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_I2CnIEN_Pos (5UL) /*!< I2Cn CR: I2CnIEN (Bit 5) */ +#define I2Cn_CR_I2CnIEN_Msk (0x20UL) /*!< I2Cn CR: I2CnIEN (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_I2CnIFLAG_Pos (4UL) /*!< I2Cn CR: I2CnIFLAG (Bit 4) */ +#define I2Cn_CR_I2CnIFLAG_Msk (0x10UL) /*!< I2Cn CR: I2CnIFLAG (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_ACKnEN_Pos (3UL) /*!< I2Cn CR: ACKnEN (Bit 3) */ +#define I2Cn_CR_ACKnEN_Msk (0x8UL) /*!< I2Cn CR: ACKnEN (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_IMASTERn_Pos (2UL) /*!< I2Cn CR: IMASTERn (Bit 2) */ +#define I2Cn_CR_IMASTERn_Msk (0x4UL) /*!< I2Cn CR: IMASTERn (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_STOPCn_Pos (1UL) /*!< I2Cn CR: STOPCn (Bit 1) */ +#define I2Cn_CR_STOPCn_Msk (0x2UL) /*!< I2Cn CR: STOPCn (Bitfield-Mask: 0x01) */ +#define I2Cn_CR_STARTCn_Pos (0UL) /*!< I2Cn CR: STARTCn (Bit 0) */ +#define I2Cn_CR_STARTCn_Msk (0x1UL) /*!< I2Cn CR: STARTCn (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define I2Cn_ST_GCALLn_Pos (7UL) /*!< I2Cn ST: GCALLn (Bit 7) */ +#define I2Cn_ST_GCALLn_Msk (0x80UL) /*!< I2Cn ST: GCALLn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_TENDn_Pos (6UL) /*!< I2Cn ST: TENDn (Bit 6) */ +#define I2Cn_ST_TENDn_Msk (0x40UL) /*!< I2Cn ST: TENDn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_STOPDn_Pos (5UL) /*!< I2Cn ST: STOPDn (Bit 5) */ +#define I2Cn_ST_STOPDn_Msk (0x20UL) /*!< I2Cn ST: STOPDn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_SSELn_Pos (4UL) /*!< I2Cn ST: SSELn (Bit 4) */ +#define I2Cn_ST_SSELn_Msk (0x10UL) /*!< I2Cn ST: SSELn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_MLOSTn_Pos (3UL) /*!< I2Cn ST: MLOSTn (Bit 3) */ +#define I2Cn_ST_MLOSTn_Msk (0x8UL) /*!< I2Cn ST: MLOSTn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_BUSYn_Pos (2UL) /*!< I2Cn ST: BUSYn (Bit 2) */ +#define I2Cn_ST_BUSYn_Msk (0x4UL) /*!< I2Cn ST: BUSYn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_TMODEn_Pos (1UL) /*!< I2Cn ST: TMODEn (Bit 1) */ +#define I2Cn_ST_TMODEn_Msk (0x2UL) /*!< I2Cn ST: TMODEn (Bitfield-Mask: 0x01) */ +#define I2Cn_ST_RXACKn_Pos (0UL) /*!< I2Cn ST: RXACKn (Bit 0) */ +#define I2Cn_ST_RXACKn_Msk (0x1UL) /*!< I2Cn ST: RXACKn (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR1 ========================================================== */ +#define I2Cn_SAR1_SLAn_Pos (1UL) /*!< I2Cn SAR1: SLAn (Bit 1) */ +#define I2Cn_SAR1_SLAn_Msk (0xfeUL) /*!< I2Cn SAR1: SLAn (Bitfield-Mask: 0x7f) */ +#define I2Cn_SAR1_GCALLnEN_Pos (0UL) /*!< I2Cn SAR1: GCALLnEN (Bit 0) */ +#define I2Cn_SAR1_GCALLnEN_Msk (0x1UL) /*!< I2Cn SAR1: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR2 ========================================================== */ +#define I2Cn_SAR2_SLAn_Pos (1UL) /*!< I2Cn SAR2: SLAn (Bit 1) */ +#define I2Cn_SAR2_SLAn_Msk (0xfeUL) /*!< I2Cn SAR2: SLAn (Bitfield-Mask: 0x7f) */ +#define I2Cn_SAR2_GCALLnEN_Pos (0UL) /*!< I2Cn SAR2: GCALLnEN (Bit 0) */ +#define I2Cn_SAR2_GCALLnEN_Msk (0x1UL) /*!< I2Cn SAR2: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define I2Cn_DR_DATA_Pos (0UL) /*!< I2Cn DR: DATA (Bit 0) */ +#define I2Cn_DR_DATA_Msk (0xffUL) /*!< I2Cn DR: DATA (Bitfield-Mask: 0xff) */ +/* ========================================================= SDHR ========================================================== */ +#define I2Cn_SDHR_HLDT_Pos (0UL) /*!< I2Cn SDHR: HLDT (Bit 0) */ +#define I2Cn_SDHR_HLDT_Msk (0xfffUL) /*!< I2Cn SDHR: HLDT (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCLR ========================================================== */ +#define I2Cn_SCLR_SCLL_Pos (0UL) /*!< I2Cn SCLR: SCLL (Bit 0) */ +#define I2Cn_SCLR_SCLL_Msk (0xfffUL) /*!< I2Cn SCLR: SCLL (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCHR ========================================================== */ +#define I2Cn_SCHR_SCLH_Pos (0UL) /*!< I2Cn SCHR: SCLH (Bit 0) */ +#define I2Cn_SCHR_SCLH_Msk (0xfffUL) /*!< I2Cn SCHR: SCLH (Bitfield-Mask: 0xfff) */ + + +/* =========================================================================================================================== */ +/* ================ I2C0 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define I2C0_CR_I2CnEN_Pos (7UL) /*!< I2C0 CR: I2CnEN (Bit 7) */ +#define I2C0_CR_I2CnEN_Msk (0x80UL) /*!< I2C0 CR: I2CnEN (Bitfield-Mask: 0x01) */ +#define I2C0_CR_TXDLYENBn_Pos (6UL) /*!< I2C0 CR: TXDLYENBn (Bit 6) */ +#define I2C0_CR_TXDLYENBn_Msk (0x40UL) /*!< I2C0 CR: TXDLYENBn (Bitfield-Mask: 0x01) */ +#define I2C0_CR_I2CnIEN_Pos (5UL) /*!< I2C0 CR: I2CnIEN (Bit 5) */ +#define I2C0_CR_I2CnIEN_Msk (0x20UL) /*!< I2C0 CR: I2CnIEN (Bitfield-Mask: 0x01) */ +#define I2C0_CR_I2CnIFLAG_Pos (4UL) /*!< I2C0 CR: I2CnIFLAG (Bit 4) */ +#define I2C0_CR_I2CnIFLAG_Msk (0x10UL) /*!< I2C0 CR: I2CnIFLAG (Bitfield-Mask: 0x01) */ +#define I2C0_CR_ACKnEN_Pos (3UL) /*!< I2C0 CR: ACKnEN (Bit 3) */ +#define I2C0_CR_ACKnEN_Msk (0x8UL) /*!< I2C0 CR: ACKnEN (Bitfield-Mask: 0x01) */ +#define I2C0_CR_IMASTERn_Pos (2UL) /*!< I2C0 CR: IMASTERn (Bit 2) */ +#define I2C0_CR_IMASTERn_Msk (0x4UL) /*!< I2C0 CR: IMASTERn (Bitfield-Mask: 0x01) */ +#define I2C0_CR_STOPCn_Pos (1UL) /*!< I2C0 CR: STOPCn (Bit 1) */ +#define I2C0_CR_STOPCn_Msk (0x2UL) /*!< I2C0 CR: STOPCn (Bitfield-Mask: 0x01) */ +#define I2C0_CR_STARTCn_Pos (0UL) /*!< I2C0 CR: STARTCn (Bit 0) */ +#define I2C0_CR_STARTCn_Msk (0x1UL) /*!< I2C0 CR: STARTCn (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define I2C0_ST_GCALLn_Pos (7UL) /*!< I2C0 ST: GCALLn (Bit 7) */ +#define I2C0_ST_GCALLn_Msk (0x80UL) /*!< I2C0 ST: GCALLn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_TENDn_Pos (6UL) /*!< I2C0 ST: TENDn (Bit 6) */ +#define I2C0_ST_TENDn_Msk (0x40UL) /*!< I2C0 ST: TENDn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_STOPDn_Pos (5UL) /*!< I2C0 ST: STOPDn (Bit 5) */ +#define I2C0_ST_STOPDn_Msk (0x20UL) /*!< I2C0 ST: STOPDn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_SSELn_Pos (4UL) /*!< I2C0 ST: SSELn (Bit 4) */ +#define I2C0_ST_SSELn_Msk (0x10UL) /*!< I2C0 ST: SSELn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_MLOSTn_Pos (3UL) /*!< I2C0 ST: MLOSTn (Bit 3) */ +#define I2C0_ST_MLOSTn_Msk (0x8UL) /*!< I2C0 ST: MLOSTn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_BUSYn_Pos (2UL) /*!< I2C0 ST: BUSYn (Bit 2) */ +#define I2C0_ST_BUSYn_Msk (0x4UL) /*!< I2C0 ST: BUSYn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_TMODEn_Pos (1UL) /*!< I2C0 ST: TMODEn (Bit 1) */ +#define I2C0_ST_TMODEn_Msk (0x2UL) /*!< I2C0 ST: TMODEn (Bitfield-Mask: 0x01) */ +#define I2C0_ST_RXACKn_Pos (0UL) /*!< I2C0 ST: RXACKn (Bit 0) */ +#define I2C0_ST_RXACKn_Msk (0x1UL) /*!< I2C0 ST: RXACKn (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR1 ========================================================== */ +#define I2C0_SAR1_SLAn_Pos (1UL) /*!< I2C0 SAR1: SLAn (Bit 1) */ +#define I2C0_SAR1_SLAn_Msk (0xfeUL) /*!< I2C0 SAR1: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C0_SAR1_GCALLnEN_Pos (0UL) /*!< I2C0 SAR1: GCALLnEN (Bit 0) */ +#define I2C0_SAR1_GCALLnEN_Msk (0x1UL) /*!< I2C0 SAR1: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR2 ========================================================== */ +#define I2C0_SAR2_SLAn_Pos (1UL) /*!< I2C0 SAR2: SLAn (Bit 1) */ +#define I2C0_SAR2_SLAn_Msk (0xfeUL) /*!< I2C0 SAR2: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C0_SAR2_GCALLnEN_Pos (0UL) /*!< I2C0 SAR2: GCALLnEN (Bit 0) */ +#define I2C0_SAR2_GCALLnEN_Msk (0x1UL) /*!< I2C0 SAR2: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define I2C0_DR_DATA_Pos (0UL) /*!< I2C0 DR: DATA (Bit 0) */ +#define I2C0_DR_DATA_Msk (0xffUL) /*!< I2C0 DR: DATA (Bitfield-Mask: 0xff) */ +/* ========================================================= SDHR ========================================================== */ +#define I2C0_SDHR_HLDT_Pos (0UL) /*!< I2C0 SDHR: HLDT (Bit 0) */ +#define I2C0_SDHR_HLDT_Msk (0xfffUL) /*!< I2C0 SDHR: HLDT (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCLR ========================================================== */ +#define I2C0_SCLR_SCLL_Pos (0UL) /*!< I2C0 SCLR: SCLL (Bit 0) */ +#define I2C0_SCLR_SCLL_Msk (0xfffUL) /*!< I2C0 SCLR: SCLL (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCHR ========================================================== */ +#define I2C0_SCHR_SCLH_Pos (0UL) /*!< I2C0 SCHR: SCLH (Bit 0) */ +#define I2C0_SCHR_SCLH_Msk (0xfffUL) /*!< I2C0 SCHR: SCLH (Bitfield-Mask: 0xfff) */ + + +/* =========================================================================================================================== */ +/* ================ I2C1 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define I2C1_CR_I2CnEN_Pos (7UL) /*!< I2C1 CR: I2CnEN (Bit 7) */ +#define I2C1_CR_I2CnEN_Msk (0x80UL) /*!< I2C1 CR: I2CnEN (Bitfield-Mask: 0x01) */ +#define I2C1_CR_TXDLYENBn_Pos (6UL) /*!< I2C1 CR: TXDLYENBn (Bit 6) */ +#define I2C1_CR_TXDLYENBn_Msk (0x40UL) /*!< I2C1 CR: TXDLYENBn (Bitfield-Mask: 0x01) */ +#define I2C1_CR_I2CnIEN_Pos (5UL) /*!< I2C1 CR: I2CnIEN (Bit 5) */ +#define I2C1_CR_I2CnIEN_Msk (0x20UL) /*!< I2C1 CR: I2CnIEN (Bitfield-Mask: 0x01) */ +#define I2C1_CR_I2CnIFLAG_Pos (4UL) /*!< I2C1 CR: I2CnIFLAG (Bit 4) */ +#define I2C1_CR_I2CnIFLAG_Msk (0x10UL) /*!< I2C1 CR: I2CnIFLAG (Bitfield-Mask: 0x01) */ +#define I2C1_CR_ACKnEN_Pos (3UL) /*!< I2C1 CR: ACKnEN (Bit 3) */ +#define I2C1_CR_ACKnEN_Msk (0x8UL) /*!< I2C1 CR: ACKnEN (Bitfield-Mask: 0x01) */ +#define I2C1_CR_IMASTERn_Pos (2UL) /*!< I2C1 CR: IMASTERn (Bit 2) */ +#define I2C1_CR_IMASTERn_Msk (0x4UL) /*!< I2C1 CR: IMASTERn (Bitfield-Mask: 0x01) */ +#define I2C1_CR_STOPCn_Pos (1UL) /*!< I2C1 CR: STOPCn (Bit 1) */ +#define I2C1_CR_STOPCn_Msk (0x2UL) /*!< I2C1 CR: STOPCn (Bitfield-Mask: 0x01) */ +#define I2C1_CR_STARTCn_Pos (0UL) /*!< I2C1 CR: STARTCn (Bit 0) */ +#define I2C1_CR_STARTCn_Msk (0x1UL) /*!< I2C1 CR: STARTCn (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define I2C1_ST_GCALLn_Pos (7UL) /*!< I2C1 ST: GCALLn (Bit 7) */ +#define I2C1_ST_GCALLn_Msk (0x80UL) /*!< I2C1 ST: GCALLn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_TENDn_Pos (6UL) /*!< I2C1 ST: TENDn (Bit 6) */ +#define I2C1_ST_TENDn_Msk (0x40UL) /*!< I2C1 ST: TENDn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_STOPDn_Pos (5UL) /*!< I2C1 ST: STOPDn (Bit 5) */ +#define I2C1_ST_STOPDn_Msk (0x20UL) /*!< I2C1 ST: STOPDn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_SSELn_Pos (4UL) /*!< I2C1 ST: SSELn (Bit 4) */ +#define I2C1_ST_SSELn_Msk (0x10UL) /*!< I2C1 ST: SSELn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_MLOSTn_Pos (3UL) /*!< I2C1 ST: MLOSTn (Bit 3) */ +#define I2C1_ST_MLOSTn_Msk (0x8UL) /*!< I2C1 ST: MLOSTn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_BUSYn_Pos (2UL) /*!< I2C1 ST: BUSYn (Bit 2) */ +#define I2C1_ST_BUSYn_Msk (0x4UL) /*!< I2C1 ST: BUSYn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_TMODEn_Pos (1UL) /*!< I2C1 ST: TMODEn (Bit 1) */ +#define I2C1_ST_TMODEn_Msk (0x2UL) /*!< I2C1 ST: TMODEn (Bitfield-Mask: 0x01) */ +#define I2C1_ST_RXACKn_Pos (0UL) /*!< I2C1 ST: RXACKn (Bit 0) */ +#define I2C1_ST_RXACKn_Msk (0x1UL) /*!< I2C1 ST: RXACKn (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR1 ========================================================== */ +#define I2C1_SAR1_SLAn_Pos (1UL) /*!< I2C1 SAR1: SLAn (Bit 1) */ +#define I2C1_SAR1_SLAn_Msk (0xfeUL) /*!< I2C1 SAR1: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C1_SAR1_GCALLnEN_Pos (0UL) /*!< I2C1 SAR1: GCALLnEN (Bit 0) */ +#define I2C1_SAR1_GCALLnEN_Msk (0x1UL) /*!< I2C1 SAR1: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR2 ========================================================== */ +#define I2C1_SAR2_SLAn_Pos (1UL) /*!< I2C1 SAR2: SLAn (Bit 1) */ +#define I2C1_SAR2_SLAn_Msk (0xfeUL) /*!< I2C1 SAR2: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C1_SAR2_GCALLnEN_Pos (0UL) /*!< I2C1 SAR2: GCALLnEN (Bit 0) */ +#define I2C1_SAR2_GCALLnEN_Msk (0x1UL) /*!< I2C1 SAR2: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define I2C1_DR_DATA_Pos (0UL) /*!< I2C1 DR: DATA (Bit 0) */ +#define I2C1_DR_DATA_Msk (0xffUL) /*!< I2C1 DR: DATA (Bitfield-Mask: 0xff) */ +/* ========================================================= SDHR ========================================================== */ +#define I2C1_SDHR_HLDT_Pos (0UL) /*!< I2C1 SDHR: HLDT (Bit 0) */ +#define I2C1_SDHR_HLDT_Msk (0xfffUL) /*!< I2C1 SDHR: HLDT (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCLR ========================================================== */ +#define I2C1_SCLR_SCLL_Pos (0UL) /*!< I2C1 SCLR: SCLL (Bit 0) */ +#define I2C1_SCLR_SCLL_Msk (0xfffUL) /*!< I2C1 SCLR: SCLL (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCHR ========================================================== */ +#define I2C1_SCHR_SCLH_Pos (0UL) /*!< I2C1 SCHR: SCLH (Bit 0) */ +#define I2C1_SCHR_SCLH_Msk (0xfffUL) /*!< I2C1 SCHR: SCLH (Bitfield-Mask: 0xfff) */ + + +/* =========================================================================================================================== */ +/* ================ I2C2 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define I2C2_CR_I2CnEN_Pos (7UL) /*!< I2C2 CR: I2CnEN (Bit 7) */ +#define I2C2_CR_I2CnEN_Msk (0x80UL) /*!< I2C2 CR: I2CnEN (Bitfield-Mask: 0x01) */ +#define I2C2_CR_TXDLYENBn_Pos (6UL) /*!< I2C2 CR: TXDLYENBn (Bit 6) */ +#define I2C2_CR_TXDLYENBn_Msk (0x40UL) /*!< I2C2 CR: TXDLYENBn (Bitfield-Mask: 0x01) */ +#define I2C2_CR_I2CnIEN_Pos (5UL) /*!< I2C2 CR: I2CnIEN (Bit 5) */ +#define I2C2_CR_I2CnIEN_Msk (0x20UL) /*!< I2C2 CR: I2CnIEN (Bitfield-Mask: 0x01) */ +#define I2C2_CR_I2CnIFLAG_Pos (4UL) /*!< I2C2 CR: I2CnIFLAG (Bit 4) */ +#define I2C2_CR_I2CnIFLAG_Msk (0x10UL) /*!< I2C2 CR: I2CnIFLAG (Bitfield-Mask: 0x01) */ +#define I2C2_CR_ACKnEN_Pos (3UL) /*!< I2C2 CR: ACKnEN (Bit 3) */ +#define I2C2_CR_ACKnEN_Msk (0x8UL) /*!< I2C2 CR: ACKnEN (Bitfield-Mask: 0x01) */ +#define I2C2_CR_IMASTERn_Pos (2UL) /*!< I2C2 CR: IMASTERn (Bit 2) */ +#define I2C2_CR_IMASTERn_Msk (0x4UL) /*!< I2C2 CR: IMASTERn (Bitfield-Mask: 0x01) */ +#define I2C2_CR_STOPCn_Pos (1UL) /*!< I2C2 CR: STOPCn (Bit 1) */ +#define I2C2_CR_STOPCn_Msk (0x2UL) /*!< I2C2 CR: STOPCn (Bitfield-Mask: 0x01) */ +#define I2C2_CR_STARTCn_Pos (0UL) /*!< I2C2 CR: STARTCn (Bit 0) */ +#define I2C2_CR_STARTCn_Msk (0x1UL) /*!< I2C2 CR: STARTCn (Bitfield-Mask: 0x01) */ +/* ========================================================== ST =========================================================== */ +#define I2C2_ST_GCALLn_Pos (7UL) /*!< I2C2 ST: GCALLn (Bit 7) */ +#define I2C2_ST_GCALLn_Msk (0x80UL) /*!< I2C2 ST: GCALLn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_TENDn_Pos (6UL) /*!< I2C2 ST: TENDn (Bit 6) */ +#define I2C2_ST_TENDn_Msk (0x40UL) /*!< I2C2 ST: TENDn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_STOPDn_Pos (5UL) /*!< I2C2 ST: STOPDn (Bit 5) */ +#define I2C2_ST_STOPDn_Msk (0x20UL) /*!< I2C2 ST: STOPDn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_SSELn_Pos (4UL) /*!< I2C2 ST: SSELn (Bit 4) */ +#define I2C2_ST_SSELn_Msk (0x10UL) /*!< I2C2 ST: SSELn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_MLOSTn_Pos (3UL) /*!< I2C2 ST: MLOSTn (Bit 3) */ +#define I2C2_ST_MLOSTn_Msk (0x8UL) /*!< I2C2 ST: MLOSTn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_BUSYn_Pos (2UL) /*!< I2C2 ST: BUSYn (Bit 2) */ +#define I2C2_ST_BUSYn_Msk (0x4UL) /*!< I2C2 ST: BUSYn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_TMODEn_Pos (1UL) /*!< I2C2 ST: TMODEn (Bit 1) */ +#define I2C2_ST_TMODEn_Msk (0x2UL) /*!< I2C2 ST: TMODEn (Bitfield-Mask: 0x01) */ +#define I2C2_ST_RXACKn_Pos (0UL) /*!< I2C2 ST: RXACKn (Bit 0) */ +#define I2C2_ST_RXACKn_Msk (0x1UL) /*!< I2C2 ST: RXACKn (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR1 ========================================================== */ +#define I2C2_SAR1_SLAn_Pos (1UL) /*!< I2C2 SAR1: SLAn (Bit 1) */ +#define I2C2_SAR1_SLAn_Msk (0xfeUL) /*!< I2C2 SAR1: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C2_SAR1_GCALLnEN_Pos (0UL) /*!< I2C2 SAR1: GCALLnEN (Bit 0) */ +#define I2C2_SAR1_GCALLnEN_Msk (0x1UL) /*!< I2C2 SAR1: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================= SAR2 ========================================================== */ +#define I2C2_SAR2_SLAn_Pos (1UL) /*!< I2C2 SAR2: SLAn (Bit 1) */ +#define I2C2_SAR2_SLAn_Msk (0xfeUL) /*!< I2C2 SAR2: SLAn (Bitfield-Mask: 0x7f) */ +#define I2C2_SAR2_GCALLnEN_Pos (0UL) /*!< I2C2 SAR2: GCALLnEN (Bit 0) */ +#define I2C2_SAR2_GCALLnEN_Msk (0x1UL) /*!< I2C2 SAR2: GCALLnEN (Bitfield-Mask: 0x01) */ +/* ========================================================== DR =========================================================== */ +#define I2C2_DR_DATA_Pos (0UL) /*!< I2C2 DR: DATA (Bit 0) */ +#define I2C2_DR_DATA_Msk (0xffUL) /*!< I2C2 DR: DATA (Bitfield-Mask: 0xff) */ +/* ========================================================= SDHR ========================================================== */ +#define I2C2_SDHR_HLDT_Pos (0UL) /*!< I2C2 SDHR: HLDT (Bit 0) */ +#define I2C2_SDHR_HLDT_Msk (0xfffUL) /*!< I2C2 SDHR: HLDT (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCLR ========================================================== */ +#define I2C2_SCLR_SCLL_Pos (0UL) /*!< I2C2 SCLR: SCLL (Bit 0) */ +#define I2C2_SCLR_SCLL_Msk (0xfffUL) /*!< I2C2 SCLR: SCLL (Bitfield-Mask: 0xfff) */ +/* ========================================================= SCHR ========================================================== */ +#define I2C2_SCHR_SCLH_Pos (0UL) /*!< I2C2 SCHR: SCLH (Bit 0) */ +#define I2C2_SCHR_SCLH_Msk (0xfffUL) /*!< I2C2 SCHR: SCLH (Bitfield-Mask: 0xfff) */ + + +/* =========================================================================================================================== */ +/* ================ LCD ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define LCD_CR_IRSEL_Pos (6UL) /*!< LCD CR: IRSEL (Bit 6) */ +#define LCD_CR_IRSEL_Msk (0xc0UL) /*!< LCD CR: IRSEL (Bitfield-Mask: 0x03) */ +#define LCD_CR_DBS_Pos (3UL) /*!< LCD CR: DBS (Bit 3) */ +#define LCD_CR_DBS_Msk (0x38UL) /*!< LCD CR: DBS (Bitfield-Mask: 0x07) */ +#define LCD_CR_LCLK_Pos (1UL) /*!< LCD CR: LCLK (Bit 1) */ +#define LCD_CR_LCLK_Msk (0x6UL) /*!< LCD CR: LCLK (Bitfield-Mask: 0x03) */ +#define LCD_CR_DISP_Pos (0UL) /*!< LCD CR: DISP (Bit 0) */ +#define LCD_CR_DISP_Msk (0x1UL) /*!< LCD CR: DISP (Bitfield-Mask: 0x01) */ +/* ========================================================= BCCR ========================================================== */ +#define LCD_BCCR_LCDABC_Pos (12UL) /*!< LCD BCCR: LCDABC (Bit 12) */ +#define LCD_BCCR_LCDABC_Msk (0x1000UL) /*!< LCD BCCR: LCDABC (Bitfield-Mask: 0x01) */ +#define LCD_BCCR_BMSEL_Pos (8UL) /*!< LCD BCCR: BMSEL (Bit 8) */ +#define LCD_BCCR_BMSEL_Msk (0x700UL) /*!< LCD BCCR: BMSEL (Bitfield-Mask: 0x07) */ +#define LCD_BCCR_LCTEN_Pos (5UL) /*!< LCD BCCR: LCTEN (Bit 5) */ +#define LCD_BCCR_LCTEN_Msk (0x20UL) /*!< LCD BCCR: LCTEN (Bitfield-Mask: 0x01) */ +#define LCD_BCCR_VLCD_Pos (0UL) /*!< LCD BCCR: VLCD (Bit 0) */ +#define LCD_BCCR_VLCD_Msk (0xfUL) /*!< LCD BCCR: VLCD (Bitfield-Mask: 0x0f) */ +/* ========================================================== DR0 ========================================================== */ +/* ========================================================== DR1 ========================================================== */ +/* ========================================================== DR2 ========================================================== */ +/* ========================================================== DR3 ========================================================== */ +/* ========================================================== DR4 ========================================================== */ +/* ========================================================== DR5 ========================================================== */ +/* ========================================================== DR6 ========================================================== */ +/* ========================================================== DR7 ========================================================== */ +/* ========================================================== DR8 ========================================================== */ +/* ========================================================== DR9 ========================================================== */ +/* ========================================================= DR10 ========================================================== */ +/* ========================================================= DR11 ========================================================== */ +/* ========================================================= DR12 ========================================================== */ +/* ========================================================= DR13 ========================================================== */ +/* ========================================================= DR14 ========================================================== */ +/* ========================================================= DR15 ========================================================== */ +/* ========================================================= DR16 ========================================================== */ +/* ========================================================= DR17 ========================================================== */ +/* ========================================================= DR18 ========================================================== */ +/* ========================================================= DR19 ========================================================== */ +/* ========================================================= DR20 ========================================================== */ +/* ========================================================= DR21 ========================================================== */ +/* ========================================================= DR22 ========================================================== */ +/* ========================================================= DR23 ========================================================== */ +/* ========================================================= DR24 ========================================================== */ +/* ========================================================= DR25 ========================================================== */ +/* ========================================================= DR26 ========================================================== */ +/* ========================================================= DR27 ========================================================== */ +/* ========================================================= DR28 ========================================================== */ +/* ========================================================= DR29 ========================================================== */ +/* ========================================================= DR30 ========================================================== */ +/* ========================================================= DR31 ========================================================== */ +/* ========================================================= DR32 ========================================================== */ +/* ========================================================= DR33 ========================================================== */ +/* ========================================================= DR34 ========================================================== */ +/* ========================================================= DR35 ========================================================== */ +/* ========================================================= DR36 ========================================================== */ +/* ========================================================= DR37 ========================================================== */ +/* ========================================================= DR38 ========================================================== */ +/* ========================================================= DR39 ========================================================== */ +/* ========================================================= DR40 ========================================================== */ +/* ========================================================= DR41 ========================================================== */ +/* ========================================================= DR42 ========================================================== */ +/* ========================================================= DR43 ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ CRC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +#define CRC_CR_MODS_Pos (7UL) /*!< CRC CR: MODS (Bit 7) */ +#define CRC_CR_MODS_Msk (0x80UL) /*!< CRC CR: MODS (Bitfield-Mask: 0x01) */ +#define CRC_CR_RLTCLR_Pos (6UL) /*!< CRC CR: RLTCLR (Bit 6) */ +#define CRC_CR_RLTCLR_Msk (0x40UL) /*!< CRC CR: RLTCLR (Bitfield-Mask: 0x01) */ +#define CRC_CR_MDSEL_Pos (5UL) /*!< CRC CR: MDSEL (Bit 5) */ +#define CRC_CR_MDSEL_Msk (0x20UL) /*!< CRC CR: MDSEL (Bitfield-Mask: 0x01) */ +#define CRC_CR_POLYS_Pos (4UL) /*!< CRC CR: POLYS (Bit 4) */ +#define CRC_CR_POLYS_Msk (0x10UL) /*!< CRC CR: POLYS (Bitfield-Mask: 0x01) */ +#define CRC_CR_SARINC_Pos (3UL) /*!< CRC CR: SARINC (Bit 3) */ +#define CRC_CR_SARINC_Msk (0x8UL) /*!< CRC CR: SARINC (Bitfield-Mask: 0x01) */ +#define CRC_CR_FIRSTBS_Pos (1UL) /*!< CRC CR: FIRSTBS (Bit 1) */ +#define CRC_CR_FIRSTBS_Msk (0x2UL) /*!< CRC CR: FIRSTBS (Bitfield-Mask: 0x01) */ +#define CRC_CR_CRCRUN_Pos (0UL) /*!< CRC CR: CRCRUN (Bit 0) */ +#define CRC_CR_CRCRUN_Msk (0x1UL) /*!< CRC CR: CRCRUN (Bitfield-Mask: 0x01) */ +/* ========================================================== IN =========================================================== */ +#define CRC_IN_INDATA_Pos (0UL) /*!< CRC IN: INDATA (Bit 0) */ +#define CRC_IN_INDATA_Msk (0xffffffffUL) /*!< CRC IN: INDATA (Bitfield-Mask: 0xffffffff) */ +/* ========================================================== RLT ========================================================== */ +#define CRC_RLT_RLTDATA_Pos (0UL) /*!< CRC RLT: RLTDATA (Bit 0) */ +#define CRC_RLT_RLTDATA_Msk (0xffffUL) /*!< CRC RLT: RLTDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= INIT ========================================================== */ +#define CRC_INIT_INIDATA_Pos (0UL) /*!< CRC INIT: INIDATA (Bit 0) */ +#define CRC_INIT_INIDATA_Msk (0xffffUL) /*!< CRC INIT: INIDATA (Bitfield-Mask: 0xffff) */ +/* ========================================================= SADR ========================================================== */ +#define CRC_SADR_SADR_Pos (2UL) /*!< CRC SADR: SADR (Bit 2) */ +#define CRC_SADR_SADR_Msk (0xfffffffcUL) /*!< CRC SADR: SADR (Bitfield-Mask: 0x3fffffff) */ +/* ========================================================= EADR ========================================================== */ +#define CRC_EADR_EADR_Pos (2UL) /*!< CRC EADR: EADR (Bit 2) */ +#define CRC_EADR_EADR_Msk (0xfffffffcUL) /*!< CRC EADR: EADR (Bitfield-Mask: 0x3fffffff) */ + + +/* =========================================================================================================================== */ +/* ================ COA0 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== TRIM00 ========================================================= */ +/* ======================================================== TRIM01 ========================================================= */ +/* ======================================================== TRIM02 ========================================================= */ +/* ======================================================== TRIM03 ========================================================= */ +/* ======================================================== TRIM04 ========================================================= */ +/* ======================================================== TRIM05 ========================================================= */ +/* ======================================================== TRIM06 ========================================================= */ +/* ======================================================== TRIM07 ========================================================= */ +/* ======================================================== TRIM08 ========================================================= */ +/* ======================================================== TRIM09 ========================================================= */ +/* ======================================================== TRIM10 ========================================================= */ +/* ======================================================== TRIM11 ========================================================= */ +/* ======================================================== TRIM12 ========================================================= */ +/* ======================================================== TRIM13 ========================================================= */ +/* ======================================================== TRIM14 ========================================================= */ +/* ======================================================== TRIM15 ========================================================= */ +/* ======================================================== TRIM16 ========================================================= */ +/* ======================================================== TRIM17 ========================================================= */ +/* ======================================================== TRIM18 ========================================================= */ +/* ======================================================== TRIM19 ========================================================= */ +/* ===================================================== CONF_MF1CNFIG ===================================================== */ +#define COA0_CONF_MF1CNFIG_XYCDN_Pos (0UL) /*!< COA0 CONF_MF1CNFIG: XYCDN (Bit 0) */ +#define COA0_CONF_MF1CNFIG_XYCDN_Msk (0xffffffffUL) /*!< COA0 CONF_MF1CNFIG: XYCDN (Bitfield-Mask: 0xffffffff) */ +/* ===================================================== CONF_MF2CNFIG ===================================================== */ +#define COA0_CONF_MF2CNFIG_LOTNO_Pos (8UL) /*!< COA0 CONF_MF2CNFIG: LOTNO (Bit 8) */ +#define COA0_CONF_MF2CNFIG_LOTNO_Msk (0xffffff00UL) /*!< COA0 CONF_MF2CNFIG: LOTNO (Bitfield-Mask: 0xffffff) */ +#define COA0_CONF_MF2CNFIG_WAFNO_Pos (0UL) /*!< COA0 CONF_MF2CNFIG: WAFNO (Bit 0) */ +#define COA0_CONF_MF2CNFIG_WAFNO_Msk (0xffUL) /*!< COA0 CONF_MF2CNFIG: WAFNO (Bitfield-Mask: 0xff) */ +/* ===================================================== CONF_MF3CNFIG ===================================================== */ +#define COA0_CONF_MF3CNFIG_LOTNO_Pos (0UL) /*!< COA0 CONF_MF3CNFIG: LOTNO (Bit 0) */ +#define COA0_CONF_MF3CNFIG_LOTNO_Msk (0xffffffffUL) /*!< COA0 CONF_MF3CNFIG: LOTNO (Bitfield-Mask: 0xffffffff) */ +/* ===================================================== CONF_MF4CNFIG ===================================================== */ +#define COA0_CONF_MF4CNFIG_LOTNO_Pos (0UL) /*!< COA0 CONF_MF4CNFIG: LOTNO (Bit 0) */ +#define COA0_CONF_MF4CNFIG_LOTNO_Msk (0xffffffffUL) /*!< COA0 CONF_MF4CNFIG: LOTNO (Bitfield-Mask: 0xffffffff) */ +/* ======================================================== TRIM24 ========================================================= */ +/* ======================================================== TRIM25 ========================================================= */ +/* ======================================================== TRIM26 ========================================================= */ +/* ======================================================== TRIM27 ========================================================= */ +/* ======================================================== TRIM28 ========================================================= */ +/* ======================================================== TRIM29 ========================================================= */ +/* ======================================================== TRIM30 ========================================================= */ +/* ======================================================== TRIM31 ========================================================= */ + + +/* =========================================================================================================================== */ +/* ================ COA1 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== RPCNFIG ======================================================== */ +#define COA1_RPCNFIG_WTIDKY_Pos (4UL) /*!< COA1 RPCNFIG: WTIDKY (Bit 4) */ +#define COA1_RPCNFIG_WTIDKY_Msk (0xfffffff0UL) /*!< COA1 RPCNFIG: WTIDKY (Bitfield-Mask: 0xfffffff) */ +#define COA1_RPCNFIG_READP_Pos (0UL) /*!< COA1 RPCNFIG: READP (Bit 0) */ +#define COA1_RPCNFIG_READP_Msk (0x3UL) /*!< COA1 RPCNFIG: READP (Bitfield-Mask: 0x03) */ +/* ======================================================= WDTCNFIG ======================================================== */ +#define COA1_WDTCNFIG_WRCMF_Pos (4UL) /*!< COA1 WDTCNFIG: WRCMF (Bit 4) */ +#define COA1_WDTCNFIG_WRCMF_Msk (0xfff0UL) /*!< COA1 WDTCNFIG: WRCMF (Bitfield-Mask: 0xfff) */ +#define COA1_WDTCNFIG_WCLKMF_Pos (2UL) /*!< COA1 WDTCNFIG: WCLKMF (Bit 2) */ +#define COA1_WDTCNFIG_WCLKMF_Msk (0x4UL) /*!< COA1 WDTCNFIG: WCLKMF (Bitfield-Mask: 0x01) */ +#define COA1_WDTCNFIG_WRSTMF_Pos (1UL) /*!< COA1 WDTCNFIG: WRSTMF (Bit 1) */ +#define COA1_WDTCNFIG_WRSTMF_Msk (0x2UL) /*!< COA1 WDTCNFIG: WRSTMF (Bitfield-Mask: 0x01) */ +#define COA1_WDTCNFIG_WCNTMF_Pos (0UL) /*!< COA1 WDTCNFIG: WCNTMF (Bit 0) */ +#define COA1_WDTCNFIG_WCNTMF_Msk (0x1UL) /*!< COA1 WDTCNFIG: WCNTMF (Bitfield-Mask: 0x01) */ +/* ======================================================= LVRCNFIG ======================================================== */ +#define COA1_LVRCNFIG_LVRENM_Pos (8UL) /*!< COA1 LVRCNFIG: LVRENM (Bit 8) */ +#define COA1_LVRCNFIG_LVRENM_Msk (0xff00UL) /*!< COA1 LVRCNFIG: LVRENM (Bitfield-Mask: 0xff) */ +#define COA1_LVRCNFIG_LVRVS_Pos (0UL) /*!< COA1 LVRCNFIG: LVRVS (Bit 0) */ +#define COA1_LVRCNFIG_LVRVS_Msk (0xfUL) /*!< COA1 LVRCNFIG: LVRVS (Bitfield-Mask: 0x0f) */ +/* ======================================================= CNFIGWTP1 ======================================================= */ +#define COA1_CNFIGWTP1_CP3WP_Pos (2UL) /*!< COA1 CNFIGWTP1: CP3WP (Bit 2) */ +#define COA1_CNFIGWTP1_CP3WP_Msk (0x4UL) /*!< COA1 CNFIGWTP1: CP3WP (Bitfield-Mask: 0x01) */ +#define COA1_CNFIGWTP1_CP2WP_Pos (1UL) /*!< COA1 CNFIGWTP1: CP2WP (Bit 1) */ +#define COA1_CNFIGWTP1_CP2WP_Msk (0x2UL) /*!< COA1 CNFIGWTP1: CP2WP (Bitfield-Mask: 0x01) */ +#define COA1_CNFIGWTP1_CP1WP_Pos (0UL) /*!< COA1 CNFIGWTP1: CP1WP (Bit 0) */ +#define COA1_CNFIGWTP1_CP1WP_Msk (0x1UL) /*!< COA1 CNFIGWTP1: CP1WP (Bitfield-Mask: 0x01) */ +/* ======================================================== FMWTP1 ========================================================= */ +#define COA1_FMWTP1_SWTP31_Pos (31UL) /*!< COA1 FMWTP1: SWTP31 (Bit 31) */ +#define COA1_FMWTP1_SWTP31_Msk (0x80000000UL) /*!< COA1 FMWTP1: SWTP31 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP30_Pos (30UL) /*!< COA1 FMWTP1: SWTP30 (Bit 30) */ +#define COA1_FMWTP1_SWTP30_Msk (0x40000000UL) /*!< COA1 FMWTP1: SWTP30 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP29_Pos (29UL) /*!< COA1 FMWTP1: SWTP29 (Bit 29) */ +#define COA1_FMWTP1_SWTP29_Msk (0x20000000UL) /*!< COA1 FMWTP1: SWTP29 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP28_Pos (28UL) /*!< COA1 FMWTP1: SWTP28 (Bit 28) */ +#define COA1_FMWTP1_SWTP28_Msk (0x10000000UL) /*!< COA1 FMWTP1: SWTP28 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP27_Pos (27UL) /*!< COA1 FMWTP1: SWTP27 (Bit 27) */ +#define COA1_FMWTP1_SWTP27_Msk (0x8000000UL) /*!< COA1 FMWTP1: SWTP27 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP26_Pos (26UL) /*!< COA1 FMWTP1: SWTP26 (Bit 26) */ +#define COA1_FMWTP1_SWTP26_Msk (0x4000000UL) /*!< COA1 FMWTP1: SWTP26 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP25_Pos (25UL) /*!< COA1 FMWTP1: SWTP25 (Bit 25) */ +#define COA1_FMWTP1_SWTP25_Msk (0x2000000UL) /*!< COA1 FMWTP1: SWTP25 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP24_Pos (24UL) /*!< COA1 FMWTP1: SWTP24 (Bit 24) */ +#define COA1_FMWTP1_SWTP24_Msk (0x1000000UL) /*!< COA1 FMWTP1: SWTP24 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP23_Pos (23UL) /*!< COA1 FMWTP1: SWTP23 (Bit 23) */ +#define COA1_FMWTP1_SWTP23_Msk (0x800000UL) /*!< COA1 FMWTP1: SWTP23 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP22_Pos (22UL) /*!< COA1 FMWTP1: SWTP22 (Bit 22) */ +#define COA1_FMWTP1_SWTP22_Msk (0x400000UL) /*!< COA1 FMWTP1: SWTP22 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP21_Pos (21UL) /*!< COA1 FMWTP1: SWTP21 (Bit 21) */ +#define COA1_FMWTP1_SWTP21_Msk (0x200000UL) /*!< COA1 FMWTP1: SWTP21 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP20_Pos (20UL) /*!< COA1 FMWTP1: SWTP20 (Bit 20) */ +#define COA1_FMWTP1_SWTP20_Msk (0x100000UL) /*!< COA1 FMWTP1: SWTP20 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP19_Pos (19UL) /*!< COA1 FMWTP1: SWTP19 (Bit 19) */ +#define COA1_FMWTP1_SWTP19_Msk (0x80000UL) /*!< COA1 FMWTP1: SWTP19 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP18_Pos (18UL) /*!< COA1 FMWTP1: SWTP18 (Bit 18) */ +#define COA1_FMWTP1_SWTP18_Msk (0x40000UL) /*!< COA1 FMWTP1: SWTP18 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP17_Pos (17UL) /*!< COA1 FMWTP1: SWTP17 (Bit 17) */ +#define COA1_FMWTP1_SWTP17_Msk (0x20000UL) /*!< COA1 FMWTP1: SWTP17 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP16_Pos (16UL) /*!< COA1 FMWTP1: SWTP16 (Bit 16) */ +#define COA1_FMWTP1_SWTP16_Msk (0x10000UL) /*!< COA1 FMWTP1: SWTP16 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP15_Pos (15UL) /*!< COA1 FMWTP1: SWTP15 (Bit 15) */ +#define COA1_FMWTP1_SWTP15_Msk (0x8000UL) /*!< COA1 FMWTP1: SWTP15 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP14_Pos (14UL) /*!< COA1 FMWTP1: SWTP14 (Bit 14) */ +#define COA1_FMWTP1_SWTP14_Msk (0x4000UL) /*!< COA1 FMWTP1: SWTP14 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP13_Pos (13UL) /*!< COA1 FMWTP1: SWTP13 (Bit 13) */ +#define COA1_FMWTP1_SWTP13_Msk (0x2000UL) /*!< COA1 FMWTP1: SWTP13 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP12_Pos (12UL) /*!< COA1 FMWTP1: SWTP12 (Bit 12) */ +#define COA1_FMWTP1_SWTP12_Msk (0x1000UL) /*!< COA1 FMWTP1: SWTP12 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP11_Pos (11UL) /*!< COA1 FMWTP1: SWTP11 (Bit 11) */ +#define COA1_FMWTP1_SWTP11_Msk (0x800UL) /*!< COA1 FMWTP1: SWTP11 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP10_Pos (10UL) /*!< COA1 FMWTP1: SWTP10 (Bit 10) */ +#define COA1_FMWTP1_SWTP10_Msk (0x400UL) /*!< COA1 FMWTP1: SWTP10 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP9_Pos (9UL) /*!< COA1 FMWTP1: SWTP9 (Bit 9) */ +#define COA1_FMWTP1_SWTP9_Msk (0x200UL) /*!< COA1 FMWTP1: SWTP9 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP8_Pos (8UL) /*!< COA1 FMWTP1: SWTP8 (Bit 8) */ +#define COA1_FMWTP1_SWTP8_Msk (0x100UL) /*!< COA1 FMWTP1: SWTP8 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP7_Pos (7UL) /*!< COA1 FMWTP1: SWTP7 (Bit 7) */ +#define COA1_FMWTP1_SWTP7_Msk (0x80UL) /*!< COA1 FMWTP1: SWTP7 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP6_Pos (6UL) /*!< COA1 FMWTP1: SWTP6 (Bit 6) */ +#define COA1_FMWTP1_SWTP6_Msk (0x40UL) /*!< COA1 FMWTP1: SWTP6 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP5_Pos (5UL) /*!< COA1 FMWTP1: SWTP5 (Bit 5) */ +#define COA1_FMWTP1_SWTP5_Msk (0x20UL) /*!< COA1 FMWTP1: SWTP5 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP4_Pos (4UL) /*!< COA1 FMWTP1: SWTP4 (Bit 4) */ +#define COA1_FMWTP1_SWTP4_Msk (0x10UL) /*!< COA1 FMWTP1: SWTP4 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP3_Pos (3UL) /*!< COA1 FMWTP1: SWTP3 (Bit 3) */ +#define COA1_FMWTP1_SWTP3_Msk (0x8UL) /*!< COA1 FMWTP1: SWTP3 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP2_Pos (2UL) /*!< COA1 FMWTP1: SWTP2 (Bit 2) */ +#define COA1_FMWTP1_SWTP2_Msk (0x4UL) /*!< COA1 FMWTP1: SWTP2 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP1_Pos (1UL) /*!< COA1 FMWTP1: SWTP1 (Bit 1) */ +#define COA1_FMWTP1_SWTP1_Msk (0x2UL) /*!< COA1 FMWTP1: SWTP1 (Bitfield-Mask: 0x01) */ +#define COA1_FMWTP1_SWTP0_Pos (0UL) /*!< COA1 FMWTP1: SWTP0 (Bit 0) */ +#define COA1_FMWTP1_SWTP0_Msk (0x1UL) /*!< COA1 FMWTP1: SWTP0 (Bitfield-Mask: 0x01) */ + + +/* =========================================================================================================================== */ +/* ================ COA2 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== UDATA00 ======================================================== */ +/* ======================================================== UDATA01 ======================================================== */ +/* ======================================================== UDATA02 ======================================================== */ +/* ======================================================== UDATA03 ======================================================== */ +/* ======================================================== UDATA04 ======================================================== */ +/* ======================================================== UDATA05 ======================================================== */ +/* ======================================================== UDATA06 ======================================================== */ +/* ======================================================== UDATA07 ======================================================== */ +/* ======================================================== UDATA08 ======================================================== */ +/* ======================================================== UDATA09 ======================================================== */ +/* ======================================================== UDATA10 ======================================================== */ +/* ======================================================== UDATA11 ======================================================== */ +/* ======================================================== UDATA12 ======================================================== */ +/* ======================================================== UDATA13 ======================================================== */ +/* ======================================================== UDATA14 ======================================================== */ +/* ======================================================== UDATA15 ======================================================== */ +/* ======================================================== UDATA16 ======================================================== */ +/* ======================================================== UDATA17 ======================================================== */ +/* ======================================================== UDATA18 ======================================================== */ +/* ======================================================== UDATA19 ======================================================== */ +/* ======================================================== UDATA20 ======================================================== */ +/* ======================================================== UDATA21 ======================================================== */ +/* ======================================================== UDATA22 ======================================================== */ +/* ======================================================== UDATA23 ======================================================== */ +/* ======================================================== UDATA24 ======================================================== */ +/* ======================================================== UDATA25 ======================================================== */ +/* ======================================================== UDATA26 ======================================================== */ +/* ======================================================== UDATA27 ======================================================== */ +/* ======================================================== UDATA28 ======================================================== */ +/* ======================================================== UDATA29 ======================================================== */ +/* ======================================================== UDATA30 ======================================================== */ +/* ======================================================== UDATA31 ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ COA3 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== UDATA00 ======================================================== */ +/* ======================================================== UDATA01 ======================================================== */ +/* ======================================================== UDATA02 ======================================================== */ +/* ======================================================== UDATA03 ======================================================== */ +/* ======================================================== UDATA04 ======================================================== */ +/* ======================================================== UDATA05 ======================================================== */ +/* ======================================================== UDATA06 ======================================================== */ +/* ======================================================== UDATA07 ======================================================== */ +/* ======================================================== UDATA08 ======================================================== */ +/* ======================================================== UDATA09 ======================================================== */ +/* ======================================================== UDATA10 ======================================================== */ +/* ======================================================== UDATA11 ======================================================== */ +/* ======================================================== UDATA12 ======================================================== */ +/* ======================================================== UDATA13 ======================================================== */ +/* ======================================================== UDATA14 ======================================================== */ +/* ======================================================== UDATA15 ======================================================== */ +/* ======================================================== UDATA16 ======================================================== */ +/* ======================================================== UDATA17 ======================================================== */ +/* ======================================================== UDATA18 ======================================================== */ +/* ======================================================== UDATA19 ======================================================== */ +/* ======================================================== UDATA20 ======================================================== */ +/* ======================================================== UDATA21 ======================================================== */ +/* ======================================================== UDATA22 ======================================================== */ +/* ======================================================== UDATA23 ======================================================== */ +/* ======================================================== UDATA24 ======================================================== */ +/* ======================================================== UDATA25 ======================================================== */ +/* ======================================================== UDATA26 ======================================================== */ +/* ======================================================== UDATA27 ======================================================== */ +/* ======================================================== UDATA28 ======================================================== */ +/* ======================================================== UDATA29 ======================================================== */ +/* ======================================================== UDATA30 ======================================================== */ +/* ======================================================== UDATA31 ======================================================== */ + +/** @} */ /* End of group PosMask_peripherals */ + + +/* =========================================================================================================================== */ +/* ================ Enumerated Values Peripheral Section ================ */ +/* =========================================================================================================================== */ + + +/** @addtogroup EnumValue_peripherals + * @{ + */ + + + +/* =========================================================================================================================== */ +/* ================ INTC ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== PBTRIG ========================================================= */ +/* ============================================= INTC PBTRIG ITRIG11 [11..11] ============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG11 */ + INTC_PBTRIG_ITRIG11_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG11_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG11_Enum; + +/* ============================================= INTC PBTRIG ITRIG10 [10..10] ============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG10 */ + INTC_PBTRIG_ITRIG10_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG10_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG10_Enum; + +/* =============================================== INTC PBTRIG ITRIG9 [9..9] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG9 */ + INTC_PBTRIG_ITRIG9_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG9_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG9_Enum; + +/* =============================================== INTC PBTRIG ITRIG8 [8..8] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG8 */ + INTC_PBTRIG_ITRIG8_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG8_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG8_Enum; + +/* =============================================== INTC PBTRIG ITRIG7 [7..7] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG7 */ + INTC_PBTRIG_ITRIG7_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG7_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG7_Enum; + +/* =============================================== INTC PBTRIG ITRIG6 [6..6] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG6 */ + INTC_PBTRIG_ITRIG6_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG6_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG6_Enum; + +/* =============================================== INTC PBTRIG ITRIG5 [5..5] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG5 */ + INTC_PBTRIG_ITRIG5_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG5_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG5_Enum; + +/* =============================================== INTC PBTRIG ITRIG4 [4..4] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG4 */ + INTC_PBTRIG_ITRIG4_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG4_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG4_Enum; + +/* =============================================== INTC PBTRIG ITRIG3 [3..3] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG3 */ + INTC_PBTRIG_ITRIG3_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG3_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG3_Enum; + +/* =============================================== INTC PBTRIG ITRIG2 [2..2] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG2 */ + INTC_PBTRIG_ITRIG2_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG2_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG2_Enum; + +/* =============================================== INTC PBTRIG ITRIG1 [1..1] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG1 */ + INTC_PBTRIG_ITRIG1_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG1_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG1_Enum; + +/* =============================================== INTC PBTRIG ITRIG0 [0..0] =============================================== */ +typedef enum { /*!< INTC_PBTRIG_ITRIG0 */ + INTC_PBTRIG_ITRIG0_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PBTRIG_ITRIG0_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PBTRIG_ITRIG0_Enum; + +/* ======================================================== PCTRIG ========================================================= */ +/* =============================================== INTC PCTRIG ITRIG3 [3..3] =============================================== */ +typedef enum { /*!< INTC_PCTRIG_ITRIG3 */ + INTC_PCTRIG_ITRIG3_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PCTRIG_ITRIG3_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PCTRIG_ITRIG3_Enum; + +/* =============================================== INTC PCTRIG ITRIG2 [2..2] =============================================== */ +typedef enum { /*!< INTC_PCTRIG_ITRIG2 */ + INTC_PCTRIG_ITRIG2_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PCTRIG_ITRIG2_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PCTRIG_ITRIG2_Enum; + +/* =============================================== INTC PCTRIG ITRIG1 [1..1] =============================================== */ +typedef enum { /*!< INTC_PCTRIG_ITRIG1 */ + INTC_PCTRIG_ITRIG1_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PCTRIG_ITRIG1_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PCTRIG_ITRIG1_Enum; + +/* =============================================== INTC PCTRIG ITRIG0 [0..0] =============================================== */ +typedef enum { /*!< INTC_PCTRIG_ITRIG0 */ + INTC_PCTRIG_ITRIG0_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PCTRIG_ITRIG0_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PCTRIG_ITRIG0_Enum; + +/* ======================================================== PETRIG ========================================================= */ +/* =============================================== INTC PETRIG ITRIG3 [3..3] =============================================== */ +typedef enum { /*!< INTC_PETRIG_ITRIG3 */ + INTC_PETRIG_ITRIG3_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PETRIG_ITRIG3_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PETRIG_ITRIG3_Enum; + +/* =============================================== INTC PETRIG ITRIG2 [2..2] =============================================== */ +typedef enum { /*!< INTC_PETRIG_ITRIG2 */ + INTC_PETRIG_ITRIG2_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PETRIG_ITRIG2_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PETRIG_ITRIG2_Enum; + +/* =============================================== INTC PETRIG ITRIG1 [1..1] =============================================== */ +typedef enum { /*!< INTC_PETRIG_ITRIG1 */ + INTC_PETRIG_ITRIG1_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PETRIG_ITRIG1_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PETRIG_ITRIG1_Enum; + +/* =============================================== INTC PETRIG ITRIG0 [0..0] =============================================== */ +typedef enum { /*!< INTC_PETRIG_ITRIG0 */ + INTC_PETRIG_ITRIG0_Edge = 0, /*!< Edge : Edge trigger interrupt */ + INTC_PETRIG_ITRIG0_Level = 1, /*!< Level : Level trigger interrupt */ +} INTC_PETRIG_ITRIG0_Enum; + +/* ========================================================= PBCR ========================================================== */ +/* ============================================== INTC PBCR INTCTL11 [22..23] ============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL11 */ + INTC_PBCR_INTCTL11_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL11_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL11_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL11_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL11_Enum; + +/* ============================================== INTC PBCR INTCTL10 [20..21] ============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL10 */ + INTC_PBCR_INTCTL10_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL10_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL10_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL10_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL10_Enum; + +/* ============================================== INTC PBCR INTCTL9 [18..19] =============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL9 */ + INTC_PBCR_INTCTL9_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL9_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL9_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL9_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL9_Enum; + +/* ============================================== INTC PBCR INTCTL8 [16..17] =============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL8 */ + INTC_PBCR_INTCTL8_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL8_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL8_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL8_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL8_Enum; + +/* ============================================== INTC PBCR INTCTL7 [14..15] =============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL7 */ + INTC_PBCR_INTCTL7_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL7_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL7_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL7_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL7_Enum; + +/* ============================================== INTC PBCR INTCTL6 [12..13] =============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL6 */ + INTC_PBCR_INTCTL6_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL6_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL6_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL6_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL6_Enum; + +/* ============================================== INTC PBCR INTCTL5 [10..11] =============================================== */ +typedef enum { /*!< INTC_PBCR_INTCTL5 */ + INTC_PBCR_INTCTL5_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL5_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL5_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL5_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL5_Enum; + +/* =============================================== INTC PBCR INTCTL4 [8..9] ================================================ */ +typedef enum { /*!< INTC_PBCR_INTCTL4 */ + INTC_PBCR_INTCTL4_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL4_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL4_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL4_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL4_Enum; + +/* =============================================== INTC PBCR INTCTL3 [6..7] ================================================ */ +typedef enum { /*!< INTC_PBCR_INTCTL3 */ + INTC_PBCR_INTCTL3_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL3_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL3_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL3_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL3_Enum; + +/* =============================================== INTC PBCR INTCTL2 [4..5] ================================================ */ +typedef enum { /*!< INTC_PBCR_INTCTL2 */ + INTC_PBCR_INTCTL2_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL2_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL2_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL2_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL2_Enum; + +/* =============================================== INTC PBCR INTCTL1 [2..3] ================================================ */ +typedef enum { /*!< INTC_PBCR_INTCTL1 */ + INTC_PBCR_INTCTL1_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL1_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL1_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL1_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL1_Enum; + +/* =============================================== INTC PBCR INTCTL0 [0..1] ================================================ */ +typedef enum { /*!< INTC_PBCR_INTCTL0 */ + INTC_PBCR_INTCTL0_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PBCR_INTCTL0_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PBCR_INTCTL0_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PBCR_INTCTL0_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PBCR_INTCTL0_Enum; + +/* ========================================================= PCCR ========================================================== */ +/* =============================================== INTC PCCR INTCTL3 [6..7] ================================================ */ +typedef enum { /*!< INTC_PCCR_INTCTL3 */ + INTC_PCCR_INTCTL3_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PCCR_INTCTL3_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PCCR_INTCTL3_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PCCR_INTCTL3_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PCCR_INTCTL3_Enum; + +/* =============================================== INTC PCCR INTCTL2 [4..5] ================================================ */ +typedef enum { /*!< INTC_PCCR_INTCTL2 */ + INTC_PCCR_INTCTL2_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PCCR_INTCTL2_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PCCR_INTCTL2_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PCCR_INTCTL2_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PCCR_INTCTL2_Enum; + +/* =============================================== INTC PCCR INTCTL1 [2..3] ================================================ */ +typedef enum { /*!< INTC_PCCR_INTCTL1 */ + INTC_PCCR_INTCTL1_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PCCR_INTCTL1_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PCCR_INTCTL1_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PCCR_INTCTL1_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PCCR_INTCTL1_Enum; + +/* =============================================== INTC PCCR INTCTL0 [0..1] ================================================ */ +typedef enum { /*!< INTC_PCCR_INTCTL0 */ + INTC_PCCR_INTCTL0_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PCCR_INTCTL0_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PCCR_INTCTL0_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PCCR_INTCTL0_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PCCR_INTCTL0_Enum; + +/* ========================================================= PECR ========================================================== */ +/* =============================================== INTC PECR INTCTL3 [6..7] ================================================ */ +typedef enum { /*!< INTC_PECR_INTCTL3 */ + INTC_PECR_INTCTL3_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PECR_INTCTL3_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PECR_INTCTL3_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PECR_INTCTL3_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PECR_INTCTL3_Enum; + +/* =============================================== INTC PECR INTCTL2 [4..5] ================================================ */ +typedef enum { /*!< INTC_PECR_INTCTL2 */ + INTC_PECR_INTCTL2_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PECR_INTCTL2_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PECR_INTCTL2_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PECR_INTCTL2_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PECR_INTCTL2_Enum; + +/* =============================================== INTC PECR INTCTL1 [2..3] ================================================ */ +typedef enum { /*!< INTC_PECR_INTCTL1 */ + INTC_PECR_INTCTL1_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PECR_INTCTL1_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PECR_INTCTL1_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PECR_INTCTL1_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PECR_INTCTL1_Enum; + +/* =============================================== INTC PECR INTCTL0 [0..1] ================================================ */ +typedef enum { /*!< INTC_PECR_INTCTL0 */ + INTC_PECR_INTCTL0_Disable = 0, /*!< Disable : Disable external interrupt. */ + INTC_PECR_INTCTL0_FallingEdgeLowLevel = 1, /*!< FallingEdgeLowLevel : Interrupt on falling edge or on low level */ + INTC_PECR_INTCTL0_RisingEdgeHighLevel = 2, /*!< RisingEdgeHighLevel : Interrupt on rising edge or on high level */ + INTC_PECR_INTCTL0_BothEdgeNoLevel = 3, /*!< BothEdgeNoLevel : Interrupt on both falling and rising edge, + No level interrupt */ +} INTC_PECR_INTCTL0_Enum; + +/* ======================================================== PBFLAG ========================================================= */ +/* ============================================== INTC PBFLAG FLAG11 [11..11] ============================================== */ +typedef enum { /*!< INTC_PBFLAG_FLAG11 */ + INTC_PBFLAG_FLAG11_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG11_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG11_Enum; + +/* ============================================== INTC PBFLAG FLAG10 [10..10] ============================================== */ +typedef enum { /*!< INTC_PBFLAG_FLAG10 */ + INTC_PBFLAG_FLAG10_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG10_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG10_Enum; + +/* =============================================== INTC PBFLAG FLAG9 [9..9] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG9 */ + INTC_PBFLAG_FLAG9_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG9_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG9_Enum; + +/* =============================================== INTC PBFLAG FLAG8 [8..8] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG8 */ + INTC_PBFLAG_FLAG8_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG8_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG8_Enum; + +/* =============================================== INTC PBFLAG FLAG7 [7..7] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG7 */ + INTC_PBFLAG_FLAG7_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG7_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG7_Enum; + +/* =============================================== INTC PBFLAG FLAG6 [6..6] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG6 */ + INTC_PBFLAG_FLAG6_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG6_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG6_Enum; + +/* =============================================== INTC PBFLAG FLAG5 [5..5] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG5 */ + INTC_PBFLAG_FLAG5_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG5_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG5_Enum; + +/* =============================================== INTC PBFLAG FLAG4 [4..4] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG4 */ + INTC_PBFLAG_FLAG4_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG4_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG4_Enum; + +/* =============================================== INTC PBFLAG FLAG3 [3..3] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG3 */ + INTC_PBFLAG_FLAG3_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG3_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG3_Enum; + +/* =============================================== INTC PBFLAG FLAG2 [2..2] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG2 */ + INTC_PBFLAG_FLAG2_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG2_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG2_Enum; + +/* =============================================== INTC PBFLAG FLAG1 [1..1] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG1 */ + INTC_PBFLAG_FLAG1_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG1_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG1_Enum; + +/* =============================================== INTC PBFLAG FLAG0 [0..0] ================================================ */ +typedef enum { /*!< INTC_PBFLAG_FLAG0 */ + INTC_PBFLAG_FLAG0_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PBFLAG_FLAG0_Request = 1, /*!< Request : Request occurred. */ +} INTC_PBFLAG_FLAG0_Enum; + +/* ======================================================== PCFLAG ========================================================= */ +/* =============================================== INTC PCFLAG FLAG3 [3..3] ================================================ */ +typedef enum { /*!< INTC_PCFLAG_FLAG3 */ + INTC_PCFLAG_FLAG3_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PCFLAG_FLAG3_Request = 1, /*!< Request : Request occurred. */ +} INTC_PCFLAG_FLAG3_Enum; + +/* =============================================== INTC PCFLAG FLAG2 [2..2] ================================================ */ +typedef enum { /*!< INTC_PCFLAG_FLAG2 */ + INTC_PCFLAG_FLAG2_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PCFLAG_FLAG2_Request = 1, /*!< Request : Request occurred. */ +} INTC_PCFLAG_FLAG2_Enum; + +/* =============================================== INTC PCFLAG FLAG1 [1..1] ================================================ */ +typedef enum { /*!< INTC_PCFLAG_FLAG1 */ + INTC_PCFLAG_FLAG1_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PCFLAG_FLAG1_Request = 1, /*!< Request : Request occurred. */ +} INTC_PCFLAG_FLAG1_Enum; + +/* =============================================== INTC PCFLAG FLAG0 [0..0] ================================================ */ +typedef enum { /*!< INTC_PCFLAG_FLAG0 */ + INTC_PCFLAG_FLAG0_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PCFLAG_FLAG0_Request = 1, /*!< Request : Request occurred. */ +} INTC_PCFLAG_FLAG0_Enum; + +/* ======================================================== PEFLAG ========================================================= */ +/* =============================================== INTC PEFLAG FLAG3 [3..3] ================================================ */ +typedef enum { /*!< INTC_PEFLAG_FLAG3 */ + INTC_PEFLAG_FLAG3_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PEFLAG_FLAG3_Request = 1, /*!< Request : Request occurred. */ +} INTC_PEFLAG_FLAG3_Enum; + +/* =============================================== INTC PEFLAG FLAG2 [2..2] ================================================ */ +typedef enum { /*!< INTC_PEFLAG_FLAG2 */ + INTC_PEFLAG_FLAG2_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PEFLAG_FLAG2_Request = 1, /*!< Request : Request occurred. */ +} INTC_PEFLAG_FLAG2_Enum; + +/* =============================================== INTC PEFLAG FLAG1 [1..1] ================================================ */ +typedef enum { /*!< INTC_PEFLAG_FLAG1 */ + INTC_PEFLAG_FLAG1_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PEFLAG_FLAG1_Request = 1, /*!< Request : Request occurred. */ +} INTC_PEFLAG_FLAG1_Enum; + +/* =============================================== INTC PEFLAG FLAG0 [0..0] ================================================ */ +typedef enum { /*!< INTC_PEFLAG_FLAG0 */ + INTC_PEFLAG_FLAG0_NoRequest = 0, /*!< NoRequest : No request occurred. */ + INTC_PEFLAG_FLAG0_Request = 1, /*!< Request : Request occurred. */ +} INTC_PEFLAG_FLAG0_Enum; + +/* ====================================================== EINT0CONF1 ======================================================= */ +/* ============================================ INTC EINT0CONF1 CONF7 [28..31] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF7 */ + INTC_EINT0CONF1_CONF7_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF7_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF7_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF7_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF7_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF7_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF7_Enum; + +/* ============================================ INTC EINT0CONF1 CONF6 [24..27] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF6 */ + INTC_EINT0CONF1_CONF6_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF6_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF6_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF6_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF6_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF6_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF6_Enum; + +/* ============================================ INTC EINT0CONF1 CONF5 [20..23] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF5 */ + INTC_EINT0CONF1_CONF5_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF5_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF5_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF5_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF5_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF5_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF5_Enum; + +/* ============================================ INTC EINT0CONF1 CONF4 [16..19] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF4 */ + INTC_EINT0CONF1_CONF4_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF4_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF4_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF4_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF4_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF4_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF4_Enum; + +/* ============================================ INTC EINT0CONF1 CONF3 [12..15] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF3 */ + INTC_EINT0CONF1_CONF3_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF3_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF3_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF3_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF3_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF3_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF3_Enum; + +/* ============================================= INTC EINT0CONF1 CONF2 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF1_CONF2 */ + INTC_EINT0CONF1_CONF2_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF2_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF2_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF2_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF2_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF2_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF2_Enum; + +/* ============================================= INTC EINT0CONF1 CONF1 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT0CONF1_CONF1 */ + INTC_EINT0CONF1_CONF1_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF1_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF1_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF1_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF1_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF1_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF1_Enum; + +/* ============================================= INTC EINT0CONF1 CONF0 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT0CONF1_CONF0 */ + INTC_EINT0CONF1_CONF0_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF1_CONF0_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF1_CONF0_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF1_CONF0_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF1_CONF0_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF1_CONF0_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF1_CONF0_Enum; + +/* ====================================================== EINT1CONF1 ======================================================= */ +/* ============================================ INTC EINT1CONF1 CONF7 [28..31] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF7 */ + INTC_EINT1CONF1_CONF7_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF7_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF7_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF7_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF7_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF7_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF7_Enum; + +/* ============================================ INTC EINT1CONF1 CONF6 [24..27] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF6 */ + INTC_EINT1CONF1_CONF6_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF6_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF6_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF6_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF6_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF6_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF6_Enum; + +/* ============================================ INTC EINT1CONF1 CONF5 [20..23] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF5 */ + INTC_EINT1CONF1_CONF5_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF5_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF5_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF5_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF5_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF5_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF5_Enum; + +/* ============================================ INTC EINT1CONF1 CONF4 [16..19] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF4 */ + INTC_EINT1CONF1_CONF4_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF4_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF4_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF4_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF4_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF4_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF4_Enum; + +/* ============================================ INTC EINT1CONF1 CONF3 [12..15] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF3 */ + INTC_EINT1CONF1_CONF3_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF3_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF3_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF3_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF3_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF3_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF3_Enum; + +/* ============================================= INTC EINT1CONF1 CONF2 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF1_CONF2 */ + INTC_EINT1CONF1_CONF2_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF2_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF2_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF2_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF2_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF2_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF2_Enum; + +/* ============================================= INTC EINT1CONF1 CONF1 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT1CONF1_CONF1 */ + INTC_EINT1CONF1_CONF1_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF1_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF1_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF1_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF1_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF1_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF1_Enum; + +/* ============================================= INTC EINT1CONF1 CONF0 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT1CONF1_CONF0 */ + INTC_EINT1CONF1_CONF0_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF1_CONF0_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF1_CONF0_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF1_CONF0_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF1_CONF0_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF1_CONF0_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF1_CONF0_Enum; + +/* ====================================================== EINT2CONF1 ======================================================= */ +/* ============================================ INTC EINT2CONF1 CONF7 [28..31] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF7 */ + INTC_EINT2CONF1_CONF7_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF7_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF7_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF7_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF7_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF7_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF7_Enum; + +/* ============================================ INTC EINT2CONF1 CONF6 [24..27] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF6 */ + INTC_EINT2CONF1_CONF6_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF6_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF6_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF6_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF6_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF6_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF6_Enum; + +/* ============================================ INTC EINT2CONF1 CONF5 [20..23] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF5 */ + INTC_EINT2CONF1_CONF5_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF5_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF5_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF5_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF5_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF5_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF5_Enum; + +/* ============================================ INTC EINT2CONF1 CONF4 [16..19] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF4 */ + INTC_EINT2CONF1_CONF4_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF4_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF4_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF4_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF4_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF4_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF4_Enum; + +/* ============================================ INTC EINT2CONF1 CONF3 [12..15] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF3 */ + INTC_EINT2CONF1_CONF3_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF3_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF3_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF3_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF3_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF3_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF3_Enum; + +/* ============================================= INTC EINT2CONF1 CONF2 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF1_CONF2 */ + INTC_EINT2CONF1_CONF2_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF2_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF2_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF2_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF2_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF2_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF2_Enum; + +/* ============================================= INTC EINT2CONF1 CONF1 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT2CONF1_CONF1 */ + INTC_EINT2CONF1_CONF1_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF1_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF1_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF1_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF1_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF1_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF1_Enum; + +/* ============================================= INTC EINT2CONF1 CONF0 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT2CONF1_CONF0 */ + INTC_EINT2CONF1_CONF0_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF1_CONF0_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF1_CONF0_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF1_CONF0_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF1_CONF0_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF1_CONF0_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF1_CONF0_Enum; + +/* ====================================================== EINT3CONF1 ======================================================= */ +/* ============================================ INTC EINT3CONF1 CONF7 [28..31] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF7 */ + INTC_EINT3CONF1_CONF7_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF7_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF7_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF7_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF7_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF7_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF7_Enum; + +/* ============================================ INTC EINT3CONF1 CONF6 [24..27] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF6 */ + INTC_EINT3CONF1_CONF6_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF6_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF6_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF6_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF6_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF6_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF6_Enum; + +/* ============================================ INTC EINT3CONF1 CONF5 [20..23] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF5 */ + INTC_EINT3CONF1_CONF5_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF5_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF5_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF5_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF5_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF5_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF5_Enum; + +/* ============================================ INTC EINT3CONF1 CONF4 [16..19] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF4 */ + INTC_EINT3CONF1_CONF4_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF4_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF4_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF4_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF4_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF4_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF4_Enum; + +/* ============================================ INTC EINT3CONF1 CONF3 [12..15] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF3 */ + INTC_EINT3CONF1_CONF3_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF3_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF3_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF3_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF3_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF3_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF3_Enum; + +/* ============================================= INTC EINT3CONF1 CONF2 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF1_CONF2 */ + INTC_EINT3CONF1_CONF2_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF2_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF2_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF2_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF2_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF2_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF2_Enum; + +/* ============================================= INTC EINT3CONF1 CONF1 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT3CONF1_CONF1 */ + INTC_EINT3CONF1_CONF1_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF1_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF1_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF1_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF1_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF1_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF1_Enum; + +/* ============================================= INTC EINT3CONF1 CONF0 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT3CONF1_CONF0 */ + INTC_EINT3CONF1_CONF0_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF1_CONF0_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF1_CONF0_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF1_CONF0_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF1_CONF0_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF1_CONF0_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF1_CONF0_Enum; + +/* ====================================================== EINT0CONF2 ======================================================= */ +/* ============================================ INTC EINT0CONF2 CONF11 [12..15] ============================================ */ +typedef enum { /*!< INTC_EINT0CONF2_CONF11 */ + INTC_EINT0CONF2_CONF11_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF2_CONF11_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF2_CONF11_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF2_CONF11_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF2_CONF11_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF2_CONF11_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF2_CONF11_Enum; + +/* ============================================ INTC EINT0CONF2 CONF10 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT0CONF2_CONF10 */ + INTC_EINT0CONF2_CONF10_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF2_CONF10_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF2_CONF10_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF2_CONF10_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF2_CONF10_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF2_CONF10_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF2_CONF10_Enum; + +/* ============================================= INTC EINT0CONF2 CONF9 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT0CONF2_CONF9 */ + INTC_EINT0CONF2_CONF9_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF2_CONF9_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF2_CONF9_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF2_CONF9_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF2_CONF9_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF2_CONF9_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF2_CONF9_Enum; + +/* ============================================= INTC EINT0CONF2 CONF8 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT0CONF2_CONF8 */ + INTC_EINT0CONF2_CONF8_PA = 0, /*!< PA : Select PA. */ + INTC_EINT0CONF2_CONF8_PB = 1, /*!< PB : Select PB. */ + INTC_EINT0CONF2_CONF8_PC = 2, /*!< PC : Select PC. */ + INTC_EINT0CONF2_CONF8_PD = 3, /*!< PD : Select PD. */ + INTC_EINT0CONF2_CONF8_PE = 4, /*!< PE : Select PE. */ + INTC_EINT0CONF2_CONF8_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT0CONF2_CONF8_Enum; + +/* ====================================================== EINT1CONF2 ======================================================= */ +/* ============================================ INTC EINT1CONF2 CONF11 [12..15] ============================================ */ +typedef enum { /*!< INTC_EINT1CONF2_CONF11 */ + INTC_EINT1CONF2_CONF11_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF2_CONF11_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF2_CONF11_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF2_CONF11_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF2_CONF11_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF2_CONF11_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF2_CONF11_Enum; + +/* ============================================ INTC EINT1CONF2 CONF10 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT1CONF2_CONF10 */ + INTC_EINT1CONF2_CONF10_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF2_CONF10_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF2_CONF10_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF2_CONF10_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF2_CONF10_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF2_CONF10_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF2_CONF10_Enum; + +/* ============================================= INTC EINT1CONF2 CONF9 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT1CONF2_CONF9 */ + INTC_EINT1CONF2_CONF9_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF2_CONF9_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF2_CONF9_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF2_CONF9_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF2_CONF9_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF2_CONF9_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF2_CONF9_Enum; + +/* ============================================= INTC EINT1CONF2 CONF8 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT1CONF2_CONF8 */ + INTC_EINT1CONF2_CONF8_PA = 0, /*!< PA : Select PA. */ + INTC_EINT1CONF2_CONF8_PB = 1, /*!< PB : Select PB. */ + INTC_EINT1CONF2_CONF8_PC = 2, /*!< PC : Select PC. */ + INTC_EINT1CONF2_CONF8_PD = 3, /*!< PD : Select PD. */ + INTC_EINT1CONF2_CONF8_PE = 4, /*!< PE : Select PE. */ + INTC_EINT1CONF2_CONF8_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT1CONF2_CONF8_Enum; + +/* ====================================================== EINT2CONF2 ======================================================= */ +/* ============================================ INTC EINT2CONF2 CONF11 [12..15] ============================================ */ +typedef enum { /*!< INTC_EINT2CONF2_CONF11 */ + INTC_EINT2CONF2_CONF11_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF2_CONF11_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF2_CONF11_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF2_CONF11_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF2_CONF11_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF2_CONF11_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF2_CONF11_Enum; + +/* ============================================ INTC EINT2CONF2 CONF10 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT2CONF2_CONF10 */ + INTC_EINT2CONF2_CONF10_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF2_CONF10_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF2_CONF10_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF2_CONF10_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF2_CONF10_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF2_CONF10_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF2_CONF10_Enum; + +/* ============================================= INTC EINT2CONF2 CONF9 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT2CONF2_CONF9 */ + INTC_EINT2CONF2_CONF9_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF2_CONF9_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF2_CONF9_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF2_CONF9_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF2_CONF9_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF2_CONF9_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF2_CONF9_Enum; + +/* ============================================= INTC EINT2CONF2 CONF8 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT2CONF2_CONF8 */ + INTC_EINT2CONF2_CONF8_PA = 0, /*!< PA : Select PA. */ + INTC_EINT2CONF2_CONF8_PB = 1, /*!< PB : Select PB. */ + INTC_EINT2CONF2_CONF8_PC = 2, /*!< PC : Select PC. */ + INTC_EINT2CONF2_CONF8_PD = 3, /*!< PD : Select PD. */ + INTC_EINT2CONF2_CONF8_PE = 4, /*!< PE : Select PE. */ + INTC_EINT2CONF2_CONF8_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT2CONF2_CONF8_Enum; + +/* ====================================================== EINT3CONF2 ======================================================= */ +/* ============================================ INTC EINT3CONF2 CONF11 [12..15] ============================================ */ +typedef enum { /*!< INTC_EINT3CONF2_CONF11 */ + INTC_EINT3CONF2_CONF11_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF2_CONF11_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF2_CONF11_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF2_CONF11_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF2_CONF11_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF2_CONF11_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF2_CONF11_Enum; + +/* ============================================ INTC EINT3CONF2 CONF10 [8..11] ============================================= */ +typedef enum { /*!< INTC_EINT3CONF2_CONF10 */ + INTC_EINT3CONF2_CONF10_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF2_CONF10_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF2_CONF10_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF2_CONF10_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF2_CONF10_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF2_CONF10_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF2_CONF10_Enum; + +/* ============================================= INTC EINT3CONF2 CONF9 [4..7] ============================================== */ +typedef enum { /*!< INTC_EINT3CONF2_CONF9 */ + INTC_EINT3CONF2_CONF9_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF2_CONF9_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF2_CONF9_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF2_CONF9_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF2_CONF9_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF2_CONF9_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF2_CONF9_Enum; + +/* ============================================= INTC EINT3CONF2 CONF8 [0..3] ============================================== */ +typedef enum { /*!< INTC_EINT3CONF2_CONF8 */ + INTC_EINT3CONF2_CONF8_PA = 0, /*!< PA : Select PA. */ + INTC_EINT3CONF2_CONF8_PB = 1, /*!< PB : Select PB. */ + INTC_EINT3CONF2_CONF8_PC = 2, /*!< PC : Select PC. */ + INTC_EINT3CONF2_CONF8_PD = 3, /*!< PD : Select PD. */ + INTC_EINT3CONF2_CONF8_PE = 4, /*!< PE : Select PE. */ + INTC_EINT3CONF2_CONF8_PF = 5, /*!< PF : Select PF. */ +} INTC_EINT3CONF2_CONF8_Enum; + +/* ========================================================== MSK ========================================================== */ +/* ============================================= INTC MSK IMSK31_NULL [31..31] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK31_NULL */ + INTC_MSK_IMSK31_NULL_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK31_NULL_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK31_NULL_Enum; + +/* ============================================= INTC MSK IMSK30_NULL [30..30] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK30_NULL */ + INTC_MSK_IMSK30_NULL_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK30_NULL_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK30_NULL_Enum; + +/* ============================================= INTC MSK IMSK29_NULL [29..29] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK29_NULL */ + INTC_MSK_IMSK29_NULL_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK29_NULL_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK29_NULL_Enum; + +/* ============================================= INTC MSK IMSK28_NULL [28..28] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK28_NULL */ + INTC_MSK_IMSK28_NULL_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK28_NULL_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK28_NULL_Enum; + +/* =========================================== INTC MSK IMSK27_USART13 [27..27] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK27_USART13 */ + INTC_MSK_IMSK27_USART13_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK27_USART13_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK27_USART13_Enum; + +/* =========================================== INTC MSK IMSK26_USART12 [26..26] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK26_USART12 */ + INTC_MSK_IMSK26_USART12_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK26_USART12_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK26_USART12_Enum; + +/* ============================================= INTC MSK IMSK25_I2C2 [25..25] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK25_I2C2 */ + INTC_MSK_IMSK25_I2C2_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK25_I2C2_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK25_I2C2_Enum; + +/* =========================================== INTC MSK IMSK24_TIMER16 [24..24] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK24_TIMER16 */ + INTC_MSK_IMSK24_TIMER16_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK24_TIMER16_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK24_TIMER16_Enum; + +/* =========================================== INTC MSK IMSK23_TIMER15 [23..23] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK23_TIMER15 */ + INTC_MSK_IMSK23_TIMER15_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK23_TIMER15_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK23_TIMER15_Enum; + +/* =========================================== INTC MSK IMSK22_TIMER14 [22..22] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK22_TIMER14 */ + INTC_MSK_IMSK22_TIMER14_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK22_TIMER14_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK22_TIMER14_Enum; + +/* =========================================== INTC MSK IMSK21_TIMER13 [21..21] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK21_TIMER13 */ + INTC_MSK_IMSK21_TIMER13_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK21_TIMER13_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK21_TIMER13_Enum; + +/* ============================================ INTC MSK IMSK20_UART1 [20..20] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK20_UART1 */ + INTC_MSK_IMSK20_UART1_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK20_UART1_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK20_UART1_Enum; + +/* ============================================ INTC MSK IMSK19_UART0 [19..19] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK19_UART0 */ + INTC_MSK_IMSK19_UART0_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK19_UART0_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK19_UART0_Enum; + +/* ============================================= INTC MSK IMSK18_ADC [18..18] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK18_ADC */ + INTC_MSK_IMSK18_ADC_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK18_ADC_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK18_ADC_Enum; + +/* =========================================== INTC MSK IMSK17_USART11 [17..17] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK17_USART11 */ + INTC_MSK_IMSK17_USART11_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK17_USART11_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK17_USART11_Enum; + +/* =========================================== INTC MSK IMSK16_TIMER21 [16..16] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK16_TIMER21 */ + INTC_MSK_IMSK16_TIMER21_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK16_TIMER21_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK16_TIMER21_Enum; + +/* =========================================== INTC MSK IMSK15_TIMER20 [15..15] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK15_TIMER20 */ + INTC_MSK_IMSK15_TIMER20_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK15_TIMER20_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK15_TIMER20_Enum; + +/* ============================================= INTC MSK IMSK14_I2C1 [14..14] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK14_I2C1 */ + INTC_MSK_IMSK14_I2C1_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK14_I2C1_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK14_I2C1_Enum; + +/* =========================================== INTC MSK IMSK13_TIMER30 [13..13] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK13_TIMER30 */ + INTC_MSK_IMSK13_TIMER30_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK13_TIMER30_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK13_TIMER30_Enum; + +/* ============================================== INTC MSK IMSK12_WT [12..12] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK12_WT */ + INTC_MSK_IMSK12_WT_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK12_WT_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK12_WT_Enum; + +/* =========================================== INTC MSK IMSK11_USART10 [11..11] ============================================ */ +typedef enum { /*!< INTC_MSK_IMSK11_USART10 */ + INTC_MSK_IMSK11_USART10_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK11_USART10_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK11_USART10_Enum; + +/* ============================================= INTC MSK IMSK10_I2C0 [10..10] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK10_I2C0 */ + INTC_MSK_IMSK10_I2C0_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK10_I2C0_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK10_I2C0_Enum; + +/* ============================================= INTC MSK IMSK9_TIMER12 [9..9] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK9_TIMER12 */ + INTC_MSK_IMSK9_TIMER12_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK9_TIMER12_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK9_TIMER12_Enum; + +/* ============================================= INTC MSK IMSK8_TIMER11 [8..8] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK8_TIMER11 */ + INTC_MSK_IMSK8_TIMER11_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK8_TIMER11_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK8_TIMER11_Enum; + +/* ============================================= INTC MSK IMSK7_TIMER10 [7..7] ============================================= */ +typedef enum { /*!< INTC_MSK_IMSK7_TIMER10 */ + INTC_MSK_IMSK7_TIMER10_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK7_TIMER10_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK7_TIMER10_Enum; + +/* ============================================== INTC MSK IMSK6_EINT3 [6..6] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK6_EINT3 */ + INTC_MSK_IMSK6_EINT3_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK6_EINT3_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK6_EINT3_Enum; + +/* ============================================== INTC MSK IMSK5_EINT2 [5..5] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK5_EINT2 */ + INTC_MSK_IMSK5_EINT2_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK5_EINT2_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK5_EINT2_Enum; + +/* ============================================== INTC MSK IMSK4_EINT1 [4..4] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK4_EINT1 */ + INTC_MSK_IMSK4_EINT1_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK4_EINT1_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK4_EINT1_Enum; + +/* ============================================== INTC MSK IMSK3_EINT0 [3..3] ============================================== */ +typedef enum { /*!< INTC_MSK_IMSK3_EINT0 */ + INTC_MSK_IMSK3_EINT0_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK3_EINT0_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK3_EINT0_Enum; + +/* =============================================== INTC MSK IMSK2_WDT [2..2] =============================================== */ +typedef enum { /*!< INTC_MSK_IMSK2_WDT */ + INTC_MSK_IMSK2_WDT_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK2_WDT_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK2_WDT_Enum; + +/* =============================================== INTC MSK IMSK1_WUT [1..1] =============================================== */ +typedef enum { /*!< INTC_MSK_IMSK1_WUT */ + INTC_MSK_IMSK1_WUT_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK1_WUT_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK1_WUT_Enum; + +/* =============================================== INTC MSK IMSK0_LVI [0..0] =============================================== */ +typedef enum { /*!< INTC_MSK_IMSK0_LVI */ + INTC_MSK_IMSK0_LVI_Mask = 0, /*!< Mask : Mask Interrupt Source */ + INTC_MSK_IMSK0_LVI_Unmask = 1, /*!< Unmask : Unmask Interrupt Source */ +} INTC_MSK_IMSK0_LVI_Enum; + + + +/* =========================================================================================================================== */ +/* ================ SCUCC ================ */ +/* =========================================================================================================================== */ + +/* ======================================================= VENDORID ======================================================== */ +/* ======================================================== CHIPID ========================================================= */ +/* ========================================================= REVNR ========================================================= */ +/* ======================================================== PMREMAP ======================================================== */ +/* ============================================= SCUCC PMREMAP WTIDKY [16..31] ============================================= */ +typedef enum { /*!< SCUCC_PMREMAP_WTIDKY */ + SCUCC_PMREMAP_WTIDKY_Value = 58097, /*!< Value : Key Value (0xe2f1) */ +} SCUCC_PMREMAP_WTIDKY_Enum; + +/* ============================================= SCUCC PMREMAP nPMREM [8..15] ============================================== */ +typedef enum { /*!< SCUCC_PMREMAP_nPMREM */ + SCUCC_PMREMAP_nPMREM_BootROM = 150, /*!< BootROM : Boot ROM is re-mapped to address 0x0. (0x96) */ + SCUCC_PMREMAP_nPMREM_FlashMemory = 0, /*!< FlashMemory : Flash Memory is re-mapped to address 0x0. */ +} SCUCC_PMREMAP_nPMREM_Enum; + +/* ============================================== SCUCC PMREMAP PMREM [0..7] =============================================== */ +typedef enum { /*!< SCUCC_PMREMAP_PMREM */ + SCUCC_PMREMAP_PMREM_BootROM = 105, /*!< BootROM : Boot ROM is re-mapped to address 0x0. (0x69) */ + SCUCC_PMREMAP_PMREM_FlashMemory = 0, /*!< FlashMemory : Flash Memory is re-mapped to address 0x0. */ +} SCUCC_PMREMAP_PMREM_Enum; + +/* ======================================================== BTPSCR ========================================================= */ +/* =============================================== SCUCC BTPSCR BFIND [5..6] =============================================== */ +typedef enum { /*!< SCUCC_BTPSCR_BFIND */ + SCUCC_BTPSCR_BFIND_PORorEXTR = 2, /*!< PORorEXTR : Check the BOOT pin when a system reset occurs by + nRESET including POR. */ + SCUCC_BTPSCR_BFIND_POR = 3, /*!< POR : Check the BOOT pin when a system reset occurs only by + POR. */ +} SCUCC_BTPSCR_BFIND_Enum; + +/* ============================================== SCUCC BTPSCR BTPSTA [0..0] =============================================== */ +typedef enum { /*!< SCUCC_BTPSCR_BTPSTA */ + SCUCC_BTPSCR_BTPSTA_Low = 0, /*!< Low : The BOOT pin is low level. */ + SCUCC_BTPSCR_BTPSTA_High = 1, /*!< High : The BOOT pin is high level. */ +} SCUCC_BTPSCR_BTPSTA_Enum; + +/* ======================================================== RSTSSR ========================================================= */ +/* ============================================== SCUCC RSTSSR MONSTA [5..5] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_MONSTA */ + SCUCC_RSTSSR_MONSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_MONSTA_Detected = 1, /*!< Detected : CMR was detected. */ +} SCUCC_RSTSSR_MONSTA_Enum; + +/* =============================================== SCUCC RSTSSR SWSTA [4..4] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_SWSTA */ + SCUCC_RSTSSR_SWSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_SWSTA_Detected = 1, /*!< Detected : SWR was detected. */ +} SCUCC_RSTSSR_SWSTA_Enum; + +/* ============================================== SCUCC RSTSSR EXTSTA [3..3] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_EXTSTA */ + SCUCC_RSTSSR_EXTSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_EXTSTA_Detected = 1, /*!< Detected : EXTR was detected. */ +} SCUCC_RSTSSR_EXTSTA_Enum; + +/* ============================================== SCUCC RSTSSR WDTSTA [2..2] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_WDTSTA */ + SCUCC_RSTSSR_WDTSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_WDTSTA_Detected = 1, /*!< Detected : WDTR was detected. */ +} SCUCC_RSTSSR_WDTSTA_Enum; + +/* ============================================== SCUCC RSTSSR LVRSTA [1..1] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_LVRSTA */ + SCUCC_RSTSSR_LVRSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_LVRSTA_Detected = 1, /*!< Detected : LVR was detected. */ +} SCUCC_RSTSSR_LVRSTA_Enum; + +/* ============================================== SCUCC RSTSSR PORSTA [0..0] =============================================== */ +typedef enum { /*!< SCUCC_RSTSSR_PORSTA */ + SCUCC_RSTSSR_PORSTA_NotDetected = 0, /*!< NotDetected : Not detected. */ + SCUCC_RSTSSR_PORSTA_Detected = 1, /*!< Detected : POR was detected. */ +} SCUCC_RSTSSR_PORSTA_Enum; + +/* ======================================================== NMISRCR ======================================================== */ +/* ============================================== SCUCC NMISRCR NMICON [7..7] ============================================== */ +typedef enum { /*!< SCUCC_NMISRCR_NMICON */ + SCUCC_NMISRCR_NMICON_Disable = 0, /*!< Disable : Disable NMI. */ + SCUCC_NMISRCR_NMICON_Enable = 1, /*!< Enable : Enable NMI. */ +} SCUCC_NMISRCR_NMICON_Enum; + +/* ============================================== SCUCC NMISRCR MONINT [6..6] ============================================== */ +typedef enum { /*!< SCUCC_NMISRCR_MONINT */ + SCUCC_NMISRCR_MONINT_NotSelect = 0, /*!< NotSelect : Non-select clock monitoring interrupt for NMI source. */ + SCUCC_NMISRCR_MONINT_Select = 1, /*!< Select : Select clock monitoring interrupt for NMI source. */ +} SCUCC_NMISRCR_MONINT_Enum; + +/* ======================================================== SWRSTR ========================================================= */ +/* ============================================= SCUCC SWRSTR WTIDKY [16..31] ============================================== */ +typedef enum { /*!< SCUCC_SWRSTR_WTIDKY */ + SCUCC_SWRSTR_WTIDKY_Value = 40627, /*!< Value : Key Value (0x9eb3) */ +} SCUCC_SWRSTR_WTIDKY_Enum; + +/* =============================================== SCUCC SWRSTR SWRST [0..7] =============================================== */ +typedef enum { /*!< SCUCC_SWRSTR_SWRST */ + SCUCC_SWRSTR_SWRST_Generate = 45, /*!< Generate : A software reset will be generated for all peripheral + and core. (0x2d) */ + SCUCC_SWRSTR_SWRST_NoEffect = 0, /*!< NoEffect : No effect. */ +} SCUCC_SWRSTR_SWRST_Enum; + +/* ======================================================== SRSTVR ========================================================= */ +/* ========================================================= WUTCR ========================================================= */ +/* =============================================== SCUCC WUTCR WUTIEN [7..7] =============================================== */ +typedef enum { /*!< SCUCC_WUTCR_WUTIEN */ + SCUCC_WUTCR_WUTIEN_Disable = 0, /*!< Disable : Disable Wake-Up Timer interrupt. */ + SCUCC_WUTCR_WUTIEN_Enable = 1, /*!< Enable : Enable Wake-Up Timer interrupt. */ +} SCUCC_WUTCR_WUTIEN_Enum; + +/* =============================================== SCUCC WUTCR CNTRLD [1..1] =============================================== */ +typedef enum { /*!< SCUCC_WUTCR_CNTRLD */ + SCUCC_WUTCR_CNTRLD_NoEffect = 0, /*!< NoEffect : No effect. */ + SCUCC_WUTCR_CNTRLD_Reload = 1, /*!< Reload : Reload data to counter. */ +} SCUCC_WUTCR_CNTRLD_Enum; + +/* ============================================== SCUCC WUTCR WUTIFLAG [0..0] ============================================== */ +typedef enum { /*!< SCUCC_WUTCR_WUTIFLAG */ + SCUCC_WUTCR_WUTIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + SCUCC_WUTCR_WUTIFLAG_Request = 1, /*!< Request : Request occurred. */ +} SCUCC_WUTCR_WUTIFLAG_Enum; + +/* ========================================================= WUTDR ========================================================= */ +/* ======================================================== HIRCTRM ======================================================== */ +/* ============================================= SCUCC HIRCTRM WTIDKY [16..31] ============================================= */ +typedef enum { /*!< SCUCC_HIRCTRM_WTIDKY */ + SCUCC_HIRCTRM_WTIDKY_Value = 42677, /*!< Value : Key Value (0xa6b5) */ +} SCUCC_HIRCTRM_WTIDKY_Enum; + +/* ======================================================= WDTRCTRM ======================================================== */ +/* ============================================ SCUCC WDTRCTRM WTIDKY [16..31] ============================================= */ +typedef enum { /*!< SCUCC_WDTRCTRM_WTIDKY */ + SCUCC_WDTRCTRM_WTIDKY_Value = 19517, /*!< Value : Key Value (0x4c3d) */ +} SCUCC_WDTRCTRM_WTIDKY_Enum; + + + +/* =========================================================================================================================== */ +/* ================ SCUCG ================ */ +/* =========================================================================================================================== */ + +/* ========================================================= SCCR ========================================================== */ +/* ============================================== SCUCG SCCR WTIDKY [16..31] =============================================== */ +typedef enum { /*!< SCUCG_SCCR_WTIDKY */ + SCUCG_SCCR_WTIDKY_Value = 22282, /*!< Value : Key Value (0x570a) */ +} SCUCG_SCCR_WTIDKY_Enum; + +/* =============================================== SCUCG SCCR MCLKSEL [0..1] =============================================== */ +typedef enum { /*!< SCUCG_SCCR_MCLKSEL */ + SCUCG_SCCR_MCLKSEL_HIRC = 0, /*!< HIRC : High Frequency Internal RC Oscillator (40MHz), HIRC */ + SCUCG_SCCR_MCLKSEL_XMOSC = 1, /*!< XMOSC : External Main Oscillator (2 - 40MHz), XMOSC */ + SCUCG_SCCR_MCLKSEL_XSOSC = 2, /*!< XSOSC : External Sub Oscillator (32.768kHz), XSOSC */ + SCUCG_SCCR_MCLKSEL_WDTRC = 3, /*!< WDTRC : Internal Watch-Dog Timer RC Oscillator (40kHz), WDTRC */ +} SCUCG_SCCR_MCLKSEL_Enum; + +/* ======================================================== CLKSRCR ======================================================== */ +/* ============================================= SCUCG CLKSRCR WTIDKY [16..31] ============================================= */ +typedef enum { /*!< SCUCG_CLKSRCR_WTIDKY */ + SCUCG_CLKSRCR_WTIDKY_Value = 42247, /*!< Value : Key Value (0xa507) */ +} SCUCG_CLKSRCR_WTIDKY_Enum; + +/* ============================================ SCUCG CLKSRCR HIRCSEL [12..13] ============================================= */ +typedef enum { /*!< SCUCG_CLKSRCR_HIRCSEL */ + SCUCG_CLKSRCR_HIRCSEL_HIRC1 = 0, /*!< HIRC1 : 40MHz HIRC */ + SCUCG_CLKSRCR_HIRCSEL_HIRC2 = 1, /*!< HIRC2 : 20MHz HIRC */ + SCUCG_CLKSRCR_HIRCSEL_HIRC4 = 2, /*!< HIRC4 : 10MHz HIRC */ + SCUCG_CLKSRCR_HIRCSEL_HIRC8 = 3, /*!< HIRC8 : 5MHz HIRC */ +} SCUCG_CLKSRCR_HIRCSEL_Enum; + +/* ============================================== SCUCG CLKSRCR XMFRNG [8..8] ============================================== */ +typedef enum { /*!< SCUCG_CLKSRCR_XMFRNG */ + SCUCG_CLKSRCR_XMFRNG_Xtal = 0, /*!< Xtal : X-tal for XMOSC, 2 to 16MHz */ + SCUCG_CLKSRCR_XMFRNG_Clock = 1, /*!< Clock : External Clock for XMOSC, 2MHz to 40MHz */ +} SCUCG_CLKSRCR_XMFRNG_Enum; + +/* ============================================= SCUCG CLKSRCR WDTRCEN [3..3] ============================================== */ +typedef enum { /*!< SCUCG_CLKSRCR_WDTRCEN */ + SCUCG_CLKSRCR_WDTRCEN_Disable = 0, /*!< Disable : Disable WDTRC. */ + SCUCG_CLKSRCR_WDTRCEN_Enable = 1, /*!< Enable : Enable WDTRC. */ +} SCUCG_CLKSRCR_WDTRCEN_Enum; + +/* ============================================== SCUCG CLKSRCR HIRCEN [2..2] ============================================== */ +typedef enum { /*!< SCUCG_CLKSRCR_HIRCEN */ + SCUCG_CLKSRCR_HIRCEN_Disable = 0, /*!< Disable : Disable HIRC. */ + SCUCG_CLKSRCR_HIRCEN_Enable = 1, /*!< Enable : Enable HIRC. */ +} SCUCG_CLKSRCR_HIRCEN_Enum; + +/* ============================================= SCUCG CLKSRCR XMOSCEN [1..1] ============================================== */ +typedef enum { /*!< SCUCG_CLKSRCR_XMOSCEN */ + SCUCG_CLKSRCR_XMOSCEN_Disable = 0, /*!< Disable : Disable XMOSC. */ + SCUCG_CLKSRCR_XMOSCEN_Enable = 1, /*!< Enable : Enable XMOSC. */ +} SCUCG_CLKSRCR_XMOSCEN_Enum; + +/* ============================================= SCUCG CLKSRCR XSOSCEN [0..0] ============================================== */ +typedef enum { /*!< SCUCG_CLKSRCR_XSOSCEN */ + SCUCG_CLKSRCR_XSOSCEN_Disable = 0, /*!< Disable : Disable XSOSC. */ + SCUCG_CLKSRCR_XSOSCEN_Enable = 1, /*!< Enable : Enable XSOSC. */ +} SCUCG_CLKSRCR_XSOSCEN_Enum; + +/* ======================================================== SCDIVR1 ======================================================== */ +/* ============================================== SCUCG SCDIVR1 WLDIV [4..6] =============================================== */ +typedef enum { /*!< SCUCG_SCDIVR1_WLDIV */ + SCUCG_SCDIVR1_WLDIV_MCLK64 = 0, /*!< MCLK64 : MCLK/64 */ + SCUCG_SCDIVR1_WLDIV_MCLK128 = 1, /*!< MCLK128 : MCLK/128 */ + SCUCG_SCDIVR1_WLDIV_MCLK256 = 2, /*!< MCLK256 : MCLK/256 */ + SCUCG_SCDIVR1_WLDIV_MCLK512 = 3, /*!< MCLK512 : MCLK/512 */ + SCUCG_SCDIVR1_WLDIV_MCLK1024 = 4, /*!< MCLK1024 : MCLK/1024 */ +} SCUCG_SCDIVR1_WLDIV_Enum; + +/* =============================================== SCUCG SCDIVR1 HDIV [0..2] =============================================== */ +typedef enum { /*!< SCUCG_SCDIVR1_HDIV */ + SCUCG_SCDIVR1_HDIV_MCLK16 = 0, /*!< MCLK16 : MCLK/16 */ + SCUCG_SCDIVR1_HDIV_MCLK8 = 1, /*!< MCLK8 : MCLK/8 */ + SCUCG_SCDIVR1_HDIV_MCLK4 = 2, /*!< MCLK4 : MCLK/4 */ + SCUCG_SCDIVR1_HDIV_MCLK2 = 3, /*!< MCLK2 : MCLK/2 */ + SCUCG_SCDIVR1_HDIV_MCLK1 = 4, /*!< MCLK1 : MCLK/1 */ +} SCUCG_SCDIVR1_HDIV_Enum; + +/* ======================================================== SCDIVR2 ======================================================== */ +/* ============================================= SCUCG SCDIVR2 SYSTDIV [4..5] ============================================== */ +typedef enum { /*!< SCUCG_SCDIVR2_SYSTDIV */ + SCUCG_SCDIVR2_SYSTDIV_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + SCUCG_SCDIVR2_SYSTDIV_HCLK2 = 1, /*!< HCLK2 : HCLK/2 */ + SCUCG_SCDIVR2_SYSTDIV_HCLK4 = 2, /*!< HCLK4 : HCLK/4 */ + SCUCG_SCDIVR2_SYSTDIV_HCLK8 = 3, /*!< HCLK8 : HCLK/8 */ +} SCUCG_SCDIVR2_SYSTDIV_Enum; + +/* =============================================== SCUCG SCDIVR2 PDIV [0..1] =============================================== */ +typedef enum { /*!< SCUCG_SCDIVR2_PDIV */ + SCUCG_SCDIVR2_PDIV_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + SCUCG_SCDIVR2_PDIV_HCLK2 = 1, /*!< HCLK2 : HCLK/2 */ + SCUCG_SCDIVR2_PDIV_HCLK4 = 2, /*!< HCLK4 : HCLK/4 */ + SCUCG_SCDIVR2_PDIV_HCLK8 = 3, /*!< HCLK8 : HCLK/8 */ +} SCUCG_SCDIVR2_PDIV_Enum; + +/* ======================================================== CLKOCR ========================================================= */ +/* ============================================== SCUCG CLKOCR CLKOEN [7..7] =============================================== */ +typedef enum { /*!< SCUCG_CLKOCR_CLKOEN */ + SCUCG_CLKOCR_CLKOEN_Disable = 0, /*!< Disable : Disable clock output. */ + SCUCG_CLKOCR_CLKOEN_Enable = 1, /*!< Enable : Enable clock output. */ +} SCUCG_CLKOCR_CLKOEN_Enum; + +/* ============================================== SCUCG CLKOCR POLSEL [6..6] =============================================== */ +typedef enum { /*!< SCUCG_CLKOCR_POLSEL */ + SCUCG_CLKOCR_POLSEL_Low = 0, /*!< Low : Low level during disable */ + SCUCG_CLKOCR_POLSEL_High = 1, /*!< High : High level during disable */ +} SCUCG_CLKOCR_POLSEL_Enum; + +/* ============================================== SCUCG CLKOCR CLKODIV [3..5] ============================================== */ +typedef enum { /*!< SCUCG_CLKOCR_CLKODIV */ + SCUCG_CLKOCR_CLKODIV_SelectedClock1 = 0, /*!< SelectedClock1 : Selected Clock/1 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock2 = 1, /*!< SelectedClock2 : Selected Clock/2 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock4 = 2, /*!< SelectedClock4 : Selected Clock/4 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock8 = 3, /*!< SelectedClock8 : Selected Clock/8 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock16 = 4, /*!< SelectedClock16 : Selected Clock/16 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock32 = 5, /*!< SelectedClock32 : Selected Clock/32 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock64 = 6, /*!< SelectedClock64 : Selected Clock/64 */ + SCUCG_CLKOCR_CLKODIV_SelectedClock128 = 7, /*!< SelectedClock128 : Selected Clock/128 */ +} SCUCG_CLKOCR_CLKODIV_Enum; + +/* =============================================== SCUCG CLKOCR CLKOS [0..2] =============================================== */ +typedef enum { /*!< SCUCG_CLKOCR_CLKOS */ + SCUCG_CLKOCR_CLKOS_MCLK = 0, /*!< MCLK : Select MCLK. */ + SCUCG_CLKOCR_CLKOS_WDTRC = 1, /*!< WDTRC : Select WDTRC. */ + SCUCG_CLKOCR_CLKOS_HIRC = 2, /*!< HIRC : Select HIRC. */ + SCUCG_CLKOCR_CLKOS_HCLK = 3, /*!< HCLK : Select HCLK. */ + SCUCG_CLKOCR_CLKOS_PCLK = 4, /*!< PCLK : Select PCLK. */ +} SCUCG_CLKOCR_CLKOS_Enum; + +/* ======================================================== CMONCR ========================================================= */ +/* =============================================== SCUCG CMONCR MONEN [7..7] =============================================== */ +typedef enum { /*!< SCUCG_CMONCR_MONEN */ + SCUCG_CMONCR_MONEN_Disable = 0, /*!< Disable : Disable clock monitoring. */ + SCUCG_CMONCR_MONEN_Enable = 1, /*!< Enable : Enable clock monitoring. */ +} SCUCG_CMONCR_MONEN_Enum; + +/* =============================================== SCUCG CMONCR MACTS [5..6] =============================================== */ +typedef enum { /*!< SCUCG_CMONCR_MACTS */ + SCUCG_CMONCR_MACTS_FlagChk = 0, /*!< FlagChk : No action by clock monitoring, but flags will be set/cleared + on condition */ + SCUCG_CMONCR_MACTS_RstGen = 1, /*!< RstGen : Reset generation by clock monitoring */ + SCUCG_CMONCR_MACTS_SysClkChg = 2, /*!< SysClkChg : The system clock will be changed to the WDTRC regardless + of MCLKSEL[1:0] bits of system clock control register (SCU_SCCR) + only when the MCLK is selected for monitoring */ +} SCUCG_CMONCR_MACTS_Enum; + +/* ============================================== SCUCG CMONCR MONFLAG [3..3] ============================================== */ +typedef enum { /*!< SCUCG_CMONCR_MONFLAG */ + SCUCG_CMONCR_MONFLAG_NotReady = 0, /*!< NotReady : The clock to be monitored is not ready */ + SCUCG_CMONCR_MONFLAG_Ready = 1, /*!< Ready : The clock to be monitored is ready */ +} SCUCG_CMONCR_MONFLAG_Enum; + +/* ============================================== SCUCG CMONCR NMINTFG [2..2] ============================================== */ +typedef enum { /*!< SCUCG_CMONCR_NMINTFG */ + SCUCG_CMONCR_NMINTFG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + SCUCG_CMONCR_NMINTFG_Request = 1, /*!< Request : Request occurred. */ +} SCUCG_CMONCR_NMINTFG_Enum; + +/* =============================================== SCUCG CMONCR MONCS [0..1] =============================================== */ +typedef enum { /*!< SCUCG_CMONCR_MONCS */ + SCUCG_CMONCR_MONCS_MCLK = 0, /*!< MCLK : Select MCLK. */ + SCUCG_CMONCR_MONCS_HIRC = 1, /*!< HIRC : Select HIRC. */ + SCUCG_CMONCR_MONCS_XMOSC = 2, /*!< XMOSC : Select XMOSC. */ + SCUCG_CMONCR_MONCS_XSOSC = 3, /*!< XSOSC : Select XSOSC. */ +} SCUCG_CMONCR_MONCS_Enum; + +/* ======================================================= PPCLKEN1 ======================================================== */ +/* ============================================ SCUCG PPCLKEN1 T21CLKE [21..21] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T21CLKE */ + SCUCG_PPCLKEN1_T21CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T21CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T21CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T20CLKE [20..20] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T20CLKE */ + SCUCG_PPCLKEN1_T20CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T20CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T20CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T30CLKE [19..19] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T30CLKE */ + SCUCG_PPCLKEN1_T30CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T30CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T30CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T12CLKE [18..18] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T12CLKE */ + SCUCG_PPCLKEN1_T12CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T12CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T12CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T11CLKE [17..17] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T11CLKE */ + SCUCG_PPCLKEN1_T11CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T11CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T11CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T10CLKE [16..16] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T10CLKE */ + SCUCG_PPCLKEN1_T10CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T10CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T10CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T16CLKE [11..11] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T16CLKE */ + SCUCG_PPCLKEN1_T16CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T16CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T16CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN1 T15CLKE [10..10] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN1_T15CLKE */ + SCUCG_PPCLKEN1_T15CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T15CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T15CLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 T14CLKE [9..9] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN1_T14CLKE */ + SCUCG_PPCLKEN1_T14CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T14CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T14CLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 T13CLKE [8..8] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN1_T13CLKE */ + SCUCG_PPCLKEN1_T13CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_T13CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_T13CLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PFCLKE [5..5] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PFCLKE */ + SCUCG_PPCLKEN1_PFCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PFCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PFCLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PECLKE [4..4] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PECLKE */ + SCUCG_PPCLKEN1_PECLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PECLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PECLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PDCLKE [3..3] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PDCLKE */ + SCUCG_PPCLKEN1_PDCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PDCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PDCLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PCCLKE [2..2] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PCCLKE */ + SCUCG_PPCLKEN1_PCCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PCCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PCCLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PBCLKE [1..1] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PBCLKE */ + SCUCG_PPCLKEN1_PBCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PBCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PBCLKE_Enum; + +/* ============================================= SCUCG PPCLKEN1 PACLKE [0..0] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKEN1_PACLKE */ + SCUCG_PPCLKEN1_PACLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN1_PACLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN1_PACLKE_Enum; + +/* ======================================================= PPCLKEN2 ======================================================== */ +/* ============================================ SCUCG PPCLKEN2 FMCLKE [19..19] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_FMCLKE */ + SCUCG_PPCLKEN2_FMCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_FMCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_FMCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 LVICLKE [18..18] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_LVICLKE */ + SCUCG_PPCLKEN2_LVICLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_LVICLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_LVICLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 WDTCLKE [17..17] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_WDTCLKE */ + SCUCG_PPCLKEN2_WDTCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_WDTCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_WDTCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 WTCLKE [16..16] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_WTCLKE */ + SCUCG_PPCLKEN2_WTCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_WTCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_WTCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 LCDCLKE [13..13] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_LCDCLKE */ + SCUCG_PPCLKEN2_LCDCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_LCDCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_LCDCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 CRCLKE [12..12] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_CRCLKE */ + SCUCG_PPCLKEN2_CRCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_CRCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_CRCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 ADCLKE [10..10] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_ADCLKE */ + SCUCG_PPCLKEN2_ADCLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_ADCLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_ADCLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 I2C2CLKE [8..8] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_I2C2CLKE */ + SCUCG_PPCLKEN2_I2C2CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_I2C2CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_I2C2CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 I2C1CLKE [7..7] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_I2C1CLKE */ + SCUCG_PPCLKEN2_I2C1CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_I2C1CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_I2C1CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 I2C0CLKE [6..6] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_I2C0CLKE */ + SCUCG_PPCLKEN2_I2C0CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_I2C0CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_I2C0CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 UST13CLKE [5..5] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_UST13CLKE */ + SCUCG_PPCLKEN2_UST13CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UST13CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UST13CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 UST12CLKE [4..4] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_UST12CLKE */ + SCUCG_PPCLKEN2_UST12CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UST12CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UST12CLKE_Enum; + +/* ============================================= SCUCG PPCLKEN2 UT1CLKE [3..3] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_UT1CLKE */ + SCUCG_PPCLKEN2_UT1CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UT1CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UT1CLKE_Enum; + +/* ============================================= SCUCG PPCLKEN2 UT0CLKE [2..2] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKEN2_UT0CLKE */ + SCUCG_PPCLKEN2_UT0CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UT0CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UT0CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 UST11CLKE [1..1] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_UST11CLKE */ + SCUCG_PPCLKEN2_UST11CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UST11CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UST11CLKE_Enum; + +/* ============================================ SCUCG PPCLKEN2 UST10CLKE [0..0] ============================================ */ +typedef enum { /*!< SCUCG_PPCLKEN2_UST10CLKE */ + SCUCG_PPCLKEN2_UST10CLKE_Disable = 0, /*!< Disable : Disable clock. */ + SCUCG_PPCLKEN2_UST10CLKE_Enable = 1, /*!< Enable : Enable clock. */ +} SCUCG_PPCLKEN2_UST10CLKE_Enum; + +/* ======================================================== PPCLKSR ======================================================== */ +/* ============================================= SCUCG PPCLKSR T20CLK [20..20] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKSR_T20CLK */ + SCUCG_PPCLKSR_T20CLK_XSOSC = 0, /*!< XSOSC : XSOSC clock */ + SCUCG_PPCLKSR_T20CLK_PCLK = 1, /*!< PCLK : PCLK clock */ +} SCUCG_PPCLKSR_T20CLK_Enum; + +/* ============================================= SCUCG PPCLKSR T30CLK [17..17] ============================================= */ +typedef enum { /*!< SCUCG_PPCLKSR_T30CLK */ + SCUCG_PPCLKSR_T30CLK_MCLK = 0, /*!< MCLK : MCLK clock */ + SCUCG_PPCLKSR_T30CLK_PCLK = 1, /*!< PCLK : PCLK clock */ +} SCUCG_PPCLKSR_T30CLK_Enum; + +/* ============================================== SCUCG PPCLKSR LCDCLK [6..7] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKSR_LCDCLK */ + SCUCG_PPCLKSR_LCDCLK_DividedMCLK = 0, /*!< DividedMCLK : A clock of the MCLK which is divided by divider + 2 */ + SCUCG_PPCLKSR_LCDCLK_XSOSC = 1, /*!< XSOSC : XSOSC clock */ + SCUCG_PPCLKSR_LCDCLK_WDTRC = 2, /*!< WDTRC : WDTRC clock */ +} SCUCG_PPCLKSR_LCDCLK_Enum; + +/* ============================================== SCUCG PPCLKSR WTCLK [3..4] =============================================== */ +typedef enum { /*!< SCUCG_PPCLKSR_WTCLK */ + SCUCG_PPCLKSR_WTCLK_DividedMCLK = 0, /*!< DividedMCLK : A clock of the MCLK which is divided by divider + 2 */ + SCUCG_PPCLKSR_WTCLK_XSOSC = 1, /*!< XSOSC : XSOSC clock */ + SCUCG_PPCLKSR_WTCLK_WDTRC = 2, /*!< WDTRC : WDTRC clock */ +} SCUCG_PPCLKSR_WTCLK_Enum; + +/* ============================================== SCUCG PPCLKSR WDTCLK [0..0] ============================================== */ +typedef enum { /*!< SCUCG_PPCLKSR_WDTCLK */ + SCUCG_PPCLKSR_WDTCLK_WDTRC = 0, /*!< WDTRC : WDTRC clock */ + SCUCG_PPCLKSR_WDTCLK_PCLK = 1, /*!< PCLK : PCLK clock */ +} SCUCG_PPCLKSR_WDTCLK_Enum; + +/* ======================================================== PPRST1 ========================================================= */ +/* ======================================================== PPRST2 ========================================================= */ +/* ======================================================== XTFLSR ========================================================= */ +/* ============================================= SCUCG XTFLSR WTIDKY [16..31] ============================================== */ +typedef enum { /*!< SCUCG_XTFLSR_WTIDKY */ + SCUCG_XTFLSR_WTIDKY_Value = 39735, /*!< Value : Key Value (0x9b37) */ +} SCUCG_XTFLSR_WTIDKY_Enum; + +/* =============================================== SCUCG XTFLSR XRNS [0..2] ================================================ */ +typedef enum { /*!< SCUCG_XTFLSR_XRNS */ + SCUCG_XTFLSR_XRNS_LE4p5MHz = 0, /*!< LE4p5MHz : x-tal LE 4.5MHz */ + SCUCG_XTFLSR_XRNS_LE6p5MHz = 1, /*!< LE6p5MHz : 4.5MHz GT x-tal LE 6.5MHz */ + SCUCG_XTFLSR_XRNS_LE8p5MHz = 2, /*!< LE8p5MHz : 6.5MHz GT x-tal LE 8.5MHz */ + SCUCG_XTFLSR_XRNS_LE10p5MHz = 3, /*!< LE10p5MHz : 8.5MHz GT x-tal LE 10.5MHz */ + SCUCG_XTFLSR_XRNS_LE12p5MHz = 4, /*!< LE12p5MHz : 10.5MHz GT x-tal LE 12.5MHz */ + SCUCG_XTFLSR_XRNS_LE16p5MHz = 5, /*!< LE16p5MHz : 12.5MHz GT x-tal LE 16.5MHz */ +} SCUCG_XTFLSR_XRNS_Enum; + + + +/* =========================================================================================================================== */ +/* ================ SCULV ================ */ +/* =========================================================================================================================== */ + +/* ========================================================= LVICR ========================================================= */ +/* =============================================== SCULV LVICR LVIEN [7..7] ================================================ */ +typedef enum { /*!< SCULV_LVICR_LVIEN */ + SCULV_LVICR_LVIEN_Disable = 0, /*!< Disable : Disable low voltage indicator. */ + SCULV_LVICR_LVIEN_Enable = 1, /*!< Enable : Enable low voltage indicator. */ +} SCULV_LVICR_LVIEN_Enum; + +/* ============================================== SCULV LVICR LVINTEN [5..5] =============================================== */ +typedef enum { /*!< SCULV_LVICR_LVINTEN */ + SCULV_LVICR_LVINTEN_Disable = 0, /*!< Disable : Disable low voltage indicator interrupt. */ + SCULV_LVICR_LVINTEN_Enable = 1, /*!< Enable : Enable low voltage indicator interrupt. */ +} SCULV_LVICR_LVINTEN_Enum; + +/* ============================================== SCULV LVICR LVIFLAG [4..4] =============================================== */ +typedef enum { /*!< SCULV_LVICR_LVIFLAG */ + SCULV_LVICR_LVIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + SCULV_LVICR_LVIFLAG_Request = 1, /*!< Request : Request occurred. */ +} SCULV_LVICR_LVIFLAG_Enum; + +/* =============================================== SCULV LVICR LVIVS [0..3] ================================================ */ +typedef enum { /*!< SCULV_LVICR_LVIVS */ + SCULV_LVICR_LVIVS_DNW3 = 3, /*!< DNW3 : Do not write. */ + SCULV_LVICR_LVIVS_2p00V = 4, /*!< 2p00V : 2.00V */ + SCULV_LVICR_LVIVS_2p13V = 5, /*!< 2p13V : 2.13V */ + SCULV_LVICR_LVIVS_2p28V = 6, /*!< 2p28V : 2.28V */ + SCULV_LVICR_LVIVS_2p46V = 7, /*!< 2p46V : 2.46V */ + SCULV_LVICR_LVIVS_2p67V = 8, /*!< 2p67V : 2.67V */ + SCULV_LVICR_LVIVS_3p04V = 9, /*!< 3p04V : 3.04V */ + SCULV_LVICR_LVIVS_3p20V = 10, /*!< 3p20V : 3.20V */ + SCULV_LVICR_LVIVS_3p55V = 11, /*!< 3p55V : 3.55V */ + SCULV_LVICR_LVIVS_3p75V = 12, /*!< 3p75V : 3.75V */ + SCULV_LVICR_LVIVS_3p99V = 13, /*!< 3p99V : 3.99V */ + SCULV_LVICR_LVIVS_4p25V = 14, /*!< 4p25V : 4.25V */ + SCULV_LVICR_LVIVS_4p55V = 15, /*!< 4p55V : 4.55V */ +} SCULV_LVICR_LVIVS_Enum; + +/* ========================================================= LVRCR ========================================================= */ +/* =============================================== SCULV LVRCR LVREN [0..7] ================================================ */ +typedef enum { /*!< SCULV_LVRCR_LVREN */ + SCULV_LVRCR_LVREN_Disable = 85, /*!< Disable : Disable low voltage reset. (0x55) */ + SCULV_LVRCR_LVREN_Enable = 0, /*!< Enable : Enable low voltage reset. */ +} SCULV_LVRCR_LVREN_Enum; + + + +/* =========================================================================================================================== */ +/* ================ Pn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ Pn MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE15 */ + Pn_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE15_Enum; + +/* ================================================ Pn MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE14 */ + Pn_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE14_Enum; + +/* ================================================ Pn MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE13 */ + Pn_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE13_Enum; + +/* ================================================ Pn MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE12 */ + Pn_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE12_Enum; + +/* ================================================ Pn MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE11 */ + Pn_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE11_Enum; + +/* ================================================ Pn MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE10 */ + Pn_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE10_Enum; + +/* ================================================= Pn MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE9 */ + Pn_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE9_Enum; + +/* ================================================= Pn MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE8 */ + Pn_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE8_Enum; + +/* ================================================= Pn MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE7 */ + Pn_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE7_Enum; + +/* ================================================= Pn MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE6 */ + Pn_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE6_Enum; + +/* ================================================= Pn MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< Pn_MOD_MODE5 */ + Pn_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE5_Enum; + +/* ================================================== Pn MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< Pn_MOD_MODE4 */ + Pn_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE4_Enum; + +/* ================================================== Pn MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< Pn_MOD_MODE3 */ + Pn_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE3_Enum; + +/* ================================================== Pn MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< Pn_MOD_MODE2 */ + Pn_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE2_Enum; + +/* ================================================== Pn MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< Pn_MOD_MODE1 */ + Pn_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE1_Enum; + +/* ================================================== Pn MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< Pn_MOD_MODE0 */ + Pn_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + Pn_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + Pn_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} Pn_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= Pn TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP15 */ + Pn_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP15_Enum; + +/* ================================================= Pn TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP14 */ + Pn_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP14_Enum; + +/* ================================================= Pn TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP13 */ + Pn_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP13_Enum; + +/* ================================================= Pn TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP12 */ + Pn_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP12_Enum; + +/* ================================================= Pn TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP11 */ + Pn_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP11_Enum; + +/* ================================================= Pn TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< Pn_TYP_TYP10 */ + Pn_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP10_Enum; + +/* ================================================== Pn TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP9 */ + Pn_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP9_Enum; + +/* ================================================== Pn TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP8 */ + Pn_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP8_Enum; + +/* ================================================== Pn TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP7 */ + Pn_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP7_Enum; + +/* ================================================== Pn TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP6 */ + Pn_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP6_Enum; + +/* ================================================== Pn TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP5 */ + Pn_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP5_Enum; + +/* ================================================== Pn TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP4 */ + Pn_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP4_Enum; + +/* ================================================== Pn TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP3 */ + Pn_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP3_Enum; + +/* ================================================== Pn TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP2 */ + Pn_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP2_Enum; + +/* ================================================== Pn TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP1 */ + Pn_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP1_Enum; + +/* ================================================== Pn TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< Pn_TYP_TYP0 */ + Pn_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + Pn_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} Pn_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ Pn AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< Pn_AFSR1_AFSR7 */ + Pn_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR7_Enum; + +/* ================================================ Pn AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< Pn_AFSR1_AFSR6 */ + Pn_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR6_Enum; + +/* ================================================ Pn AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< Pn_AFSR1_AFSR5 */ + Pn_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR5_Enum; + +/* ================================================ Pn AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< Pn_AFSR1_AFSR4 */ + Pn_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR4_Enum; + +/* ================================================ Pn AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< Pn_AFSR1_AFSR3 */ + Pn_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR3_Enum; + +/* ================================================ Pn AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< Pn_AFSR1_AFSR2 */ + Pn_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR2_Enum; + +/* ================================================= Pn AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< Pn_AFSR1_AFSR1 */ + Pn_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR1_Enum; + +/* ================================================= Pn AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< Pn_AFSR1_AFSR0 */ + Pn_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== Pn AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR15 */ + Pn_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR15_Enum; + +/* =============================================== Pn AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR14 */ + Pn_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR14_Enum; + +/* =============================================== Pn AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR13 */ + Pn_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR13_Enum; + +/* =============================================== Pn AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR12 */ + Pn_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR12_Enum; + +/* =============================================== Pn AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR11 */ + Pn_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR11_Enum; + +/* ================================================ Pn AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< Pn_AFSR2_AFSR10 */ + Pn_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR10_Enum; + +/* ================================================= Pn AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< Pn_AFSR2_AFSR9 */ + Pn_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR9_Enum; + +/* ================================================= Pn AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< Pn_AFSR2_AFSR8 */ + Pn_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + Pn_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + Pn_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + Pn_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + Pn_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} Pn_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ Pn PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD15 */ + Pn_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD15_Enum; + +/* ================================================ Pn PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD14 */ + Pn_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD14_Enum; + +/* ================================================ Pn PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD13 */ + Pn_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD13_Enum; + +/* ================================================ Pn PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD12 */ + Pn_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD12_Enum; + +/* ================================================ Pn PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD11 */ + Pn_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD11_Enum; + +/* ================================================ Pn PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< Pn_PUPD_PUPD10 */ + Pn_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD10_Enum; + +/* ================================================ Pn PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< Pn_PUPD_PUPD9 */ + Pn_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD9_Enum; + +/* ================================================ Pn PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< Pn_PUPD_PUPD8 */ + Pn_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD8_Enum; + +/* ================================================ Pn PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< Pn_PUPD_PUPD7 */ + Pn_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD7_Enum; + +/* ================================================ Pn PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< Pn_PUPD_PUPD6 */ + Pn_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD6_Enum; + +/* ================================================ Pn PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< Pn_PUPD_PUPD5 */ + Pn_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD5_Enum; + +/* ================================================= Pn PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< Pn_PUPD_PUPD4 */ + Pn_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD4_Enum; + +/* ================================================= Pn PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< Pn_PUPD_PUPD3 */ + Pn_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD3_Enum; + +/* ================================================= Pn PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< Pn_PUPD_PUPD2 */ + Pn_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD2_Enum; + +/* ================================================= Pn PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< Pn_PUPD_PUPD1 */ + Pn_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD1_Enum; + +/* ================================================= Pn PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< Pn_PUPD_PUPD0 */ + Pn_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + Pn_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + Pn_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} Pn_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= Pn BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR15 */ + Pn_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR15_Enum; + +/* ================================================= Pn BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR14 */ + Pn_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR14_Enum; + +/* ================================================= Pn BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR13 */ + Pn_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR13_Enum; + +/* ================================================= Pn BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR12 */ + Pn_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR12_Enum; + +/* ================================================= Pn BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR11 */ + Pn_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR11_Enum; + +/* ================================================= Pn BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< Pn_BSR_BSR10 */ + Pn_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR10_Enum; + +/* ================================================== Pn BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR9 */ + Pn_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR9_Enum; + +/* ================================================== Pn BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR8 */ + Pn_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR8_Enum; + +/* ================================================== Pn BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR7 */ + Pn_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR7_Enum; + +/* ================================================== Pn BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR6 */ + Pn_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR6_Enum; + +/* ================================================== Pn BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR5 */ + Pn_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR5_Enum; + +/* ================================================== Pn BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR4 */ + Pn_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR4_Enum; + +/* ================================================== Pn BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR3 */ + Pn_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR3_Enum; + +/* ================================================== Pn BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR2 */ + Pn_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR2_Enum; + +/* ================================================== Pn BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR1 */ + Pn_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR1_Enum; + +/* ================================================== Pn BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< Pn_BSR_BSR0 */ + Pn_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} Pn_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= Pn BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR15 */ + Pn_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR15_Enum; + +/* ================================================= Pn BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR14 */ + Pn_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR14_Enum; + +/* ================================================= Pn BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR13 */ + Pn_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR13_Enum; + +/* ================================================= Pn BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR12 */ + Pn_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR12_Enum; + +/* ================================================= Pn BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR11 */ + Pn_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR11_Enum; + +/* ================================================= Pn BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< Pn_BCR_BCR10 */ + Pn_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR10_Enum; + +/* ================================================== Pn BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR9 */ + Pn_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR9_Enum; + +/* ================================================== Pn BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR8 */ + Pn_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR8_Enum; + +/* ================================================== Pn BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR7 */ + Pn_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR7_Enum; + +/* ================================================== Pn BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR6 */ + Pn_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR6_Enum; + +/* ================================================== Pn BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR5 */ + Pn_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR5_Enum; + +/* ================================================== Pn BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR4 */ + Pn_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR4_Enum; + +/* ================================================== Pn BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR3 */ + Pn_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR3_Enum; + +/* ================================================== Pn BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR2 */ + Pn_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR2_Enum; + +/* ================================================== Pn BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR1 */ + Pn_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR1_Enum; + +/* ================================================== Pn BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< Pn_BCR_BCR0 */ + Pn_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + Pn_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} Pn_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= Pn OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK15 */ + Pn_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= Pn OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK14 */ + Pn_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= Pn OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK13 */ + Pn_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= Pn OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK12 */ + Pn_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= Pn OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK11 */ + Pn_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= Pn OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK10 */ + Pn_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK9 */ + Pn_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK8 */ + Pn_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK7 */ + Pn_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK6 */ + Pn_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK5 */ + Pn_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK4 */ + Pn_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK3 */ + Pn_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK2 */ + Pn_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK1 */ + Pn_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== Pn OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< Pn_OUTDMSK_OUTDMSK0 */ + Pn_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + Pn_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} Pn_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ Pn DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< Pn_DBCR_DBCLK */ + Pn_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + Pn_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + Pn_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + Pn_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + Pn_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + Pn_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} Pn_DBCR_DBCLK_Enum; + +/* ================================================ Pn DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< Pn_DBCR_DBEN11 */ + Pn_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN11_Enum; + +/* ================================================ Pn DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< Pn_DBCR_DBEN10 */ + Pn_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN10_Enum; + +/* ================================================= Pn DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN9 */ + Pn_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN9_Enum; + +/* ================================================= Pn DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN8 */ + Pn_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN8_Enum; + +/* ================================================= Pn DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN7 */ + Pn_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN7_Enum; + +/* ================================================= Pn DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN6 */ + Pn_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN6_Enum; + +/* ================================================= Pn DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN5 */ + Pn_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN5_Enum; + +/* ================================================= Pn DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN4 */ + Pn_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN4_Enum; + +/* ================================================= Pn DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN3 */ + Pn_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN3_Enum; + +/* ================================================= Pn DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN2 */ + Pn_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN2_Enum; + +/* ================================================= Pn DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN1 */ + Pn_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN1_Enum; + +/* ================================================= Pn DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< Pn_DBCR_DBEN0 */ + Pn_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + Pn_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} Pn_DBCR_DBEN0_Enum; + + + +/* =========================================================================================================================== */ +/* ================ PA ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PA MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PA_MOD_MODE15 */ + PA_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE15_Enum; + +/* ================================================ PA MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PA_MOD_MODE14 */ + PA_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE14_Enum; + +/* ================================================ PA MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PA_MOD_MODE13 */ + PA_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE13_Enum; + +/* ================================================ PA MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PA_MOD_MODE12 */ + PA_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE12_Enum; + +/* ================================================ PA MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PA_MOD_MODE11 */ + PA_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE11_Enum; + +/* ================================================ PA MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PA_MOD_MODE10 */ + PA_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE10_Enum; + +/* ================================================= PA MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PA_MOD_MODE9 */ + PA_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE9_Enum; + +/* ================================================= PA MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PA_MOD_MODE8 */ + PA_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE8_Enum; + +/* ================================================= PA MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PA_MOD_MODE7 */ + PA_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE7_Enum; + +/* ================================================= PA MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PA_MOD_MODE6 */ + PA_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE6_Enum; + +/* ================================================= PA MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PA_MOD_MODE5 */ + PA_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE5_Enum; + +/* ================================================== PA MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PA_MOD_MODE4 */ + PA_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE4_Enum; + +/* ================================================== PA MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PA_MOD_MODE3 */ + PA_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE3_Enum; + +/* ================================================== PA MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PA_MOD_MODE2 */ + PA_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE2_Enum; + +/* ================================================== PA MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PA_MOD_MODE1 */ + PA_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE1_Enum; + +/* ================================================== PA MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PA_MOD_MODE0 */ + PA_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PA_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PA_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PA_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PA TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PA_TYP_TYP15 */ + PA_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP15_Enum; + +/* ================================================= PA TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PA_TYP_TYP14 */ + PA_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP14_Enum; + +/* ================================================= PA TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PA_TYP_TYP13 */ + PA_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP13_Enum; + +/* ================================================= PA TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PA_TYP_TYP12 */ + PA_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP12_Enum; + +/* ================================================= PA TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PA_TYP_TYP11 */ + PA_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP11_Enum; + +/* ================================================= PA TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PA_TYP_TYP10 */ + PA_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP10_Enum; + +/* ================================================== PA TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PA_TYP_TYP9 */ + PA_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP9_Enum; + +/* ================================================== PA TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PA_TYP_TYP8 */ + PA_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP8_Enum; + +/* ================================================== PA TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PA_TYP_TYP7 */ + PA_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP7_Enum; + +/* ================================================== PA TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PA_TYP_TYP6 */ + PA_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP6_Enum; + +/* ================================================== PA TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PA_TYP_TYP5 */ + PA_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP5_Enum; + +/* ================================================== PA TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PA_TYP_TYP4 */ + PA_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP4_Enum; + +/* ================================================== PA TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PA_TYP_TYP3 */ + PA_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP3_Enum; + +/* ================================================== PA TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PA_TYP_TYP2 */ + PA_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP2_Enum; + +/* ================================================== PA TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PA_TYP_TYP1 */ + PA_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP1_Enum; + +/* ================================================== PA TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PA_TYP_TYP0 */ + PA_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PA_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PA_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PA AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PA_AFSR1_AFSR7 */ + PA_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR7_Enum; + +/* ================================================ PA AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PA_AFSR1_AFSR6 */ + PA_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR6_Enum; + +/* ================================================ PA AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PA_AFSR1_AFSR5 */ + PA_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR5_Enum; + +/* ================================================ PA AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PA_AFSR1_AFSR4 */ + PA_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR4_Enum; + +/* ================================================ PA AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PA_AFSR1_AFSR3 */ + PA_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR3_Enum; + +/* ================================================ PA AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PA_AFSR1_AFSR2 */ + PA_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR2_Enum; + +/* ================================================= PA AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PA_AFSR1_AFSR1 */ + PA_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR1_Enum; + +/* ================================================= PA AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PA_AFSR1_AFSR0 */ + PA_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PA AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR15 */ + PA_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR15_Enum; + +/* =============================================== PA AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR14 */ + PA_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR14_Enum; + +/* =============================================== PA AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR13 */ + PA_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR13_Enum; + +/* =============================================== PA AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR12 */ + PA_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR12_Enum; + +/* =============================================== PA AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR11 */ + PA_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR11_Enum; + +/* ================================================ PA AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PA_AFSR2_AFSR10 */ + PA_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR10_Enum; + +/* ================================================= PA AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PA_AFSR2_AFSR9 */ + PA_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR9_Enum; + +/* ================================================= PA AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PA_AFSR2_AFSR8 */ + PA_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PA_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PA_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PA_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PA_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PA_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PA PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD15 */ + PA_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD15_Enum; + +/* ================================================ PA PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD14 */ + PA_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD14_Enum; + +/* ================================================ PA PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD13 */ + PA_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD13_Enum; + +/* ================================================ PA PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD12 */ + PA_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD12_Enum; + +/* ================================================ PA PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD11 */ + PA_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD11_Enum; + +/* ================================================ PA PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PA_PUPD_PUPD10 */ + PA_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD10_Enum; + +/* ================================================ PA PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PA_PUPD_PUPD9 */ + PA_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD9_Enum; + +/* ================================================ PA PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PA_PUPD_PUPD8 */ + PA_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD8_Enum; + +/* ================================================ PA PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PA_PUPD_PUPD7 */ + PA_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD7_Enum; + +/* ================================================ PA PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PA_PUPD_PUPD6 */ + PA_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD6_Enum; + +/* ================================================ PA PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PA_PUPD_PUPD5 */ + PA_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD5_Enum; + +/* ================================================= PA PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PA_PUPD_PUPD4 */ + PA_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD4_Enum; + +/* ================================================= PA PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PA_PUPD_PUPD3 */ + PA_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD3_Enum; + +/* ================================================= PA PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PA_PUPD_PUPD2 */ + PA_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD2_Enum; + +/* ================================================= PA PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PA_PUPD_PUPD1 */ + PA_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD1_Enum; + +/* ================================================= PA PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PA_PUPD_PUPD0 */ + PA_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PA_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PA_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PA_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PA BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PA_BSR_BSR15 */ + PA_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR15_Enum; + +/* ================================================= PA BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PA_BSR_BSR14 */ + PA_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR14_Enum; + +/* ================================================= PA BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PA_BSR_BSR13 */ + PA_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR13_Enum; + +/* ================================================= PA BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PA_BSR_BSR12 */ + PA_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR12_Enum; + +/* ================================================= PA BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PA_BSR_BSR11 */ + PA_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR11_Enum; + +/* ================================================= PA BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PA_BSR_BSR10 */ + PA_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR10_Enum; + +/* ================================================== PA BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PA_BSR_BSR9 */ + PA_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR9_Enum; + +/* ================================================== PA BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PA_BSR_BSR8 */ + PA_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR8_Enum; + +/* ================================================== PA BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PA_BSR_BSR7 */ + PA_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR7_Enum; + +/* ================================================== PA BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PA_BSR_BSR6 */ + PA_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR6_Enum; + +/* ================================================== PA BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PA_BSR_BSR5 */ + PA_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR5_Enum; + +/* ================================================== PA BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PA_BSR_BSR4 */ + PA_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR4_Enum; + +/* ================================================== PA BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PA_BSR_BSR3 */ + PA_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR3_Enum; + +/* ================================================== PA BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PA_BSR_BSR2 */ + PA_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR2_Enum; + +/* ================================================== PA BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PA_BSR_BSR1 */ + PA_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR1_Enum; + +/* ================================================== PA BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PA_BSR_BSR0 */ + PA_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PA_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PA BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PA_BCR_BCR15 */ + PA_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR15_Enum; + +/* ================================================= PA BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PA_BCR_BCR14 */ + PA_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR14_Enum; + +/* ================================================= PA BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PA_BCR_BCR13 */ + PA_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR13_Enum; + +/* ================================================= PA BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PA_BCR_BCR12 */ + PA_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR12_Enum; + +/* ================================================= PA BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PA_BCR_BCR11 */ + PA_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR11_Enum; + +/* ================================================= PA BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PA_BCR_BCR10 */ + PA_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR10_Enum; + +/* ================================================== PA BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PA_BCR_BCR9 */ + PA_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR9_Enum; + +/* ================================================== PA BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PA_BCR_BCR8 */ + PA_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR8_Enum; + +/* ================================================== PA BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PA_BCR_BCR7 */ + PA_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR7_Enum; + +/* ================================================== PA BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PA_BCR_BCR6 */ + PA_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR6_Enum; + +/* ================================================== PA BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PA_BCR_BCR5 */ + PA_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR5_Enum; + +/* ================================================== PA BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PA_BCR_BCR4 */ + PA_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR4_Enum; + +/* ================================================== PA BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PA_BCR_BCR3 */ + PA_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR3_Enum; + +/* ================================================== PA BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PA_BCR_BCR2 */ + PA_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR2_Enum; + +/* ================================================== PA BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PA_BCR_BCR1 */ + PA_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR1_Enum; + +/* ================================================== PA BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PA_BCR_BCR0 */ + PA_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PA_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PA_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PA OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK15 */ + PA_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PA OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK14 */ + PA_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PA OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK13 */ + PA_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PA OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK12 */ + PA_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PA OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK11 */ + PA_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PA OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK10 */ + PA_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK9 */ + PA_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK8 */ + PA_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK7 */ + PA_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK6 */ + PA_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK5 */ + PA_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK4 */ + PA_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK3 */ + PA_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK2 */ + PA_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK1 */ + PA_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PA OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PA_OUTDMSK_OUTDMSK0 */ + PA_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PA_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PA_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PA DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PA_DBCR_DBCLK */ + PA_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PA_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PA_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PA_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PA_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PA_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PA_DBCR_DBCLK_Enum; + +/* ================================================ PA DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PA_DBCR_DBEN11 */ + PA_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN11_Enum; + +/* ================================================ PA DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PA_DBCR_DBEN10 */ + PA_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN10_Enum; + +/* ================================================= PA DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN9 */ + PA_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN9_Enum; + +/* ================================================= PA DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN8 */ + PA_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN8_Enum; + +/* ================================================= PA DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN7 */ + PA_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN7_Enum; + +/* ================================================= PA DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN6 */ + PA_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN6_Enum; + +/* ================================================= PA DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN5 */ + PA_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN5_Enum; + +/* ================================================= PA DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN4 */ + PA_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN4_Enum; + +/* ================================================= PA DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN3 */ + PA_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN3_Enum; + +/* ================================================= PA DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN2 */ + PA_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN2_Enum; + +/* ================================================= PA DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN1 */ + PA_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN1_Enum; + +/* ================================================= PA DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PA_DBCR_DBEN0 */ + PA_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PA_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PA_DBCR_DBEN0_Enum; + +/* ======================================================== PA_MOD ========================================================= */ +/* ======================================================== PA_TYP ========================================================= */ +/* ======================================================= PA_AFSR1 ======================================================== */ +/* ======================================================= PA_AFSR2 ======================================================== */ +/* ======================================================== PA_PUPD ======================================================== */ +/* ======================================================== PA_INDR ======================================================== */ +/* ======================================================= PA_OUTDR ======================================================== */ +/* ======================================================== PA_BSR ========================================================= */ +/* ======================================================== PA_BCR ========================================================= */ +/* ====================================================== PA_OUTDMSK ======================================================= */ + + +/* =========================================================================================================================== */ +/* ================ PB ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PB MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PB_MOD_MODE15 */ + PB_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE15_Enum; + +/* ================================================ PB MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PB_MOD_MODE14 */ + PB_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE14_Enum; + +/* ================================================ PB MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PB_MOD_MODE13 */ + PB_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE13_Enum; + +/* ================================================ PB MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PB_MOD_MODE12 */ + PB_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE12_Enum; + +/* ================================================ PB MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PB_MOD_MODE11 */ + PB_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE11_Enum; + +/* ================================================ PB MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PB_MOD_MODE10 */ + PB_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE10_Enum; + +/* ================================================= PB MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PB_MOD_MODE9 */ + PB_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE9_Enum; + +/* ================================================= PB MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PB_MOD_MODE8 */ + PB_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE8_Enum; + +/* ================================================= PB MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PB_MOD_MODE7 */ + PB_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE7_Enum; + +/* ================================================= PB MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PB_MOD_MODE6 */ + PB_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE6_Enum; + +/* ================================================= PB MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PB_MOD_MODE5 */ + PB_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE5_Enum; + +/* ================================================== PB MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PB_MOD_MODE4 */ + PB_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE4_Enum; + +/* ================================================== PB MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PB_MOD_MODE3 */ + PB_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE3_Enum; + +/* ================================================== PB MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PB_MOD_MODE2 */ + PB_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE2_Enum; + +/* ================================================== PB MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PB_MOD_MODE1 */ + PB_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE1_Enum; + +/* ================================================== PB MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PB_MOD_MODE0 */ + PB_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PB_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PB_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PB_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PB TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PB_TYP_TYP15 */ + PB_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP15_Enum; + +/* ================================================= PB TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PB_TYP_TYP14 */ + PB_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP14_Enum; + +/* ================================================= PB TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PB_TYP_TYP13 */ + PB_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP13_Enum; + +/* ================================================= PB TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PB_TYP_TYP12 */ + PB_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP12_Enum; + +/* ================================================= PB TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PB_TYP_TYP11 */ + PB_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP11_Enum; + +/* ================================================= PB TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PB_TYP_TYP10 */ + PB_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP10_Enum; + +/* ================================================== PB TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PB_TYP_TYP9 */ + PB_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP9_Enum; + +/* ================================================== PB TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PB_TYP_TYP8 */ + PB_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP8_Enum; + +/* ================================================== PB TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PB_TYP_TYP7 */ + PB_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP7_Enum; + +/* ================================================== PB TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PB_TYP_TYP6 */ + PB_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP6_Enum; + +/* ================================================== PB TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PB_TYP_TYP5 */ + PB_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP5_Enum; + +/* ================================================== PB TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PB_TYP_TYP4 */ + PB_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP4_Enum; + +/* ================================================== PB TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PB_TYP_TYP3 */ + PB_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP3_Enum; + +/* ================================================== PB TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PB_TYP_TYP2 */ + PB_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP2_Enum; + +/* ================================================== PB TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PB_TYP_TYP1 */ + PB_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP1_Enum; + +/* ================================================== PB TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PB_TYP_TYP0 */ + PB_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PB_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PB_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PB AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PB_AFSR1_AFSR7 */ + PB_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR7_Enum; + +/* ================================================ PB AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PB_AFSR1_AFSR6 */ + PB_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR6_Enum; + +/* ================================================ PB AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PB_AFSR1_AFSR5 */ + PB_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR5_Enum; + +/* ================================================ PB AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PB_AFSR1_AFSR4 */ + PB_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR4_Enum; + +/* ================================================ PB AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PB_AFSR1_AFSR3 */ + PB_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR3_Enum; + +/* ================================================ PB AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PB_AFSR1_AFSR2 */ + PB_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR2_Enum; + +/* ================================================= PB AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PB_AFSR1_AFSR1 */ + PB_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR1_Enum; + +/* ================================================= PB AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PB_AFSR1_AFSR0 */ + PB_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PB AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR15 */ + PB_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR15_Enum; + +/* =============================================== PB AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR14 */ + PB_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR14_Enum; + +/* =============================================== PB AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR13 */ + PB_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR13_Enum; + +/* =============================================== PB AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR12 */ + PB_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR12_Enum; + +/* =============================================== PB AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR11 */ + PB_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR11_Enum; + +/* ================================================ PB AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PB_AFSR2_AFSR10 */ + PB_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR10_Enum; + +/* ================================================= PB AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PB_AFSR2_AFSR9 */ + PB_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR9_Enum; + +/* ================================================= PB AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PB_AFSR2_AFSR8 */ + PB_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PB_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PB_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PB_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PB_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PB_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PB PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD15 */ + PB_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD15_Enum; + +/* ================================================ PB PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD14 */ + PB_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD14_Enum; + +/* ================================================ PB PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD13 */ + PB_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD13_Enum; + +/* ================================================ PB PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD12 */ + PB_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD12_Enum; + +/* ================================================ PB PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD11 */ + PB_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD11_Enum; + +/* ================================================ PB PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PB_PUPD_PUPD10 */ + PB_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD10_Enum; + +/* ================================================ PB PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PB_PUPD_PUPD9 */ + PB_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD9_Enum; + +/* ================================================ PB PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PB_PUPD_PUPD8 */ + PB_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD8_Enum; + +/* ================================================ PB PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PB_PUPD_PUPD7 */ + PB_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD7_Enum; + +/* ================================================ PB PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PB_PUPD_PUPD6 */ + PB_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD6_Enum; + +/* ================================================ PB PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PB_PUPD_PUPD5 */ + PB_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD5_Enum; + +/* ================================================= PB PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PB_PUPD_PUPD4 */ + PB_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD4_Enum; + +/* ================================================= PB PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PB_PUPD_PUPD3 */ + PB_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD3_Enum; + +/* ================================================= PB PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PB_PUPD_PUPD2 */ + PB_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD2_Enum; + +/* ================================================= PB PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PB_PUPD_PUPD1 */ + PB_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD1_Enum; + +/* ================================================= PB PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PB_PUPD_PUPD0 */ + PB_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PB_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PB_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PB_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PB BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PB_BSR_BSR15 */ + PB_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR15_Enum; + +/* ================================================= PB BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PB_BSR_BSR14 */ + PB_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR14_Enum; + +/* ================================================= PB BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PB_BSR_BSR13 */ + PB_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR13_Enum; + +/* ================================================= PB BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PB_BSR_BSR12 */ + PB_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR12_Enum; + +/* ================================================= PB BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PB_BSR_BSR11 */ + PB_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR11_Enum; + +/* ================================================= PB BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PB_BSR_BSR10 */ + PB_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR10_Enum; + +/* ================================================== PB BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PB_BSR_BSR9 */ + PB_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR9_Enum; + +/* ================================================== PB BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PB_BSR_BSR8 */ + PB_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR8_Enum; + +/* ================================================== PB BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PB_BSR_BSR7 */ + PB_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR7_Enum; + +/* ================================================== PB BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PB_BSR_BSR6 */ + PB_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR6_Enum; + +/* ================================================== PB BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PB_BSR_BSR5 */ + PB_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR5_Enum; + +/* ================================================== PB BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PB_BSR_BSR4 */ + PB_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR4_Enum; + +/* ================================================== PB BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PB_BSR_BSR3 */ + PB_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR3_Enum; + +/* ================================================== PB BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PB_BSR_BSR2 */ + PB_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR2_Enum; + +/* ================================================== PB BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PB_BSR_BSR1 */ + PB_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR1_Enum; + +/* ================================================== PB BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PB_BSR_BSR0 */ + PB_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PB_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PB BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PB_BCR_BCR15 */ + PB_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR15_Enum; + +/* ================================================= PB BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PB_BCR_BCR14 */ + PB_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR14_Enum; + +/* ================================================= PB BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PB_BCR_BCR13 */ + PB_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR13_Enum; + +/* ================================================= PB BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PB_BCR_BCR12 */ + PB_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR12_Enum; + +/* ================================================= PB BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PB_BCR_BCR11 */ + PB_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR11_Enum; + +/* ================================================= PB BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PB_BCR_BCR10 */ + PB_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR10_Enum; + +/* ================================================== PB BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PB_BCR_BCR9 */ + PB_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR9_Enum; + +/* ================================================== PB BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PB_BCR_BCR8 */ + PB_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR8_Enum; + +/* ================================================== PB BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PB_BCR_BCR7 */ + PB_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR7_Enum; + +/* ================================================== PB BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PB_BCR_BCR6 */ + PB_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR6_Enum; + +/* ================================================== PB BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PB_BCR_BCR5 */ + PB_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR5_Enum; + +/* ================================================== PB BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PB_BCR_BCR4 */ + PB_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR4_Enum; + +/* ================================================== PB BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PB_BCR_BCR3 */ + PB_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR3_Enum; + +/* ================================================== PB BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PB_BCR_BCR2 */ + PB_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR2_Enum; + +/* ================================================== PB BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PB_BCR_BCR1 */ + PB_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR1_Enum; + +/* ================================================== PB BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PB_BCR_BCR0 */ + PB_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PB_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PB_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PB OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK15 */ + PB_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PB OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK14 */ + PB_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PB OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK13 */ + PB_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PB OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK12 */ + PB_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PB OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK11 */ + PB_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PB OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK10 */ + PB_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK9 */ + PB_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK8 */ + PB_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK7 */ + PB_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK6 */ + PB_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK5 */ + PB_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK4 */ + PB_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK3 */ + PB_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK2 */ + PB_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK1 */ + PB_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PB OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PB_OUTDMSK_OUTDMSK0 */ + PB_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PB_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PB_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PB DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PB_DBCR_DBCLK */ + PB_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PB_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PB_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PB_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PB_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PB_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PB_DBCR_DBCLK_Enum; + +/* ================================================ PB DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PB_DBCR_DBEN11 */ + PB_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN11_Enum; + +/* ================================================ PB DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PB_DBCR_DBEN10 */ + PB_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN10_Enum; + +/* ================================================= PB DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN9 */ + PB_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN9_Enum; + +/* ================================================= PB DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN8 */ + PB_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN8_Enum; + +/* ================================================= PB DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN7 */ + PB_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN7_Enum; + +/* ================================================= PB DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN6 */ + PB_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN6_Enum; + +/* ================================================= PB DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN5 */ + PB_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN5_Enum; + +/* ================================================= PB DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN4 */ + PB_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN4_Enum; + +/* ================================================= PB DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN3 */ + PB_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN3_Enum; + +/* ================================================= PB DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN2 */ + PB_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN2_Enum; + +/* ================================================= PB DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN1 */ + PB_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN1_Enum; + +/* ================================================= PB DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PB_DBCR_DBEN0 */ + PB_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PB_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PB_DBCR_DBEN0_Enum; + +/* ======================================================== PB_MOD ========================================================= */ +/* ======================================================== PB_TYP ========================================================= */ +/* ======================================================= PB_AFSR1 ======================================================== */ +/* ======================================================= PB_AFSR2 ======================================================== */ +/* ======================================================== PB_PUPD ======================================================== */ +/* ======================================================== PB_INDR ======================================================== */ +/* ======================================================= PB_OUTDR ======================================================== */ +/* ======================================================== PB_BSR ========================================================= */ +/* ======================================================== PB_BCR ========================================================= */ +/* ====================================================== PB_OUTDMSK ======================================================= */ +/* ======================================================== PB_DBCR ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ PC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PC MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PC_MOD_MODE15 */ + PC_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE15_Enum; + +/* ================================================ PC MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PC_MOD_MODE14 */ + PC_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE14_Enum; + +/* ================================================ PC MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PC_MOD_MODE13 */ + PC_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE13_Enum; + +/* ================================================ PC MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PC_MOD_MODE12 */ + PC_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE12_Enum; + +/* ================================================ PC MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PC_MOD_MODE11 */ + PC_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE11_Enum; + +/* ================================================ PC MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PC_MOD_MODE10 */ + PC_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE10_Enum; + +/* ================================================= PC MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PC_MOD_MODE9 */ + PC_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE9_Enum; + +/* ================================================= PC MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PC_MOD_MODE8 */ + PC_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE8_Enum; + +/* ================================================= PC MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PC_MOD_MODE7 */ + PC_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE7_Enum; + +/* ================================================= PC MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PC_MOD_MODE6 */ + PC_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE6_Enum; + +/* ================================================= PC MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PC_MOD_MODE5 */ + PC_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE5_Enum; + +/* ================================================== PC MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PC_MOD_MODE4 */ + PC_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE4_Enum; + +/* ================================================== PC MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PC_MOD_MODE3 */ + PC_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE3_Enum; + +/* ================================================== PC MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PC_MOD_MODE2 */ + PC_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE2_Enum; + +/* ================================================== PC MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PC_MOD_MODE1 */ + PC_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE1_Enum; + +/* ================================================== PC MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PC_MOD_MODE0 */ + PC_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PC_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PC_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PC_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PC TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PC_TYP_TYP15 */ + PC_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP15_Enum; + +/* ================================================= PC TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PC_TYP_TYP14 */ + PC_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP14_Enum; + +/* ================================================= PC TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PC_TYP_TYP13 */ + PC_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP13_Enum; + +/* ================================================= PC TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PC_TYP_TYP12 */ + PC_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP12_Enum; + +/* ================================================= PC TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PC_TYP_TYP11 */ + PC_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP11_Enum; + +/* ================================================= PC TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PC_TYP_TYP10 */ + PC_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP10_Enum; + +/* ================================================== PC TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PC_TYP_TYP9 */ + PC_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP9_Enum; + +/* ================================================== PC TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PC_TYP_TYP8 */ + PC_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP8_Enum; + +/* ================================================== PC TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PC_TYP_TYP7 */ + PC_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP7_Enum; + +/* ================================================== PC TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PC_TYP_TYP6 */ + PC_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP6_Enum; + +/* ================================================== PC TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PC_TYP_TYP5 */ + PC_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP5_Enum; + +/* ================================================== PC TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PC_TYP_TYP4 */ + PC_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP4_Enum; + +/* ================================================== PC TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PC_TYP_TYP3 */ + PC_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP3_Enum; + +/* ================================================== PC TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PC_TYP_TYP2 */ + PC_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP2_Enum; + +/* ================================================== PC TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PC_TYP_TYP1 */ + PC_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP1_Enum; + +/* ================================================== PC TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PC_TYP_TYP0 */ + PC_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PC_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PC_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PC AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PC_AFSR1_AFSR7 */ + PC_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR7_Enum; + +/* ================================================ PC AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PC_AFSR1_AFSR6 */ + PC_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR6_Enum; + +/* ================================================ PC AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PC_AFSR1_AFSR5 */ + PC_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR5_Enum; + +/* ================================================ PC AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PC_AFSR1_AFSR4 */ + PC_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR4_Enum; + +/* ================================================ PC AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PC_AFSR1_AFSR3 */ + PC_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR3_Enum; + +/* ================================================ PC AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PC_AFSR1_AFSR2 */ + PC_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR2_Enum; + +/* ================================================= PC AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PC_AFSR1_AFSR1 */ + PC_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR1_Enum; + +/* ================================================= PC AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PC_AFSR1_AFSR0 */ + PC_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PC AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR15 */ + PC_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR15_Enum; + +/* =============================================== PC AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR14 */ + PC_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR14_Enum; + +/* =============================================== PC AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR13 */ + PC_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR13_Enum; + +/* =============================================== PC AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR12 */ + PC_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR12_Enum; + +/* =============================================== PC AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR11 */ + PC_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR11_Enum; + +/* ================================================ PC AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PC_AFSR2_AFSR10 */ + PC_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR10_Enum; + +/* ================================================= PC AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PC_AFSR2_AFSR9 */ + PC_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR9_Enum; + +/* ================================================= PC AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PC_AFSR2_AFSR8 */ + PC_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PC_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PC_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PC_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PC_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PC_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PC PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD15 */ + PC_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD15_Enum; + +/* ================================================ PC PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD14 */ + PC_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD14_Enum; + +/* ================================================ PC PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD13 */ + PC_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD13_Enum; + +/* ================================================ PC PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD12 */ + PC_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD12_Enum; + +/* ================================================ PC PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD11 */ + PC_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD11_Enum; + +/* ================================================ PC PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PC_PUPD_PUPD10 */ + PC_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD10_Enum; + +/* ================================================ PC PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PC_PUPD_PUPD9 */ + PC_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD9_Enum; + +/* ================================================ PC PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PC_PUPD_PUPD8 */ + PC_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD8_Enum; + +/* ================================================ PC PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PC_PUPD_PUPD7 */ + PC_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD7_Enum; + +/* ================================================ PC PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PC_PUPD_PUPD6 */ + PC_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD6_Enum; + +/* ================================================ PC PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PC_PUPD_PUPD5 */ + PC_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD5_Enum; + +/* ================================================= PC PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PC_PUPD_PUPD4 */ + PC_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD4_Enum; + +/* ================================================= PC PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PC_PUPD_PUPD3 */ + PC_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD3_Enum; + +/* ================================================= PC PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PC_PUPD_PUPD2 */ + PC_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD2_Enum; + +/* ================================================= PC PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PC_PUPD_PUPD1 */ + PC_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD1_Enum; + +/* ================================================= PC PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PC_PUPD_PUPD0 */ + PC_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PC_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PC_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PC_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PC BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PC_BSR_BSR15 */ + PC_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR15_Enum; + +/* ================================================= PC BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PC_BSR_BSR14 */ + PC_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR14_Enum; + +/* ================================================= PC BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PC_BSR_BSR13 */ + PC_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR13_Enum; + +/* ================================================= PC BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PC_BSR_BSR12 */ + PC_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR12_Enum; + +/* ================================================= PC BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PC_BSR_BSR11 */ + PC_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR11_Enum; + +/* ================================================= PC BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PC_BSR_BSR10 */ + PC_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR10_Enum; + +/* ================================================== PC BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PC_BSR_BSR9 */ + PC_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR9_Enum; + +/* ================================================== PC BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PC_BSR_BSR8 */ + PC_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR8_Enum; + +/* ================================================== PC BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PC_BSR_BSR7 */ + PC_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR7_Enum; + +/* ================================================== PC BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PC_BSR_BSR6 */ + PC_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR6_Enum; + +/* ================================================== PC BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PC_BSR_BSR5 */ + PC_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR5_Enum; + +/* ================================================== PC BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PC_BSR_BSR4 */ + PC_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR4_Enum; + +/* ================================================== PC BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PC_BSR_BSR3 */ + PC_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR3_Enum; + +/* ================================================== PC BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PC_BSR_BSR2 */ + PC_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR2_Enum; + +/* ================================================== PC BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PC_BSR_BSR1 */ + PC_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR1_Enum; + +/* ================================================== PC BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PC_BSR_BSR0 */ + PC_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PC_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PC BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PC_BCR_BCR15 */ + PC_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR15_Enum; + +/* ================================================= PC BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PC_BCR_BCR14 */ + PC_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR14_Enum; + +/* ================================================= PC BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PC_BCR_BCR13 */ + PC_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR13_Enum; + +/* ================================================= PC BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PC_BCR_BCR12 */ + PC_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR12_Enum; + +/* ================================================= PC BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PC_BCR_BCR11 */ + PC_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR11_Enum; + +/* ================================================= PC BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PC_BCR_BCR10 */ + PC_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR10_Enum; + +/* ================================================== PC BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PC_BCR_BCR9 */ + PC_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR9_Enum; + +/* ================================================== PC BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PC_BCR_BCR8 */ + PC_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR8_Enum; + +/* ================================================== PC BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PC_BCR_BCR7 */ + PC_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR7_Enum; + +/* ================================================== PC BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PC_BCR_BCR6 */ + PC_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR6_Enum; + +/* ================================================== PC BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PC_BCR_BCR5 */ + PC_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR5_Enum; + +/* ================================================== PC BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PC_BCR_BCR4 */ + PC_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR4_Enum; + +/* ================================================== PC BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PC_BCR_BCR3 */ + PC_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR3_Enum; + +/* ================================================== PC BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PC_BCR_BCR2 */ + PC_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR2_Enum; + +/* ================================================== PC BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PC_BCR_BCR1 */ + PC_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR1_Enum; + +/* ================================================== PC BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PC_BCR_BCR0 */ + PC_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PC_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PC_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PC OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK15 */ + PC_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PC OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK14 */ + PC_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PC OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK13 */ + PC_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PC OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK12 */ + PC_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PC OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK11 */ + PC_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PC OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK10 */ + PC_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK9 */ + PC_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK8 */ + PC_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK7 */ + PC_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK6 */ + PC_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK5 */ + PC_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK4 */ + PC_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK3 */ + PC_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK2 */ + PC_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK1 */ + PC_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PC OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PC_OUTDMSK_OUTDMSK0 */ + PC_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PC_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PC_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PC DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PC_DBCR_DBCLK */ + PC_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PC_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PC_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PC_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PC_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PC_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PC_DBCR_DBCLK_Enum; + +/* ================================================ PC DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PC_DBCR_DBEN11 */ + PC_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN11_Enum; + +/* ================================================ PC DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PC_DBCR_DBEN10 */ + PC_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN10_Enum; + +/* ================================================= PC DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN9 */ + PC_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN9_Enum; + +/* ================================================= PC DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN8 */ + PC_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN8_Enum; + +/* ================================================= PC DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN7 */ + PC_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN7_Enum; + +/* ================================================= PC DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN6 */ + PC_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN6_Enum; + +/* ================================================= PC DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN5 */ + PC_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN5_Enum; + +/* ================================================= PC DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN4 */ + PC_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN4_Enum; + +/* ================================================= PC DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN3 */ + PC_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN3_Enum; + +/* ================================================= PC DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN2 */ + PC_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN2_Enum; + +/* ================================================= PC DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN1 */ + PC_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN1_Enum; + +/* ================================================= PC DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PC_DBCR_DBEN0 */ + PC_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PC_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PC_DBCR_DBEN0_Enum; + +/* ======================================================== PC_MOD ========================================================= */ +/* ======================================================== PC_TYP ========================================================= */ +/* ======================================================= PC_AFSR1 ======================================================== */ +/* ======================================================= PC_AFSR2 ======================================================== */ +/* ======================================================== PC_PUPD ======================================================== */ +/* ======================================================== PC_INDR ======================================================== */ +/* ======================================================= PC_OUTDR ======================================================== */ +/* ======================================================== PC_BSR ========================================================= */ +/* ======================================================== PC_BCR ========================================================= */ +/* ====================================================== PC_OUTDMSK ======================================================= */ +/* ======================================================== PC_DBCR ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ PD ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PD MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PD_MOD_MODE15 */ + PD_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE15_Enum; + +/* ================================================ PD MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PD_MOD_MODE14 */ + PD_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE14_Enum; + +/* ================================================ PD MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PD_MOD_MODE13 */ + PD_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE13_Enum; + +/* ================================================ PD MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PD_MOD_MODE12 */ + PD_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE12_Enum; + +/* ================================================ PD MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PD_MOD_MODE11 */ + PD_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE11_Enum; + +/* ================================================ PD MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PD_MOD_MODE10 */ + PD_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE10_Enum; + +/* ================================================= PD MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PD_MOD_MODE9 */ + PD_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE9_Enum; + +/* ================================================= PD MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PD_MOD_MODE8 */ + PD_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE8_Enum; + +/* ================================================= PD MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PD_MOD_MODE7 */ + PD_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE7_Enum; + +/* ================================================= PD MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PD_MOD_MODE6 */ + PD_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE6_Enum; + +/* ================================================= PD MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PD_MOD_MODE5 */ + PD_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE5_Enum; + +/* ================================================== PD MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PD_MOD_MODE4 */ + PD_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE4_Enum; + +/* ================================================== PD MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PD_MOD_MODE3 */ + PD_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE3_Enum; + +/* ================================================== PD MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PD_MOD_MODE2 */ + PD_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE2_Enum; + +/* ================================================== PD MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PD_MOD_MODE1 */ + PD_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE1_Enum; + +/* ================================================== PD MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PD_MOD_MODE0 */ + PD_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PD_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PD_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PD_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PD TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PD_TYP_TYP15 */ + PD_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP15_Enum; + +/* ================================================= PD TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PD_TYP_TYP14 */ + PD_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP14_Enum; + +/* ================================================= PD TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PD_TYP_TYP13 */ + PD_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP13_Enum; + +/* ================================================= PD TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PD_TYP_TYP12 */ + PD_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP12_Enum; + +/* ================================================= PD TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PD_TYP_TYP11 */ + PD_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP11_Enum; + +/* ================================================= PD TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PD_TYP_TYP10 */ + PD_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP10_Enum; + +/* ================================================== PD TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PD_TYP_TYP9 */ + PD_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP9_Enum; + +/* ================================================== PD TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PD_TYP_TYP8 */ + PD_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP8_Enum; + +/* ================================================== PD TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PD_TYP_TYP7 */ + PD_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP7_Enum; + +/* ================================================== PD TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PD_TYP_TYP6 */ + PD_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP6_Enum; + +/* ================================================== PD TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PD_TYP_TYP5 */ + PD_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP5_Enum; + +/* ================================================== PD TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PD_TYP_TYP4 */ + PD_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP4_Enum; + +/* ================================================== PD TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PD_TYP_TYP3 */ + PD_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP3_Enum; + +/* ================================================== PD TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PD_TYP_TYP2 */ + PD_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP2_Enum; + +/* ================================================== PD TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PD_TYP_TYP1 */ + PD_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP1_Enum; + +/* ================================================== PD TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PD_TYP_TYP0 */ + PD_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PD_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PD_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PD AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PD_AFSR1_AFSR7 */ + PD_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR7_Enum; + +/* ================================================ PD AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PD_AFSR1_AFSR6 */ + PD_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR6_Enum; + +/* ================================================ PD AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PD_AFSR1_AFSR5 */ + PD_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR5_Enum; + +/* ================================================ PD AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PD_AFSR1_AFSR4 */ + PD_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR4_Enum; + +/* ================================================ PD AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PD_AFSR1_AFSR3 */ + PD_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR3_Enum; + +/* ================================================ PD AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PD_AFSR1_AFSR2 */ + PD_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR2_Enum; + +/* ================================================= PD AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PD_AFSR1_AFSR1 */ + PD_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR1_Enum; + +/* ================================================= PD AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PD_AFSR1_AFSR0 */ + PD_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PD AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR15 */ + PD_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR15_Enum; + +/* =============================================== PD AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR14 */ + PD_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR14_Enum; + +/* =============================================== PD AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR13 */ + PD_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR13_Enum; + +/* =============================================== PD AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR12 */ + PD_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR12_Enum; + +/* =============================================== PD AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR11 */ + PD_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR11_Enum; + +/* ================================================ PD AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PD_AFSR2_AFSR10 */ + PD_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR10_Enum; + +/* ================================================= PD AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PD_AFSR2_AFSR9 */ + PD_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR9_Enum; + +/* ================================================= PD AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PD_AFSR2_AFSR8 */ + PD_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PD_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PD_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PD_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PD_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PD_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PD PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD15 */ + PD_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD15_Enum; + +/* ================================================ PD PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD14 */ + PD_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD14_Enum; + +/* ================================================ PD PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD13 */ + PD_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD13_Enum; + +/* ================================================ PD PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD12 */ + PD_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD12_Enum; + +/* ================================================ PD PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD11 */ + PD_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD11_Enum; + +/* ================================================ PD PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PD_PUPD_PUPD10 */ + PD_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD10_Enum; + +/* ================================================ PD PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PD_PUPD_PUPD9 */ + PD_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD9_Enum; + +/* ================================================ PD PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PD_PUPD_PUPD8 */ + PD_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD8_Enum; + +/* ================================================ PD PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PD_PUPD_PUPD7 */ + PD_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD7_Enum; + +/* ================================================ PD PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PD_PUPD_PUPD6 */ + PD_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD6_Enum; + +/* ================================================ PD PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PD_PUPD_PUPD5 */ + PD_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD5_Enum; + +/* ================================================= PD PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PD_PUPD_PUPD4 */ + PD_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD4_Enum; + +/* ================================================= PD PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PD_PUPD_PUPD3 */ + PD_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD3_Enum; + +/* ================================================= PD PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PD_PUPD_PUPD2 */ + PD_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD2_Enum; + +/* ================================================= PD PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PD_PUPD_PUPD1 */ + PD_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD1_Enum; + +/* ================================================= PD PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PD_PUPD_PUPD0 */ + PD_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PD_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PD_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PD_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PD BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PD_BSR_BSR15 */ + PD_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR15_Enum; + +/* ================================================= PD BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PD_BSR_BSR14 */ + PD_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR14_Enum; + +/* ================================================= PD BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PD_BSR_BSR13 */ + PD_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR13_Enum; + +/* ================================================= PD BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PD_BSR_BSR12 */ + PD_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR12_Enum; + +/* ================================================= PD BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PD_BSR_BSR11 */ + PD_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR11_Enum; + +/* ================================================= PD BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PD_BSR_BSR10 */ + PD_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR10_Enum; + +/* ================================================== PD BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PD_BSR_BSR9 */ + PD_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR9_Enum; + +/* ================================================== PD BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PD_BSR_BSR8 */ + PD_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR8_Enum; + +/* ================================================== PD BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PD_BSR_BSR7 */ + PD_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR7_Enum; + +/* ================================================== PD BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PD_BSR_BSR6 */ + PD_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR6_Enum; + +/* ================================================== PD BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PD_BSR_BSR5 */ + PD_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR5_Enum; + +/* ================================================== PD BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PD_BSR_BSR4 */ + PD_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR4_Enum; + +/* ================================================== PD BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PD_BSR_BSR3 */ + PD_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR3_Enum; + +/* ================================================== PD BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PD_BSR_BSR2 */ + PD_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR2_Enum; + +/* ================================================== PD BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PD_BSR_BSR1 */ + PD_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR1_Enum; + +/* ================================================== PD BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PD_BSR_BSR0 */ + PD_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PD_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PD BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PD_BCR_BCR15 */ + PD_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR15_Enum; + +/* ================================================= PD BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PD_BCR_BCR14 */ + PD_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR14_Enum; + +/* ================================================= PD BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PD_BCR_BCR13 */ + PD_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR13_Enum; + +/* ================================================= PD BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PD_BCR_BCR12 */ + PD_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR12_Enum; + +/* ================================================= PD BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PD_BCR_BCR11 */ + PD_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR11_Enum; + +/* ================================================= PD BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PD_BCR_BCR10 */ + PD_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR10_Enum; + +/* ================================================== PD BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PD_BCR_BCR9 */ + PD_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR9_Enum; + +/* ================================================== PD BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PD_BCR_BCR8 */ + PD_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR8_Enum; + +/* ================================================== PD BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PD_BCR_BCR7 */ + PD_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR7_Enum; + +/* ================================================== PD BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PD_BCR_BCR6 */ + PD_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR6_Enum; + +/* ================================================== PD BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PD_BCR_BCR5 */ + PD_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR5_Enum; + +/* ================================================== PD BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PD_BCR_BCR4 */ + PD_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR4_Enum; + +/* ================================================== PD BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PD_BCR_BCR3 */ + PD_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR3_Enum; + +/* ================================================== PD BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PD_BCR_BCR2 */ + PD_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR2_Enum; + +/* ================================================== PD BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PD_BCR_BCR1 */ + PD_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR1_Enum; + +/* ================================================== PD BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PD_BCR_BCR0 */ + PD_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PD_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PD_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PD OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK15 */ + PD_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PD OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK14 */ + PD_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PD OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK13 */ + PD_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PD OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK12 */ + PD_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PD OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK11 */ + PD_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PD OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK10 */ + PD_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK9 */ + PD_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK8 */ + PD_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK7 */ + PD_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK6 */ + PD_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK5 */ + PD_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK4 */ + PD_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK3 */ + PD_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK2 */ + PD_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK1 */ + PD_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PD OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PD_OUTDMSK_OUTDMSK0 */ + PD_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PD_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PD_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PD DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PD_DBCR_DBCLK */ + PD_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PD_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PD_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PD_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PD_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PD_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PD_DBCR_DBCLK_Enum; + +/* ================================================ PD DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PD_DBCR_DBEN11 */ + PD_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN11_Enum; + +/* ================================================ PD DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PD_DBCR_DBEN10 */ + PD_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN10_Enum; + +/* ================================================= PD DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN9 */ + PD_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN9_Enum; + +/* ================================================= PD DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN8 */ + PD_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN8_Enum; + +/* ================================================= PD DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN7 */ + PD_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN7_Enum; + +/* ================================================= PD DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN6 */ + PD_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN6_Enum; + +/* ================================================= PD DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN5 */ + PD_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN5_Enum; + +/* ================================================= PD DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN4 */ + PD_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN4_Enum; + +/* ================================================= PD DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN3 */ + PD_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN3_Enum; + +/* ================================================= PD DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN2 */ + PD_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN2_Enum; + +/* ================================================= PD DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN1 */ + PD_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN1_Enum; + +/* ================================================= PD DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PD_DBCR_DBEN0 */ + PD_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PD_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PD_DBCR_DBEN0_Enum; + +/* ======================================================== PD_MOD ========================================================= */ +/* ======================================================== PD_TYP ========================================================= */ +/* ======================================================= PD_AFSR1 ======================================================== */ +/* ======================================================= PD_AFSR2 ======================================================== */ +/* ======================================================== PD_PUPD ======================================================== */ +/* ======================================================== PD_INDR ======================================================== */ +/* ======================================================= PD_OUTDR ======================================================== */ +/* ======================================================== PD_BSR ========================================================= */ +/* ======================================================== PD_BCR ========================================================= */ +/* ====================================================== PD_OUTDMSK ======================================================= */ + + +/* =========================================================================================================================== */ +/* ================ PE ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PE MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PE_MOD_MODE15 */ + PE_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE15_Enum; + +/* ================================================ PE MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PE_MOD_MODE14 */ + PE_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE14_Enum; + +/* ================================================ PE MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PE_MOD_MODE13 */ + PE_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE13_Enum; + +/* ================================================ PE MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PE_MOD_MODE12 */ + PE_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE12_Enum; + +/* ================================================ PE MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PE_MOD_MODE11 */ + PE_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE11_Enum; + +/* ================================================ PE MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PE_MOD_MODE10 */ + PE_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE10_Enum; + +/* ================================================= PE MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PE_MOD_MODE9 */ + PE_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE9_Enum; + +/* ================================================= PE MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PE_MOD_MODE8 */ + PE_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE8_Enum; + +/* ================================================= PE MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PE_MOD_MODE7 */ + PE_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE7_Enum; + +/* ================================================= PE MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PE_MOD_MODE6 */ + PE_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE6_Enum; + +/* ================================================= PE MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PE_MOD_MODE5 */ + PE_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE5_Enum; + +/* ================================================== PE MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PE_MOD_MODE4 */ + PE_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE4_Enum; + +/* ================================================== PE MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PE_MOD_MODE3 */ + PE_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE3_Enum; + +/* ================================================== PE MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PE_MOD_MODE2 */ + PE_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE2_Enum; + +/* ================================================== PE MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PE_MOD_MODE1 */ + PE_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE1_Enum; + +/* ================================================== PE MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PE_MOD_MODE0 */ + PE_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PE_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PE_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PE_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PE TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PE_TYP_TYP15 */ + PE_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP15_Enum; + +/* ================================================= PE TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PE_TYP_TYP14 */ + PE_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP14_Enum; + +/* ================================================= PE TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PE_TYP_TYP13 */ + PE_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP13_Enum; + +/* ================================================= PE TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PE_TYP_TYP12 */ + PE_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP12_Enum; + +/* ================================================= PE TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PE_TYP_TYP11 */ + PE_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP11_Enum; + +/* ================================================= PE TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PE_TYP_TYP10 */ + PE_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP10_Enum; + +/* ================================================== PE TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PE_TYP_TYP9 */ + PE_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP9_Enum; + +/* ================================================== PE TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PE_TYP_TYP8 */ + PE_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP8_Enum; + +/* ================================================== PE TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PE_TYP_TYP7 */ + PE_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP7_Enum; + +/* ================================================== PE TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PE_TYP_TYP6 */ + PE_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP6_Enum; + +/* ================================================== PE TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PE_TYP_TYP5 */ + PE_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP5_Enum; + +/* ================================================== PE TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PE_TYP_TYP4 */ + PE_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP4_Enum; + +/* ================================================== PE TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PE_TYP_TYP3 */ + PE_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP3_Enum; + +/* ================================================== PE TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PE_TYP_TYP2 */ + PE_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP2_Enum; + +/* ================================================== PE TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PE_TYP_TYP1 */ + PE_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP1_Enum; + +/* ================================================== PE TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PE_TYP_TYP0 */ + PE_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PE_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PE_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PE AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PE_AFSR1_AFSR7 */ + PE_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR7_Enum; + +/* ================================================ PE AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PE_AFSR1_AFSR6 */ + PE_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR6_Enum; + +/* ================================================ PE AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PE_AFSR1_AFSR5 */ + PE_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR5_Enum; + +/* ================================================ PE AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PE_AFSR1_AFSR4 */ + PE_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR4_Enum; + +/* ================================================ PE AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PE_AFSR1_AFSR3 */ + PE_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR3_Enum; + +/* ================================================ PE AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PE_AFSR1_AFSR2 */ + PE_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR2_Enum; + +/* ================================================= PE AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PE_AFSR1_AFSR1 */ + PE_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR1_Enum; + +/* ================================================= PE AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PE_AFSR1_AFSR0 */ + PE_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PE AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR15 */ + PE_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR15_Enum; + +/* =============================================== PE AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR14 */ + PE_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR14_Enum; + +/* =============================================== PE AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR13 */ + PE_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR13_Enum; + +/* =============================================== PE AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR12 */ + PE_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR12_Enum; + +/* =============================================== PE AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR11 */ + PE_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR11_Enum; + +/* ================================================ PE AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PE_AFSR2_AFSR10 */ + PE_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR10_Enum; + +/* ================================================= PE AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PE_AFSR2_AFSR9 */ + PE_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR9_Enum; + +/* ================================================= PE AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PE_AFSR2_AFSR8 */ + PE_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PE_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PE_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PE_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PE_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PE_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PE PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD15 */ + PE_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD15_Enum; + +/* ================================================ PE PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD14 */ + PE_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD14_Enum; + +/* ================================================ PE PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD13 */ + PE_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD13_Enum; + +/* ================================================ PE PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD12 */ + PE_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD12_Enum; + +/* ================================================ PE PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD11 */ + PE_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD11_Enum; + +/* ================================================ PE PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PE_PUPD_PUPD10 */ + PE_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD10_Enum; + +/* ================================================ PE PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PE_PUPD_PUPD9 */ + PE_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD9_Enum; + +/* ================================================ PE PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PE_PUPD_PUPD8 */ + PE_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD8_Enum; + +/* ================================================ PE PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PE_PUPD_PUPD7 */ + PE_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD7_Enum; + +/* ================================================ PE PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PE_PUPD_PUPD6 */ + PE_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD6_Enum; + +/* ================================================ PE PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PE_PUPD_PUPD5 */ + PE_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD5_Enum; + +/* ================================================= PE PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PE_PUPD_PUPD4 */ + PE_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD4_Enum; + +/* ================================================= PE PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PE_PUPD_PUPD3 */ + PE_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD3_Enum; + +/* ================================================= PE PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PE_PUPD_PUPD2 */ + PE_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD2_Enum; + +/* ================================================= PE PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PE_PUPD_PUPD1 */ + PE_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD1_Enum; + +/* ================================================= PE PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PE_PUPD_PUPD0 */ + PE_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PE_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PE_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PE_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PE BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PE_BSR_BSR15 */ + PE_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR15_Enum; + +/* ================================================= PE BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PE_BSR_BSR14 */ + PE_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR14_Enum; + +/* ================================================= PE BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PE_BSR_BSR13 */ + PE_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR13_Enum; + +/* ================================================= PE BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PE_BSR_BSR12 */ + PE_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR12_Enum; + +/* ================================================= PE BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PE_BSR_BSR11 */ + PE_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR11_Enum; + +/* ================================================= PE BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PE_BSR_BSR10 */ + PE_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR10_Enum; + +/* ================================================== PE BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PE_BSR_BSR9 */ + PE_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR9_Enum; + +/* ================================================== PE BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PE_BSR_BSR8 */ + PE_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR8_Enum; + +/* ================================================== PE BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PE_BSR_BSR7 */ + PE_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR7_Enum; + +/* ================================================== PE BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PE_BSR_BSR6 */ + PE_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR6_Enum; + +/* ================================================== PE BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PE_BSR_BSR5 */ + PE_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR5_Enum; + +/* ================================================== PE BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PE_BSR_BSR4 */ + PE_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR4_Enum; + +/* ================================================== PE BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PE_BSR_BSR3 */ + PE_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR3_Enum; + +/* ================================================== PE BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PE_BSR_BSR2 */ + PE_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR2_Enum; + +/* ================================================== PE BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PE_BSR_BSR1 */ + PE_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR1_Enum; + +/* ================================================== PE BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PE_BSR_BSR0 */ + PE_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PE_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PE BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PE_BCR_BCR15 */ + PE_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR15_Enum; + +/* ================================================= PE BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PE_BCR_BCR14 */ + PE_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR14_Enum; + +/* ================================================= PE BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PE_BCR_BCR13 */ + PE_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR13_Enum; + +/* ================================================= PE BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PE_BCR_BCR12 */ + PE_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR12_Enum; + +/* ================================================= PE BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PE_BCR_BCR11 */ + PE_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR11_Enum; + +/* ================================================= PE BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PE_BCR_BCR10 */ + PE_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR10_Enum; + +/* ================================================== PE BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PE_BCR_BCR9 */ + PE_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR9_Enum; + +/* ================================================== PE BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PE_BCR_BCR8 */ + PE_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR8_Enum; + +/* ================================================== PE BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PE_BCR_BCR7 */ + PE_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR7_Enum; + +/* ================================================== PE BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PE_BCR_BCR6 */ + PE_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR6_Enum; + +/* ================================================== PE BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PE_BCR_BCR5 */ + PE_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR5_Enum; + +/* ================================================== PE BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PE_BCR_BCR4 */ + PE_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR4_Enum; + +/* ================================================== PE BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PE_BCR_BCR3 */ + PE_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR3_Enum; + +/* ================================================== PE BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PE_BCR_BCR2 */ + PE_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR2_Enum; + +/* ================================================== PE BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PE_BCR_BCR1 */ + PE_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR1_Enum; + +/* ================================================== PE BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PE_BCR_BCR0 */ + PE_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PE_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PE_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PE OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK15 */ + PE_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PE OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK14 */ + PE_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PE OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK13 */ + PE_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PE OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK12 */ + PE_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PE OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK11 */ + PE_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PE OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK10 */ + PE_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK9 */ + PE_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK8 */ + PE_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK7 */ + PE_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK6 */ + PE_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK5 */ + PE_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK4 */ + PE_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK3 */ + PE_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK2 */ + PE_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK1 */ + PE_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PE OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PE_OUTDMSK_OUTDMSK0 */ + PE_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PE_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PE_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PE DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PE_DBCR_DBCLK */ + PE_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PE_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PE_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PE_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PE_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PE_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PE_DBCR_DBCLK_Enum; + +/* ================================================ PE DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PE_DBCR_DBEN11 */ + PE_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN11_Enum; + +/* ================================================ PE DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PE_DBCR_DBEN10 */ + PE_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN10_Enum; + +/* ================================================= PE DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN9 */ + PE_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN9_Enum; + +/* ================================================= PE DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN8 */ + PE_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN8_Enum; + +/* ================================================= PE DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN7 */ + PE_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN7_Enum; + +/* ================================================= PE DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN6 */ + PE_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN6_Enum; + +/* ================================================= PE DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN5 */ + PE_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN5_Enum; + +/* ================================================= PE DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN4 */ + PE_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN4_Enum; + +/* ================================================= PE DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN3 */ + PE_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN3_Enum; + +/* ================================================= PE DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN2 */ + PE_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN2_Enum; + +/* ================================================= PE DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN1 */ + PE_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN1_Enum; + +/* ================================================= PE DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PE_DBCR_DBEN0 */ + PE_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PE_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PE_DBCR_DBEN0_Enum; + +/* ======================================================== PE_MOD ========================================================= */ +/* ======================================================== PE_TYP ========================================================= */ +/* ======================================================= PE_AFSR1 ======================================================== */ +/* ======================================================= PE_AFSR2 ======================================================== */ +/* ======================================================== PE_PUPD ======================================================== */ +/* ======================================================== PE_INDR ======================================================== */ +/* ======================================================= PE_OUTDR ======================================================== */ +/* ======================================================== PE_BSR ========================================================= */ +/* ======================================================== PE_BCR ========================================================= */ +/* ====================================================== PE_OUTDMSK ======================================================= */ +/* ======================================================== PE_DBCR ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ PF ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== MOD ========================================================== */ +/* ================================================ PF MOD MODE15 [30..31] ================================================= */ +typedef enum { /*!< PF_MOD_MODE15 */ + PF_MOD_MODE15_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE15_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE15_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE15_Enum; + +/* ================================================ PF MOD MODE14 [28..29] ================================================= */ +typedef enum { /*!< PF_MOD_MODE14 */ + PF_MOD_MODE14_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE14_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE14_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE14_Enum; + +/* ================================================ PF MOD MODE13 [26..27] ================================================= */ +typedef enum { /*!< PF_MOD_MODE13 */ + PF_MOD_MODE13_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE13_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE13_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE13_Enum; + +/* ================================================ PF MOD MODE12 [24..25] ================================================= */ +typedef enum { /*!< PF_MOD_MODE12 */ + PF_MOD_MODE12_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE12_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE12_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE12_Enum; + +/* ================================================ PF MOD MODE11 [22..23] ================================================= */ +typedef enum { /*!< PF_MOD_MODE11 */ + PF_MOD_MODE11_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE11_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE11_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE11_Enum; + +/* ================================================ PF MOD MODE10 [20..21] ================================================= */ +typedef enum { /*!< PF_MOD_MODE10 */ + PF_MOD_MODE10_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE10_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE10_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE10_Enum; + +/* ================================================= PF MOD MODE9 [18..19] ================================================= */ +typedef enum { /*!< PF_MOD_MODE9 */ + PF_MOD_MODE9_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE9_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE9_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE9_Enum; + +/* ================================================= PF MOD MODE8 [16..17] ================================================= */ +typedef enum { /*!< PF_MOD_MODE8 */ + PF_MOD_MODE8_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE8_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE8_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE8_Enum; + +/* ================================================= PF MOD MODE7 [14..15] ================================================= */ +typedef enum { /*!< PF_MOD_MODE7 */ + PF_MOD_MODE7_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE7_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE7_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE7_Enum; + +/* ================================================= PF MOD MODE6 [12..13] ================================================= */ +typedef enum { /*!< PF_MOD_MODE6 */ + PF_MOD_MODE6_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE6_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE6_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE6_Enum; + +/* ================================================= PF MOD MODE5 [10..11] ================================================= */ +typedef enum { /*!< PF_MOD_MODE5 */ + PF_MOD_MODE5_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE5_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE5_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE5_Enum; + +/* ================================================== PF MOD MODE4 [8..9] ================================================== */ +typedef enum { /*!< PF_MOD_MODE4 */ + PF_MOD_MODE4_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE4_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE4_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE4_Enum; + +/* ================================================== PF MOD MODE3 [6..7] ================================================== */ +typedef enum { /*!< PF_MOD_MODE3 */ + PF_MOD_MODE3_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE3_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE3_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE3_Enum; + +/* ================================================== PF MOD MODE2 [4..5] ================================================== */ +typedef enum { /*!< PF_MOD_MODE2 */ + PF_MOD_MODE2_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE2_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE2_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE2_Enum; + +/* ================================================== PF MOD MODE1 [2..3] ================================================== */ +typedef enum { /*!< PF_MOD_MODE1 */ + PF_MOD_MODE1_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE1_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE1_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE1_Enum; + +/* ================================================== PF MOD MODE0 [0..1] ================================================== */ +typedef enum { /*!< PF_MOD_MODE0 */ + PF_MOD_MODE0_Input = 0, /*!< Input : Input Mode */ + PF_MOD_MODE0_Output = 1, /*!< Output : Output Mode */ + PF_MOD_MODE0_Alternative = 2, /*!< Alternative : Alternative Function Mode */ +} PF_MOD_MODE0_Enum; + +/* ========================================================== TYP ========================================================== */ +/* ================================================= PF TYP TYP15 [15..15] ================================================= */ +typedef enum { /*!< PF_TYP_TYP15 */ + PF_TYP_TYP15_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP15_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP15_Enum; + +/* ================================================= PF TYP TYP14 [14..14] ================================================= */ +typedef enum { /*!< PF_TYP_TYP14 */ + PF_TYP_TYP14_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP14_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP14_Enum; + +/* ================================================= PF TYP TYP13 [13..13] ================================================= */ +typedef enum { /*!< PF_TYP_TYP13 */ + PF_TYP_TYP13_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP13_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP13_Enum; + +/* ================================================= PF TYP TYP12 [12..12] ================================================= */ +typedef enum { /*!< PF_TYP_TYP12 */ + PF_TYP_TYP12_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP12_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP12_Enum; + +/* ================================================= PF TYP TYP11 [11..11] ================================================= */ +typedef enum { /*!< PF_TYP_TYP11 */ + PF_TYP_TYP11_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP11_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP11_Enum; + +/* ================================================= PF TYP TYP10 [10..10] ================================================= */ +typedef enum { /*!< PF_TYP_TYP10 */ + PF_TYP_TYP10_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP10_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP10_Enum; + +/* ================================================== PF TYP TYP9 [9..9] =================================================== */ +typedef enum { /*!< PF_TYP_TYP9 */ + PF_TYP_TYP9_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP9_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP9_Enum; + +/* ================================================== PF TYP TYP8 [8..8] =================================================== */ +typedef enum { /*!< PF_TYP_TYP8 */ + PF_TYP_TYP8_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP8_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP8_Enum; + +/* ================================================== PF TYP TYP7 [7..7] =================================================== */ +typedef enum { /*!< PF_TYP_TYP7 */ + PF_TYP_TYP7_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP7_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP7_Enum; + +/* ================================================== PF TYP TYP6 [6..6] =================================================== */ +typedef enum { /*!< PF_TYP_TYP6 */ + PF_TYP_TYP6_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP6_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP6_Enum; + +/* ================================================== PF TYP TYP5 [5..5] =================================================== */ +typedef enum { /*!< PF_TYP_TYP5 */ + PF_TYP_TYP5_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP5_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP5_Enum; + +/* ================================================== PF TYP TYP4 [4..4] =================================================== */ +typedef enum { /*!< PF_TYP_TYP4 */ + PF_TYP_TYP4_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP4_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP4_Enum; + +/* ================================================== PF TYP TYP3 [3..3] =================================================== */ +typedef enum { /*!< PF_TYP_TYP3 */ + PF_TYP_TYP3_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP3_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP3_Enum; + +/* ================================================== PF TYP TYP2 [2..2] =================================================== */ +typedef enum { /*!< PF_TYP_TYP2 */ + PF_TYP_TYP2_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP2_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP2_Enum; + +/* ================================================== PF TYP TYP1 [1..1] =================================================== */ +typedef enum { /*!< PF_TYP_TYP1 */ + PF_TYP_TYP1_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP1_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP1_Enum; + +/* ================================================== PF TYP TYP0 [0..0] =================================================== */ +typedef enum { /*!< PF_TYP_TYP0 */ + PF_TYP_TYP0_PushPull = 0, /*!< PushPull : Push-Pull Output */ + PF_TYP_TYP0_OpenDrain = 1, /*!< OpenDrain : Open-Drain Output */ +} PF_TYP_TYP0_Enum; + +/* ========================================================= AFSR1 ========================================================= */ +/* ================================================ PF AFSR1 AFSR7 [28..31] ================================================ */ +typedef enum { /*!< PF_AFSR1_AFSR7 */ + PF_AFSR1_AFSR7_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR7_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR7_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR7_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR7_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR7_Enum; + +/* ================================================ PF AFSR1 AFSR6 [24..27] ================================================ */ +typedef enum { /*!< PF_AFSR1_AFSR6 */ + PF_AFSR1_AFSR6_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR6_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR6_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR6_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR6_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR6_Enum; + +/* ================================================ PF AFSR1 AFSR5 [20..23] ================================================ */ +typedef enum { /*!< PF_AFSR1_AFSR5 */ + PF_AFSR1_AFSR5_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR5_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR5_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR5_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR5_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR5_Enum; + +/* ================================================ PF AFSR1 AFSR4 [16..19] ================================================ */ +typedef enum { /*!< PF_AFSR1_AFSR4 */ + PF_AFSR1_AFSR4_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR4_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR4_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR4_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR4_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR4_Enum; + +/* ================================================ PF AFSR1 AFSR3 [12..15] ================================================ */ +typedef enum { /*!< PF_AFSR1_AFSR3 */ + PF_AFSR1_AFSR3_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR3_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR3_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR3_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR3_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR3_Enum; + +/* ================================================ PF AFSR1 AFSR2 [8..11] ================================================= */ +typedef enum { /*!< PF_AFSR1_AFSR2 */ + PF_AFSR1_AFSR2_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR2_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR2_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR2_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR2_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR2_Enum; + +/* ================================================= PF AFSR1 AFSR1 [4..7] ================================================= */ +typedef enum { /*!< PF_AFSR1_AFSR1 */ + PF_AFSR1_AFSR1_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR1_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR1_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR1_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR1_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR1_Enum; + +/* ================================================= PF AFSR1 AFSR0 [0..3] ================================================= */ +typedef enum { /*!< PF_AFSR1_AFSR0 */ + PF_AFSR1_AFSR0_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR1_AFSR0_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR1_AFSR0_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR1_AFSR0_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR1_AFSR0_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR1_AFSR0_Enum; + +/* ========================================================= AFSR2 ========================================================= */ +/* =============================================== PF AFSR2 AFSR15 [28..31] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR15 */ + PF_AFSR2_AFSR15_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR15_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR15_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR15_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR15_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR15_Enum; + +/* =============================================== PF AFSR2 AFSR14 [24..27] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR14 */ + PF_AFSR2_AFSR14_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR14_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR14_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR14_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR14_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR14_Enum; + +/* =============================================== PF AFSR2 AFSR13 [20..23] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR13 */ + PF_AFSR2_AFSR13_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR13_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR13_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR13_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR13_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR13_Enum; + +/* =============================================== PF AFSR2 AFSR12 [16..19] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR12 */ + PF_AFSR2_AFSR12_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR12_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR12_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR12_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR12_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR12_Enum; + +/* =============================================== PF AFSR2 AFSR11 [12..15] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR11 */ + PF_AFSR2_AFSR11_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR11_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR11_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR11_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR11_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR11_Enum; + +/* ================================================ PF AFSR2 AFSR10 [8..11] ================================================ */ +typedef enum { /*!< PF_AFSR2_AFSR10 */ + PF_AFSR2_AFSR10_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR10_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR10_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR10_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR10_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR10_Enum; + +/* ================================================= PF AFSR2 AFSR9 [4..7] ================================================= */ +typedef enum { /*!< PF_AFSR2_AFSR9 */ + PF_AFSR2_AFSR9_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR9_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR9_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR9_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR9_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR9_Enum; + +/* ================================================= PF AFSR2 AFSR8 [0..3] ================================================= */ +typedef enum { /*!< PF_AFSR2_AFSR8 */ + PF_AFSR2_AFSR8_AF0 = 0, /*!< AF0 : Alternative Function 0 (AF0) */ + PF_AFSR2_AFSR8_AF1 = 1, /*!< AF1 : Alternative Function 1 (AF1) */ + PF_AFSR2_AFSR8_AF2 = 2, /*!< AF2 : Alternative Function 2 (AF2) */ + PF_AFSR2_AFSR8_AF3 = 3, /*!< AF3 : Alternative Function 3 (AF3) */ + PF_AFSR2_AFSR8_AF4 = 4, /*!< AF4 : Alternative Function 4 (AF4) */ +} PF_AFSR2_AFSR8_Enum; + +/* ========================================================= PUPD ========================================================== */ +/* ================================================ PF PUPD PUPD15 [30..31] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD15 */ + PF_PUPD_PUPD15_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD15_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD15_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD15_Enum; + +/* ================================================ PF PUPD PUPD14 [28..29] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD14 */ + PF_PUPD_PUPD14_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD14_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD14_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD14_Enum; + +/* ================================================ PF PUPD PUPD13 [26..27] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD13 */ + PF_PUPD_PUPD13_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD13_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD13_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD13_Enum; + +/* ================================================ PF PUPD PUPD12 [24..25] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD12 */ + PF_PUPD_PUPD12_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD12_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD12_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD12_Enum; + +/* ================================================ PF PUPD PUPD11 [22..23] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD11 */ + PF_PUPD_PUPD11_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD11_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD11_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD11_Enum; + +/* ================================================ PF PUPD PUPD10 [20..21] ================================================ */ +typedef enum { /*!< PF_PUPD_PUPD10 */ + PF_PUPD_PUPD10_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD10_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD10_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD10_Enum; + +/* ================================================ PF PUPD PUPD9 [18..19] ================================================= */ +typedef enum { /*!< PF_PUPD_PUPD9 */ + PF_PUPD_PUPD9_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD9_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD9_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD9_Enum; + +/* ================================================ PF PUPD PUPD8 [16..17] ================================================= */ +typedef enum { /*!< PF_PUPD_PUPD8 */ + PF_PUPD_PUPD8_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD8_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD8_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD8_Enum; + +/* ================================================ PF PUPD PUPD7 [14..15] ================================================= */ +typedef enum { /*!< PF_PUPD_PUPD7 */ + PF_PUPD_PUPD7_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD7_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD7_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD7_Enum; + +/* ================================================ PF PUPD PUPD6 [12..13] ================================================= */ +typedef enum { /*!< PF_PUPD_PUPD6 */ + PF_PUPD_PUPD6_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD6_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD6_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD6_Enum; + +/* ================================================ PF PUPD PUPD5 [10..11] ================================================= */ +typedef enum { /*!< PF_PUPD_PUPD5 */ + PF_PUPD_PUPD5_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD5_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD5_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD5_Enum; + +/* ================================================= PF PUPD PUPD4 [8..9] ================================================== */ +typedef enum { /*!< PF_PUPD_PUPD4 */ + PF_PUPD_PUPD4_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD4_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD4_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD4_Enum; + +/* ================================================= PF PUPD PUPD3 [6..7] ================================================== */ +typedef enum { /*!< PF_PUPD_PUPD3 */ + PF_PUPD_PUPD3_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD3_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD3_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD3_Enum; + +/* ================================================= PF PUPD PUPD2 [4..5] ================================================== */ +typedef enum { /*!< PF_PUPD_PUPD2 */ + PF_PUPD_PUPD2_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD2_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD2_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD2_Enum; + +/* ================================================= PF PUPD PUPD1 [2..3] ================================================== */ +typedef enum { /*!< PF_PUPD_PUPD1 */ + PF_PUPD_PUPD1_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD1_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD1_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD1_Enum; + +/* ================================================= PF PUPD PUPD0 [0..1] ================================================== */ +typedef enum { /*!< PF_PUPD_PUPD0 */ + PF_PUPD_PUPD0_Disable = 0, /*!< Disable : Disable pull-up/down resistor. */ + PF_PUPD_PUPD0_EnablePU = 1, /*!< EnablePU : Enable pull-up resistor. */ + PF_PUPD_PUPD0_EnablePD = 2, /*!< EnablePD : Enable pull-down resistor. */ +} PF_PUPD_PUPD0_Enum; + +/* ========================================================= INDR ========================================================== */ +/* ========================================================= OUTDR ========================================================= */ +/* ========================================================== BSR ========================================================== */ +/* ================================================= PF BSR BSR15 [15..15] ================================================= */ +typedef enum { /*!< PF_BSR_BSR15 */ + PF_BSR_BSR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR15_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR15_Enum; + +/* ================================================= PF BSR BSR14 [14..14] ================================================= */ +typedef enum { /*!< PF_BSR_BSR14 */ + PF_BSR_BSR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR14_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR14_Enum; + +/* ================================================= PF BSR BSR13 [13..13] ================================================= */ +typedef enum { /*!< PF_BSR_BSR13 */ + PF_BSR_BSR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR13_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR13_Enum; + +/* ================================================= PF BSR BSR12 [12..12] ================================================= */ +typedef enum { /*!< PF_BSR_BSR12 */ + PF_BSR_BSR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR12_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR12_Enum; + +/* ================================================= PF BSR BSR11 [11..11] ================================================= */ +typedef enum { /*!< PF_BSR_BSR11 */ + PF_BSR_BSR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR11_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR11_Enum; + +/* ================================================= PF BSR BSR10 [10..10] ================================================= */ +typedef enum { /*!< PF_BSR_BSR10 */ + PF_BSR_BSR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR10_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR10_Enum; + +/* ================================================== PF BSR BSR9 [9..9] =================================================== */ +typedef enum { /*!< PF_BSR_BSR9 */ + PF_BSR_BSR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR9_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR9_Enum; + +/* ================================================== PF BSR BSR8 [8..8] =================================================== */ +typedef enum { /*!< PF_BSR_BSR8 */ + PF_BSR_BSR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR8_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR8_Enum; + +/* ================================================== PF BSR BSR7 [7..7] =================================================== */ +typedef enum { /*!< PF_BSR_BSR7 */ + PF_BSR_BSR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR7_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR7_Enum; + +/* ================================================== PF BSR BSR6 [6..6] =================================================== */ +typedef enum { /*!< PF_BSR_BSR6 */ + PF_BSR_BSR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR6_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR6_Enum; + +/* ================================================== PF BSR BSR5 [5..5] =================================================== */ +typedef enum { /*!< PF_BSR_BSR5 */ + PF_BSR_BSR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR5_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR5_Enum; + +/* ================================================== PF BSR BSR4 [4..4] =================================================== */ +typedef enum { /*!< PF_BSR_BSR4 */ + PF_BSR_BSR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR4_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR4_Enum; + +/* ================================================== PF BSR BSR3 [3..3] =================================================== */ +typedef enum { /*!< PF_BSR_BSR3 */ + PF_BSR_BSR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR3_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR3_Enum; + +/* ================================================== PF BSR BSR2 [2..2] =================================================== */ +typedef enum { /*!< PF_BSR_BSR2 */ + PF_BSR_BSR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR2_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR2_Enum; + +/* ================================================== PF BSR BSR1 [1..1] =================================================== */ +typedef enum { /*!< PF_BSR_BSR1 */ + PF_BSR_BSR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR1_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR1_Enum; + +/* ================================================== PF BSR BSR0 [0..0] =================================================== */ +typedef enum { /*!< PF_BSR_BSR0 */ + PF_BSR_BSR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BSR_BSR0_Set = 1, /*!< Set : Set the corresponding OUTDRx bit (Automatically cleared + to 0.) */ +} PF_BSR_BSR0_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================= PF BCR BCR15 [15..15] ================================================= */ +typedef enum { /*!< PF_BCR_BCR15 */ + PF_BCR_BCR15_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR15_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR15_Enum; + +/* ================================================= PF BCR BCR14 [14..14] ================================================= */ +typedef enum { /*!< PF_BCR_BCR14 */ + PF_BCR_BCR14_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR14_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR14_Enum; + +/* ================================================= PF BCR BCR13 [13..13] ================================================= */ +typedef enum { /*!< PF_BCR_BCR13 */ + PF_BCR_BCR13_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR13_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR13_Enum; + +/* ================================================= PF BCR BCR12 [12..12] ================================================= */ +typedef enum { /*!< PF_BCR_BCR12 */ + PF_BCR_BCR12_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR12_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR12_Enum; + +/* ================================================= PF BCR BCR11 [11..11] ================================================= */ +typedef enum { /*!< PF_BCR_BCR11 */ + PF_BCR_BCR11_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR11_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR11_Enum; + +/* ================================================= PF BCR BCR10 [10..10] ================================================= */ +typedef enum { /*!< PF_BCR_BCR10 */ + PF_BCR_BCR10_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR10_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR10_Enum; + +/* ================================================== PF BCR BCR9 [9..9] =================================================== */ +typedef enum { /*!< PF_BCR_BCR9 */ + PF_BCR_BCR9_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR9_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR9_Enum; + +/* ================================================== PF BCR BCR8 [8..8] =================================================== */ +typedef enum { /*!< PF_BCR_BCR8 */ + PF_BCR_BCR8_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR8_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR8_Enum; + +/* ================================================== PF BCR BCR7 [7..7] =================================================== */ +typedef enum { /*!< PF_BCR_BCR7 */ + PF_BCR_BCR7_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR7_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR7_Enum; + +/* ================================================== PF BCR BCR6 [6..6] =================================================== */ +typedef enum { /*!< PF_BCR_BCR6 */ + PF_BCR_BCR6_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR6_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR6_Enum; + +/* ================================================== PF BCR BCR5 [5..5] =================================================== */ +typedef enum { /*!< PF_BCR_BCR5 */ + PF_BCR_BCR5_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR5_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR5_Enum; + +/* ================================================== PF BCR BCR4 [4..4] =================================================== */ +typedef enum { /*!< PF_BCR_BCR4 */ + PF_BCR_BCR4_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR4_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR4_Enum; + +/* ================================================== PF BCR BCR3 [3..3] =================================================== */ +typedef enum { /*!< PF_BCR_BCR3 */ + PF_BCR_BCR3_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR3_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR3_Enum; + +/* ================================================== PF BCR BCR2 [2..2] =================================================== */ +typedef enum { /*!< PF_BCR_BCR2 */ + PF_BCR_BCR2_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR2_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR2_Enum; + +/* ================================================== PF BCR BCR1 [1..1] =================================================== */ +typedef enum { /*!< PF_BCR_BCR1 */ + PF_BCR_BCR1_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR1_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR1_Enum; + +/* ================================================== PF BCR BCR0 [0..0] =================================================== */ +typedef enum { /*!< PF_BCR_BCR0 */ + PF_BCR_BCR0_NoEffect = 0, /*!< NoEffect : No effect. */ + PF_BCR_BCR0_Clear = 1, /*!< Clear : Clear the corresponding OUTDRx bit. (Automatically cleared + to 0.) */ +} PF_BCR_BCR0_Enum; + +/* ======================================================== OUTDMSK ======================================================== */ +/* ============================================= PF OUTDMSK OUTDMSK15 [15..15] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK15 */ + PF_OUTDMSK_OUTDMSK15_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK15_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK15_Enum; + +/* ============================================= PF OUTDMSK OUTDMSK14 [14..14] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK14 */ + PF_OUTDMSK_OUTDMSK14_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK14_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK14_Enum; + +/* ============================================= PF OUTDMSK OUTDMSK13 [13..13] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK13 */ + PF_OUTDMSK_OUTDMSK13_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK13_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK13_Enum; + +/* ============================================= PF OUTDMSK OUTDMSK12 [12..12] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK12 */ + PF_OUTDMSK_OUTDMSK12_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK12_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK12_Enum; + +/* ============================================= PF OUTDMSK OUTDMSK11 [11..11] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK11 */ + PF_OUTDMSK_OUTDMSK11_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK11_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK11_Enum; + +/* ============================================= PF OUTDMSK OUTDMSK10 [10..10] ============================================= */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK10 */ + PF_OUTDMSK_OUTDMSK10_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK10_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK10_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK9 [9..9] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK9 */ + PF_OUTDMSK_OUTDMSK9_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK9_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK9_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK8 [8..8] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK8 */ + PF_OUTDMSK_OUTDMSK8_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK8_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK8_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK7 [7..7] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK7 */ + PF_OUTDMSK_OUTDMSK7_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK7_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK7_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK6 [6..6] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK6 */ + PF_OUTDMSK_OUTDMSK6_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK6_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK6_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK5 [5..5] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK5 */ + PF_OUTDMSK_OUTDMSK5_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK5_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK5_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK4 [4..4] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK4 */ + PF_OUTDMSK_OUTDMSK4_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK4_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK4_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK3 [3..3] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK3 */ + PF_OUTDMSK_OUTDMSK3_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK3_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK3_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK2 [2..2] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK2 */ + PF_OUTDMSK_OUTDMSK2_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK2_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK2_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK1 [1..1] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK1 */ + PF_OUTDMSK_OUTDMSK1_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK1_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK1_Enum; + +/* ============================================== PF OUTDMSK OUTDMSK0 [0..0] =============================================== */ +typedef enum { /*!< PF_OUTDMSK_OUTDMSK0 */ + PF_OUTDMSK_OUTDMSK0_Unmask = 0, /*!< Unmask : Unmask. The corresponding OUTDRx bit can be changed. */ + PF_OUTDMSK_OUTDMSK0_Mask = 1, /*!< Mask : Mask. The corresponding OUTDRx bit is protected. */ +} PF_OUTDMSK_OUTDMSK0_Enum; + +/* ========================================================= DBCR ========================================================== */ +/* ================================================ PF DBCR DBCLK [16..18] ================================================= */ +typedef enum { /*!< PF_DBCR_DBCLK */ + PF_DBCR_DBCLK_HCLK1 = 0, /*!< HCLK1 : HCLK/1 */ + PF_DBCR_DBCLK_HCLK4 = 1, /*!< HCLK4 : HCLK/4 */ + PF_DBCR_DBCLK_HCLK16 = 2, /*!< HCLK16 : HCLK/16 */ + PF_DBCR_DBCLK_HCLK64 = 3, /*!< HCLK64 : HCLK/64 */ + PF_DBCR_DBCLK_HCLK256 = 4, /*!< HCLK256 : HCLK/256 */ + PF_DBCR_DBCLK_HCLK1024 = 5, /*!< HCLK1024 : HCLK/1024 */ +} PF_DBCR_DBCLK_Enum; + +/* ================================================ PF DBCR DBEN11 [11..11] ================================================ */ +typedef enum { /*!< PF_DBCR_DBEN11 */ + PF_DBCR_DBEN11_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN11_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN11_Enum; + +/* ================================================ PF DBCR DBEN10 [10..10] ================================================ */ +typedef enum { /*!< PF_DBCR_DBEN10 */ + PF_DBCR_DBEN10_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN10_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN10_Enum; + +/* ================================================= PF DBCR DBEN9 [9..9] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN9 */ + PF_DBCR_DBEN9_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN9_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN9_Enum; + +/* ================================================= PF DBCR DBEN8 [8..8] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN8 */ + PF_DBCR_DBEN8_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN8_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN8_Enum; + +/* ================================================= PF DBCR DBEN7 [7..7] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN7 */ + PF_DBCR_DBEN7_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN7_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN7_Enum; + +/* ================================================= PF DBCR DBEN6 [6..6] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN6 */ + PF_DBCR_DBEN6_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN6_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN6_Enum; + +/* ================================================= PF DBCR DBEN5 [5..5] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN5 */ + PF_DBCR_DBEN5_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN5_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN5_Enum; + +/* ================================================= PF DBCR DBEN4 [4..4] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN4 */ + PF_DBCR_DBEN4_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN4_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN4_Enum; + +/* ================================================= PF DBCR DBEN3 [3..3] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN3 */ + PF_DBCR_DBEN3_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN3_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN3_Enum; + +/* ================================================= PF DBCR DBEN2 [2..2] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN2 */ + PF_DBCR_DBEN2_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN2_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN2_Enum; + +/* ================================================= PF DBCR DBEN1 [1..1] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN1 */ + PF_DBCR_DBEN1_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN1_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN1_Enum; + +/* ================================================= PF DBCR DBEN0 [0..0] ================================================== */ +typedef enum { /*!< PF_DBCR_DBEN0 */ + PF_DBCR_DBEN0_Disable = 0, /*!< Disable : Disable debounce filter. */ + PF_DBCR_DBEN0_Enable = 1, /*!< Enable : Enable debounce filter. */ +} PF_DBCR_DBEN0_Enum; + +/* ======================================================== PF_MOD ========================================================= */ +/* ======================================================== PF_TYP ========================================================= */ +/* ======================================================= PF_AFSR1 ======================================================== */ +/* ======================================================= PF_AFSR2 ======================================================== */ +/* ======================================================== PF_PUPD ======================================================== */ +/* ======================================================== PF_INDR ======================================================== */ +/* ======================================================= PF_OUTDR ======================================================== */ +/* ======================================================== PF_BSR ========================================================= */ +/* ======================================================== PF_BCR ========================================================= */ +/* ====================================================== PF_OUTDMSK ======================================================= */ + + +/* =========================================================================================================================== */ +/* ================ FMC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== ADR ========================================================== */ +/* ========================================================= IDR1 ========================================================== */ +/* ========================================================= IDR2 ========================================================== */ +/* ========================================================== CR =========================================================== */ +/* ================================================ FMC CR WTIDKY [16..31] ================================================= */ +typedef enum { /*!< FMC_CR_WTIDKY */ + FMC_CR_WTIDKY_Value = 27795, /*!< Value : Key Value (0x6c93) */ +} FMC_CR_WTIDKY_Enum; + +/* ========================================================== BCR ========================================================== */ +/* ================================================ FMC BCR WTIDKY [16..31] ================================================ */ +typedef enum { /*!< FMC_BCR_WTIDKY */ + FMC_BCR_WTIDKY_Value = 49598, /*!< Value : Key Value (0xc1be) */ +} FMC_BCR_WTIDKY_Enum; + +/* ======================================================== ERFLAG ========================================================= */ +/* ======================================================== PAGEBUF ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ WDT ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ================================================ WDT CR WTIDKY [16..31] ================================================= */ +typedef enum { /*!< WDT_CR_WTIDKY */ + WDT_CR_WTIDKY_Value = 23145, /*!< Value : Key Value (0x5a69) */ +} WDT_CR_WTIDKY_Enum; + +/* ================================================= WDT CR RSTEN [10..15] ================================================= */ +typedef enum { /*!< WDT_CR_RSTEN */ + WDT_CR_RSTEN_Disable = 37, /*!< Disable : Disable Watch-Dog Timer reset. (0x25) */ + WDT_CR_RSTEN_Enable = 0, /*!< Enable : Enable Watch-Dog Timer reset. */ +} WDT_CR_RSTEN_Enum; + +/* ================================================== WDT CR CNTEN [4..9] ================================================== */ +typedef enum { /*!< WDT_CR_CNTEN */ + WDT_CR_CNTEN_Disable = 26, /*!< Disable : Disable Watch-Dog Timer counter. (0x1a) */ + WDT_CR_CNTEN_Enable = 0, /*!< Enable : Enable Watch-Dog Timer counter. */ +} WDT_CR_CNTEN_Enum; + +/* ================================================= WDT CR WINMIEN [3..3] ================================================= */ +typedef enum { /*!< WDT_CR_WINMIEN */ + WDT_CR_WINMIEN_Disable = 0, /*!< Disable : Disable window data match interrupt. */ + WDT_CR_WINMIEN_Enable = 1, /*!< Enable : Enable window data match interrupt. */ +} WDT_CR_WINMIEN_Enum; + +/* ================================================= WDT CR UNFIEN [2..2] ================================================== */ +typedef enum { /*!< WDT_CR_UNFIEN */ + WDT_CR_UNFIEN_Disable = 0, /*!< Disable : Disable Watch-Dog Timer underflow interrupt. */ + WDT_CR_UNFIEN_Enable = 1, /*!< Enable : Enable Watch-Dog Timer underflow interrupt. */ +} WDT_CR_UNFIEN_Enum; + +/* ================================================= WDT CR CLKDIV [0..1] ================================================== */ +typedef enum { /*!< WDT_CR_CLKDIV */ + WDT_CR_CLKDIV_fWDT4 = 0, /*!< fWDT4 : fWDT/4 */ + WDT_CR_CLKDIV_fWDT16 = 1, /*!< fWDT16 : fWDT/16 */ + WDT_CR_CLKDIV_fWDT64 = 2, /*!< fWDT64 : fWDT/64 */ + WDT_CR_CLKDIV_fWDT256 = 3, /*!< fWDT256 : fWDT/256 */ +} WDT_CR_CLKDIV_Enum; + +/* ========================================================== SR =========================================================== */ +/* ================================================ WDT SR DBGCNTEN [7..7] ================================================= */ +typedef enum { /*!< WDT_SR_DBGCNTEN */ + WDT_SR_DBGCNTEN_Run = 0, /*!< Run : The Watch-Dog Timer counter continues even if the core + is halted */ + WDT_SR_DBGCNTEN_Stop = 1, /*!< Stop : The Watch-Dog Timer counter is stopped when the core + is halted */ +} WDT_SR_DBGCNTEN_Enum; + +/* ================================================ WDT SR WINMIFLAG [1..1] ================================================ */ +typedef enum { /*!< WDT_SR_WINMIFLAG */ + WDT_SR_WINMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + WDT_SR_WINMIFLAG_Request = 1, /*!< Request : Request occurred. */ +} WDT_SR_WINMIFLAG_Enum; + +/* ================================================ WDT SR UNFIFLAG [0..0] ================================================= */ +typedef enum { /*!< WDT_SR_UNFIFLAG */ + WDT_SR_UNFIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + WDT_SR_UNFIFLAG_Request = 1, /*!< Request : Request occurred. */ +} WDT_SR_UNFIFLAG_Enum; + +/* ========================================================== DR =========================================================== */ +/* ========================================================== CNT ========================================================== */ +/* ========================================================= WINDR ========================================================= */ +/* ========================================================= CNTR ========================================================== */ +/* ================================================= WDT CNTR CNTR [0..7] ================================================== */ +typedef enum { /*!< WDT_CNTR_CNTR */ + WDT_CNTR_CNTR_Reload = 106, /*!< Reload : Reload the WDTDR value to Watch-Dog Timer counter and + re-start. (0x6a) (Automatically cleared to '0x00' after + operation.) */ + WDT_CNTR_CNTR_NoEffect = 0, /*!< NoEffect : No effect. */ +} WDT_CNTR_CNTR_Enum; + + + +/* =========================================================================================================================== */ +/* ================ WT ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* =================================================== WT CR WTEN [7..7] =================================================== */ +typedef enum { /*!< WT_CR_WTEN */ + WT_CR_WTEN_Disable = 0, /*!< Disable : Disable watch timer operation. */ + WT_CR_WTEN_Enable = 1, /*!< Enable : Enable watch timer operation. */ +} WT_CR_WTEN_Enum; + +/* ================================================== WT CR WTINTV [4..5] ================================================== */ +typedef enum { /*!< WT_CR_WTINTV */ + WT_CR_WTINTV_fWT2Pow7 = 0, /*!< fWT2Pow7 : fWT/2^7 */ + WT_CR_WTINTV_fWT2Pow13 = 1, /*!< fWT2Pow13 : fWT/2^13 */ + WT_CR_WTINTV_fWT2Pow14 = 2, /*!< fWT2Pow14 : fWT/2^14 */ + WT_CR_WTINTV_fWT2Pow14DR = 3, /*!< fWT2Pow14DR : fWT/(2^14x(WTDR value + 1)) */ +} WT_CR_WTINTV_Enum; + +/* ================================================== WT CR WTIEN [3..3] =================================================== */ +typedef enum { /*!< WT_CR_WTIEN */ + WT_CR_WTIEN_Disable = 0, /*!< Disable : Disable watch timer interrupt. */ + WT_CR_WTIEN_Enable = 1, /*!< Enable : Enable watch timer interrupt. */ +} WT_CR_WTIEN_Enum; + +/* ================================================= WT CR WTIFLAG [1..1] ================================================== */ +typedef enum { /*!< WT_CR_WTIFLAG */ + WT_CR_WTIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + WT_CR_WTIFLAG_Request = 1, /*!< Request : Request occurred. */ +} WT_CR_WTIFLAG_Enum; + +/* ================================================== WT CR WTCLR [0..0] =================================================== */ +typedef enum { /*!< WT_CR_WTCLR */ + WT_CR_WTCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + WT_CR_WTCLR_Clear = 1, /*!< Clear : Clear the counter and divider. (Automatically cleared + to '0b' after operation) */ +} WT_CR_WTCLR_Enum; + +/* ========================================================== DR =========================================================== */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER1n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER10 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER11 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER12 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER13 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER14 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER15 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER16 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER2n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ TIMER20 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ +/* ====================================================== TIMER20_CR ======================================================= */ + + +/* =========================================================================================================================== */ +/* ================ TIMER21 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ +/* ====================================================== TIMER21_CR ======================================================= */ + + +/* =========================================================================================================================== */ +/* ================ TIMER3n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* =============================================== TIMER3n CR T3nEN [15..15] =============================================== */ +typedef enum { /*!< TIMER3n_CR_T3nEN */ + TIMER3n_CR_T3nEN_Disable = 0, /*!< Disable : Disable TIMER3n Operation. */ + TIMER3n_CR_T3nEN_Enable = 1, /*!< Enable : Enable TIMER3n Operation. (Counter Clear and Start) */ +} TIMER3n_CR_T3nEN_Enum; + +/* ============================================== TIMER3n CR T3nCLK [14..14] =============================================== */ +typedef enum { /*!< TIMER3n_CR_T3nCLK */ + TIMER3n_CR_T3nCLK_IntPrescaledClock = 0, /*!< IntPrescaledClock : Select an Internal Prescaler Clock. */ + TIMER3n_CR_T3nCLK_ExtClock = 1, /*!< ExtClock : Select an External Clock. */ +} TIMER3n_CR_T3nCLK_Enum; + +/* =============================================== TIMER3n CR T3nMS [12..13] =============================================== */ +typedef enum { /*!< TIMER3n_CR_T3nMS */ + TIMER3n_CR_T3nMS_IntervalMode = 0, /*!< IntervalMode : Interval mode. (All match interrupts can occur) */ + TIMER3n_CR_T3nMS_CaptureMode = 1, /*!< CaptureMode : Capture mode. (The Period-match interrupt can + occur) */ + TIMER3n_CR_T3nMS_BackToBackMode = 2, /*!< BackToBackMode : Back-to-back mode. (All interrupts can occur) */ +} TIMER3n_CR_T3nMS_Enum; + +/* ============================================== TIMER3n CR T3nECE [11..11] =============================================== */ +typedef enum { /*!< TIMER3n_CR_T3nECE */ + TIMER3n_CR_T3nECE_FallingEdge = 0, /*!< FallingEdge : Select falling edge of external clock. */ + TIMER3n_CR_T3nECE_RisingEdge = 1, /*!< RisingEdge : Select rising edge of external clock. */ +} TIMER3n_CR_T3nECE_Enum; + +/* =============================================== TIMER3n CR FORCA [10..10] =============================================== */ +typedef enum { /*!< TIMER3n_CR_FORCA */ + TIMER3n_CR_FORCA_AllChannelMode = 0, /*!< AllChannelMode : 6-Channel mode. (The PWM3nxA/PWM3nxB pins are + outputs according to the TIMER30_xDR registers, respectively.) */ + TIMER3n_CR_FORCA_AChannelMode = 1, /*!< AChannelMode : Force A-Channel mode. (All PWM3nxA/PWM3nxB pins + are outputs according only to the TIMER30_ADR register.) */ +} TIMER3n_CR_FORCA_Enum; + +/* ================================================ TIMER3n CR DLYEN [9..9] ================================================ */ +typedef enum { /*!< TIMER3n_CR_DLYEN */ + TIMER3n_CR_DLYEN_Disable = 0, /*!< Disable : Disable delay time insertion to the PWM3nxA/PWM3nxB. */ + TIMER3n_CR_DLYEN_Enable = 1, /*!< Enable : Enable delay time insertion to the PWM3nxA/PWM3nxB. */ +} TIMER3n_CR_DLYEN_Enum; + +/* =============================================== TIMER3n CR DLYPOS [8..8] ================================================ */ +typedef enum { /*!< TIMER3n_CR_DLYPOS */ + TIMER3n_CR_DLYPOS_FrontABehindB = 0, /*!< FrontABehindB : Insert in front of PWM3nxA and behind PWM3nxB + pins. */ + TIMER3n_CR_DLYPOS_BehindAFrontB = 1, /*!< BehindAFrontB : Insert behind PWM3nxA and in front of PWM3nxB + pins. */ +} TIMER3n_CR_DLYPOS_Enum; + +/* =============================================== TIMER3n CR T3nCPOL [6..7] =============================================== */ +typedef enum { /*!< TIMER3n_CR_T3nCPOL */ + TIMER3n_CR_T3nCPOL_FallingEdge = 0, /*!< FallingEdge : Capture on falling edge. */ + TIMER3n_CR_T3nCPOL_RisingEdge = 1, /*!< RisingEdge : Capture on rising edge. */ + TIMER3n_CR_T3nCPOL_BothEdge = 2, /*!< BothEdge : Capture on both falling and rising edge. */ +} TIMER3n_CR_T3nCPOL_Enum; + +/* ================================================ TIMER3n CR UPDT [4..5] ================================================= */ +typedef enum { /*!< TIMER3n_CR_UPDT */ + TIMER3n_CR_UPDT_AtWriting = 0, /*!< AtWriting : Update data to buffer at the time of writing. */ + TIMER3n_CR_UPDT_AtPeriodMatch = 1, /*!< AtPeriodMatch : Update data to buffer at period match. */ + TIMER3n_CR_UPDT_AtBottom = 2, /*!< AtBottom : Update data to buffer at bottom. */ +} TIMER3n_CR_UPDT_Enum; + +/* ================================================ TIMER3n CR PMOC [1..3] ================================================= */ +typedef enum { /*!< TIMER3n_CR_PMOC */ + TIMER3n_CR_PMOC_Every1PeriodMatch = 0, /*!< Every1PeriodMatch : Once every 1 period match. */ + TIMER3n_CR_PMOC_Every2PeriodMatch = 1, /*!< Every2PeriodMatch : Once every 2 period match. */ + TIMER3n_CR_PMOC_Every3PeriodMatch = 2, /*!< Every3PeriodMatch : Once every 3 period match. */ + TIMER3n_CR_PMOC_Every4PeriodMatch = 3, /*!< Every4PeriodMatch : Once every 4 period match. */ + TIMER3n_CR_PMOC_Every5PeriodMatch = 4, /*!< Every5PeriodMatch : Once every 5 period match. */ + TIMER3n_CR_PMOC_Every6PeriodMatch = 5, /*!< Every6PeriodMatch : Once every 6 period match. */ + TIMER3n_CR_PMOC_Every7PeriodMatch = 6, /*!< Every7PeriodMatch : Once every 7 period match. */ + TIMER3n_CR_PMOC_Every8PeriodMatch = 7, /*!< Every8PeriodMatch : Once every 8 period match. */ +} TIMER3n_CR_PMOC_Enum; + +/* =============================================== TIMER3n CR T3nCLR [0..0] ================================================ */ +typedef enum { /*!< TIMER3n_CR_T3nCLR */ + TIMER3n_CR_T3nCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER3n_CR_T3nCLR_Clear = 1, /*!< Clear : Clear TIMER3n counter and prescaler. (Automatically + cleared to '0b' after operation) */ +} TIMER3n_CR_T3nCLR_Enum; + +/* ========================================================== PDR ========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== CDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ +/* ========================================================= OUTCR ========================================================= */ +/* ============================================== TIMER3n OUTCR POLB [15..15] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_POLB */ + TIMER3n_OUTCR_POLB_StartLow = 0, /*!< StartLow : Low level start. (The PWM3nxB pins are started with + low level after counting.) */ + TIMER3n_OUTCR_POLB_StartHigh = 1, /*!< StartHigh : High level start. (The PWM3nxB pins are started + with high level after counting) */ +} TIMER3n_OUTCR_POLB_Enum; + +/* ============================================== TIMER3n OUTCR POLA [14..14] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_POLA */ + TIMER3n_OUTCR_POLA_StartLow = 0, /*!< StartLow : Low level start. (The PWM3nxA pins are started with + low level after counting.) */ + TIMER3n_OUTCR_POLA_StartHigh = 1, /*!< StartHigh : High level start. (The PWM3nxA pins are started + with high level after counting) */ +} TIMER3n_OUTCR_POLA_Enum; + +/* ============================================= TIMER3n OUTCR PABOE [13..13] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PABOE */ + TIMER3n_OUTCR_PABOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PABOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PABOE_Enum; + +/* ============================================= TIMER3n OUTCR PBBOE [12..12] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PBBOE */ + TIMER3n_OUTCR_PBBOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PBBOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PBBOE_Enum; + +/* ============================================= TIMER3n OUTCR PCBOE [11..11] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PCBOE */ + TIMER3n_OUTCR_PCBOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PCBOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PCBOE_Enum; + +/* ============================================= TIMER3n OUTCR PAAOE [10..10] ============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PAAOE */ + TIMER3n_OUTCR_PAAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PAAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PAAOE_Enum; + +/* ============================================== TIMER3n OUTCR PBAOE [9..9] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PBAOE */ + TIMER3n_OUTCR_PBAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PBAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PBAOE_Enum; + +/* ============================================== TIMER3n OUTCR PCAOE [8..8] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_PCAOE */ + TIMER3n_OUTCR_PCAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER3n_OUTCR_PCAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER3n_OUTCR_PCAOE_Enum; + +/* ============================================== TIMER3n OUTCR LVLAB [6..6] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLAB */ + TIMER3n_OUTCR_LVLAB_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLAB_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLAB_Enum; + +/* ============================================== TIMER3n OUTCR LVLBB [5..5] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLBB */ + TIMER3n_OUTCR_LVLBB_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLBB_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLBB_Enum; + +/* ============================================== TIMER3n OUTCR LVLCB [4..4] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLCB */ + TIMER3n_OUTCR_LVLCB_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLCB_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLCB_Enum; + +/* ============================================== TIMER3n OUTCR LVLAA [2..2] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLAA */ + TIMER3n_OUTCR_LVLAA_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLAA_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLAA_Enum; + +/* ============================================== TIMER3n OUTCR LVLBA [1..1] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLBA */ + TIMER3n_OUTCR_LVLBA_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLBA_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLBA_Enum; + +/* ============================================== TIMER3n OUTCR LVLCA [0..0] =============================================== */ +typedef enum { /*!< TIMER3n_OUTCR_LVLCA */ + TIMER3n_OUTCR_LVLCA_Low = 0, /*!< Low : Low level. */ + TIMER3n_OUTCR_LVLCA_High = 1, /*!< High : High level. */ +} TIMER3n_OUTCR_LVLCA_Enum; + +/* ========================================================== DLY ========================================================== */ +/* ========================================================= INTCR ========================================================= */ +/* ============================================== TIMER3n INTCR HIZIEN [6..6] ============================================== */ +typedef enum { /*!< TIMER3n_INTCR_HIZIEN */ + TIMER3n_INTCR_HIZIEN_Disable = 0, /*!< Disable : Disable TIMER3n output high-impedance interrupt. */ + TIMER3n_INTCR_HIZIEN_Enable = 1, /*!< Enable : Enable TIMER3n output high-impedance interrupt. */ +} TIMER3n_INTCR_HIZIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nCIEN [5..5] ============================================== */ +typedef enum { /*!< TIMER3n_INTCR_T3nCIEN */ + TIMER3n_INTCR_T3nCIEN_Disable = 0, /*!< Disable : Disable TIMER3n capture interrupt. */ + TIMER3n_INTCR_T3nCIEN_Enable = 1, /*!< Enable : Enable TIMER3n capture interrupt. */ +} TIMER3n_INTCR_T3nCIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nBTIEN [4..4] ============================================= */ +typedef enum { /*!< TIMER3n_INTCR_T3nBTIEN */ + TIMER3n_INTCR_T3nBTIEN_Disable = 0, /*!< Disable : Disable TIMER3n bottom interrupt. */ + TIMER3n_INTCR_T3nBTIEN_Enable = 1, /*!< Enable : Enable TIMER3n bottom interrupt. */ +} TIMER3n_INTCR_T3nBTIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nPMIEN [3..3] ============================================= */ +typedef enum { /*!< TIMER3n_INTCR_T3nPMIEN */ + TIMER3n_INTCR_T3nPMIEN_Disable = 0, /*!< Disable : Disable TIMER3n period interrupt. */ + TIMER3n_INTCR_T3nPMIEN_Enable = 1, /*!< Enable : Enable TIMER3n period interrupt. */ +} TIMER3n_INTCR_T3nPMIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nAMIEN [2..2] ============================================= */ +typedef enum { /*!< TIMER3n_INTCR_T3nAMIEN */ + TIMER3n_INTCR_T3nAMIEN_Disable = 0, /*!< Disable : Disable TIMER3n A-ch match interrupt. */ + TIMER3n_INTCR_T3nAMIEN_Enable = 1, /*!< Enable : Enable TIMER3n A-ch match interrupt. */ +} TIMER3n_INTCR_T3nAMIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nBMIEN [1..1] ============================================= */ +typedef enum { /*!< TIMER3n_INTCR_T3nBMIEN */ + TIMER3n_INTCR_T3nBMIEN_Disable = 0, /*!< Disable : Disable TIMER3n B-ch match interrupt. */ + TIMER3n_INTCR_T3nBMIEN_Enable = 1, /*!< Enable : Enable TIMER3n B-ch match interrupt. */ +} TIMER3n_INTCR_T3nBMIEN_Enum; + +/* ============================================= TIMER3n INTCR T3nCMIEN [0..0] ============================================= */ +typedef enum { /*!< TIMER3n_INTCR_T3nCMIEN */ + TIMER3n_INTCR_T3nCMIEN_Disable = 0, /*!< Disable : Disable TIMER3n C-ch match interrupt. */ + TIMER3n_INTCR_T3nCMIEN_Enable = 1, /*!< Enable : Enable TIMER3n C-ch match interrupt. */ +} TIMER3n_INTCR_T3nCMIEN_Enum; + +/* ======================================================== INTFLAG ======================================================== */ +/* ============================================ TIMER3n INTFLAG HIZIFLAG [6..6] ============================================ */ +typedef enum { /*!< TIMER3n_INTFLAG_HIZIFLAG */ + TIMER3n_INTFLAG_HIZIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_HIZIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_HIZIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nCIFLAG [5..5] ============================================ */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nCIFLAG */ + TIMER3n_INTFLAG_T3nCIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nCIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nCIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nBTIFLAG [4..4] =========================================== */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nBTIFLAG */ + TIMER3n_INTFLAG_T3nBTIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nBTIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nBTIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nPMIFLAG [3..3] =========================================== */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nPMIFLAG */ + TIMER3n_INTFLAG_T3nPMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nPMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nPMIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nAMIFLAG [2..2] =========================================== */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nAMIFLAG */ + TIMER3n_INTFLAG_T3nAMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nAMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nAMIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nBMIFLAG [1..1] =========================================== */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nBMIFLAG */ + TIMER3n_INTFLAG_T3nBMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nBMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nBMIFLAG_Enum; + +/* =========================================== TIMER3n INTFLAG T3nCMIFLAG [0..0] =========================================== */ +typedef enum { /*!< TIMER3n_INTFLAG_T3nCMIFLAG */ + TIMER3n_INTFLAG_T3nCMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER3n_INTFLAG_T3nCMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER3n_INTFLAG_T3nCMIFLAG_Enum; + +/* ========================================================= HIZCR ========================================================= */ +/* ============================================== TIMER3n HIZCR HIZEN [7..7] =============================================== */ +typedef enum { /*!< TIMER3n_HIZCR_HIZEN */ + TIMER3n_HIZCR_HIZEN_Disable = 0, /*!< Disable : Disable to control the output high-impedance. */ + TIMER3n_HIZCR_HIZEN_Enable = 1, /*!< Enable : Enable to control the output high-impedance. */ +} TIMER3n_HIZCR_HIZEN_Enum; + +/* ============================================== TIMER3n HIZCR HIZSW [4..4] =============================================== */ +typedef enum { /*!< TIMER3n_HIZCR_HIZSW */ + TIMER3n_HIZCR_HIZSW_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER3n_HIZCR_HIZSW_HiZ = 1, /*!< HiZ : PWM3nxA/PWM3nxB pins go into high impedance. (Automatically + cleared to '0' after operation) */ +} TIMER3n_HIZCR_HIZSW_Enum; + +/* ============================================== TIMER3n HIZCR HEDGE [2..2] =============================================== */ +typedef enum { /*!< TIMER3n_HIZCR_HEDGE */ + TIMER3n_HIZCR_HEDGE_FallingEdge = 0, /*!< FallingEdge : Falling edge of the BLNK pin. */ + TIMER3n_HIZCR_HEDGE_RisingEdge = 1, /*!< RisingEdge : Rising edge of the BLNK pin. */ +} TIMER3n_HIZCR_HEDGE_Enum; + +/* ============================================== TIMER3n HIZCR HIZSTA [1..1] ============================================== */ +typedef enum { /*!< TIMER3n_HIZCR_HIZSTA */ + TIMER3n_HIZCR_HIZSTA_NoHiZ = 0, /*!< NoHiZ : Indicates that the pins are not under a Hi-Z state. */ + TIMER3n_HIZCR_HIZSTA_HiZ = 1, /*!< HiZ : Indicates that the pins are under a Hi-Z state. */ +} TIMER3n_HIZCR_HIZSTA_Enum; + +/* ============================================== TIMER3n HIZCR HIZCLR [0..0] ============================================== */ +typedef enum { /*!< TIMER3n_HIZCR_HIZCLR */ + TIMER3n_HIZCR_HIZCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER3n_HIZCR_HIZCLR_Clear = 1, /*!< Clear : Clear high-impedance output. (The PWM3nxA/PWM3nxB pins + returns as output and this bit is automatically cleared + to '0' after operation.) */ +} TIMER3n_HIZCR_HIZCLR_Enum; + +/* ========================================================= ADTCR ========================================================= */ +/* ============================================= TIMER3n ADTCR T3nBTTG [4..4] ============================================== */ +typedef enum { /*!< TIMER3n_ADTCR_T3nBTTG */ + TIMER3n_ADTCR_T3nBTTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by bottom. */ + TIMER3n_ADTCR_T3nBTTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by bottom. */ +} TIMER3n_ADTCR_T3nBTTG_Enum; + +/* ============================================= TIMER3n ADTCR T3nPMTG [3..3] ============================================== */ +typedef enum { /*!< TIMER3n_ADTCR_T3nPMTG */ + TIMER3n_ADTCR_T3nPMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by period match. */ + TIMER3n_ADTCR_T3nPMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by period match. */ +} TIMER3n_ADTCR_T3nPMTG_Enum; + +/* ============================================= TIMER3n ADTCR T3nAMTG [2..2] ============================================== */ +typedef enum { /*!< TIMER3n_ADTCR_T3nAMTG */ + TIMER3n_ADTCR_T3nAMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by A-ch match. */ + TIMER3n_ADTCR_T3nAMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by A-ch match. */ +} TIMER3n_ADTCR_T3nAMTG_Enum; + +/* ============================================= TIMER3n ADTCR T3nBMTG [1..1] ============================================== */ +typedef enum { /*!< TIMER3n_ADTCR_T3nBMTG */ + TIMER3n_ADTCR_T3nBMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by B-ch match. */ + TIMER3n_ADTCR_T3nBMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by B-ch match. */ +} TIMER3n_ADTCR_T3nBMTG_Enum; + +/* ============================================= TIMER3n ADTCR T3nCMTG [0..0] ============================================== */ +typedef enum { /*!< TIMER3n_ADTCR_T3nCMTG */ + TIMER3n_ADTCR_T3nCMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by C-ch match. */ + TIMER3n_ADTCR_T3nCMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by C-ch match. */ +} TIMER3n_ADTCR_T3nCMTG_Enum; + +/* ========================================================= ADTDR ========================================================= */ + + +/* =========================================================================================================================== */ +/* ================ TIMER30 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* =============================================== TIMER30 CR T3nEN [15..15] =============================================== */ +typedef enum { /*!< TIMER30_CR_T3nEN */ + TIMER30_CR_T3nEN_Disable = 0, /*!< Disable : Disable TIMER3n Operation. */ + TIMER30_CR_T3nEN_Enable = 1, /*!< Enable : Enable TIMER3n Operation. (Counter Clear and Start) */ +} TIMER30_CR_T3nEN_Enum; + +/* ============================================== TIMER30 CR T3nCLK [14..14] =============================================== */ +typedef enum { /*!< TIMER30_CR_T3nCLK */ + TIMER30_CR_T3nCLK_IntPrescaledClock = 0, /*!< IntPrescaledClock : Select an Internal Prescaler Clock. */ + TIMER30_CR_T3nCLK_ExtClock = 1, /*!< ExtClock : Select an External Clock. */ +} TIMER30_CR_T3nCLK_Enum; + +/* =============================================== TIMER30 CR T3nMS [12..13] =============================================== */ +typedef enum { /*!< TIMER30_CR_T3nMS */ + TIMER30_CR_T3nMS_IntervalMode = 0, /*!< IntervalMode : Interval mode. (All match interrupts can occur) */ + TIMER30_CR_T3nMS_CaptureMode = 1, /*!< CaptureMode : Capture mode. (The Period-match interrupt can + occur) */ + TIMER30_CR_T3nMS_BackToBackMode = 2, /*!< BackToBackMode : Back-to-back mode. (All interrupts can occur) */ +} TIMER30_CR_T3nMS_Enum; + +/* ============================================== TIMER30 CR T3nECE [11..11] =============================================== */ +typedef enum { /*!< TIMER30_CR_T3nECE */ + TIMER30_CR_T3nECE_FallingEdge = 0, /*!< FallingEdge : Select falling edge of external clock. */ + TIMER30_CR_T3nECE_RisingEdge = 1, /*!< RisingEdge : Select rising edge of external clock. */ +} TIMER30_CR_T3nECE_Enum; + +/* =============================================== TIMER30 CR FORCA [10..10] =============================================== */ +typedef enum { /*!< TIMER30_CR_FORCA */ + TIMER30_CR_FORCA_AllChannelMode = 0, /*!< AllChannelMode : 6-Channel mode. (The PWM3nxA/PWM3nxB pins are + outputs according to the TIMER30_xDR registers, respectively.) */ + TIMER30_CR_FORCA_AChannelMode = 1, /*!< AChannelMode : Force A-Channel mode. (All PWM3nxA/PWM3nxB pins + are outputs according only to the TIMER30_ADR register.) */ +} TIMER30_CR_FORCA_Enum; + +/* ================================================ TIMER30 CR DLYEN [9..9] ================================================ */ +typedef enum { /*!< TIMER30_CR_DLYEN */ + TIMER30_CR_DLYEN_Disable = 0, /*!< Disable : Disable delay time insertion to the PWM3nxA/PWM3nxB. */ + TIMER30_CR_DLYEN_Enable = 1, /*!< Enable : Enable delay time insertion to the PWM3nxA/PWM3nxB. */ +} TIMER30_CR_DLYEN_Enum; + +/* =============================================== TIMER30 CR DLYPOS [8..8] ================================================ */ +typedef enum { /*!< TIMER30_CR_DLYPOS */ + TIMER30_CR_DLYPOS_FrontABehindB = 0, /*!< FrontABehindB : Insert in front of PWM3nxA and behind PWM3nxB + pins. */ + TIMER30_CR_DLYPOS_BehindAFrontB = 1, /*!< BehindAFrontB : Insert behind PWM3nxA and in front of PWM3nxB + pins. */ +} TIMER30_CR_DLYPOS_Enum; + +/* =============================================== TIMER30 CR T3nCPOL [6..7] =============================================== */ +typedef enum { /*!< TIMER30_CR_T3nCPOL */ + TIMER30_CR_T3nCPOL_FallingEdge = 0, /*!< FallingEdge : Capture on falling edge. */ + TIMER30_CR_T3nCPOL_RisingEdge = 1, /*!< RisingEdge : Capture on rising edge. */ + TIMER30_CR_T3nCPOL_BothEdge = 2, /*!< BothEdge : Capture on both falling and rising edge. */ +} TIMER30_CR_T3nCPOL_Enum; + +/* ================================================ TIMER30 CR UPDT [4..5] ================================================= */ +typedef enum { /*!< TIMER30_CR_UPDT */ + TIMER30_CR_UPDT_AtWriting = 0, /*!< AtWriting : Update data to buffer at the time of writing. */ + TIMER30_CR_UPDT_AtPeriodMatch = 1, /*!< AtPeriodMatch : Update data to buffer at period match. */ + TIMER30_CR_UPDT_AtBottom = 2, /*!< AtBottom : Update data to buffer at bottom. */ +} TIMER30_CR_UPDT_Enum; + +/* ================================================ TIMER30 CR PMOC [1..3] ================================================= */ +typedef enum { /*!< TIMER30_CR_PMOC */ + TIMER30_CR_PMOC_Every1PeriodMatch = 0, /*!< Every1PeriodMatch : Once every 1 period match. */ + TIMER30_CR_PMOC_Every2PeriodMatch = 1, /*!< Every2PeriodMatch : Once every 2 period match. */ + TIMER30_CR_PMOC_Every3PeriodMatch = 2, /*!< Every3PeriodMatch : Once every 3 period match. */ + TIMER30_CR_PMOC_Every4PeriodMatch = 3, /*!< Every4PeriodMatch : Once every 4 period match. */ + TIMER30_CR_PMOC_Every5PeriodMatch = 4, /*!< Every5PeriodMatch : Once every 5 period match. */ + TIMER30_CR_PMOC_Every6PeriodMatch = 5, /*!< Every6PeriodMatch : Once every 6 period match. */ + TIMER30_CR_PMOC_Every7PeriodMatch = 6, /*!< Every7PeriodMatch : Once every 7 period match. */ + TIMER30_CR_PMOC_Every8PeriodMatch = 7, /*!< Every8PeriodMatch : Once every 8 period match. */ +} TIMER30_CR_PMOC_Enum; + +/* =============================================== TIMER30 CR T3nCLR [0..0] ================================================ */ +typedef enum { /*!< TIMER30_CR_T3nCLR */ + TIMER30_CR_T3nCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER30_CR_T3nCLR_Clear = 1, /*!< Clear : Clear TIMER3n counter and prescaler. (Automatically + cleared to '0b' after operation) */ +} TIMER30_CR_T3nCLR_Enum; + +/* ========================================================== PDR ========================================================== */ +/* ========================================================== ADR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== CDR ========================================================== */ +/* ========================================================= CAPDR ========================================================= */ +/* ========================================================= PREDR ========================================================= */ +/* ========================================================== CNT ========================================================== */ +/* ========================================================= OUTCR ========================================================= */ +/* ============================================== TIMER30 OUTCR POLB [15..15] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_POLB */ + TIMER30_OUTCR_POLB_StartLow = 0, /*!< StartLow : Low level start. (The PWM3nxB pins are started with + low level after counting.) */ + TIMER30_OUTCR_POLB_StartHigh = 1, /*!< StartHigh : High level start. (The PWM3nxB pins are started + with high level after counting) */ +} TIMER30_OUTCR_POLB_Enum; + +/* ============================================== TIMER30 OUTCR POLA [14..14] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_POLA */ + TIMER30_OUTCR_POLA_StartLow = 0, /*!< StartLow : Low level start. (The PWM3nxA pins are started with + low level after counting.) */ + TIMER30_OUTCR_POLA_StartHigh = 1, /*!< StartHigh : High level start. (The PWM3nxA pins are started + with high level after counting) */ +} TIMER30_OUTCR_POLA_Enum; + +/* ============================================= TIMER30 OUTCR PABOE [13..13] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PABOE */ + TIMER30_OUTCR_PABOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PABOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PABOE_Enum; + +/* ============================================= TIMER30 OUTCR PBBOE [12..12] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PBBOE */ + TIMER30_OUTCR_PBBOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PBBOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PBBOE_Enum; + +/* ============================================= TIMER30 OUTCR PCBOE [11..11] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PCBOE */ + TIMER30_OUTCR_PCBOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PCBOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PCBOE_Enum; + +/* ============================================= TIMER30 OUTCR PAAOE [10..10] ============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PAAOE */ + TIMER30_OUTCR_PAAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PAAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PAAOE_Enum; + +/* ============================================== TIMER30 OUTCR PBAOE [9..9] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PBAOE */ + TIMER30_OUTCR_PBAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PBAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PBAOE_Enum; + +/* ============================================== TIMER30 OUTCR PCAOE [8..8] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_PCAOE */ + TIMER30_OUTCR_PCAOE_Disable = 0, /*!< Disable : Disable output. */ + TIMER30_OUTCR_PCAOE_Enable = 1, /*!< Enable : Enable output. */ +} TIMER30_OUTCR_PCAOE_Enum; + +/* ============================================== TIMER30 OUTCR LVLAB [6..6] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLAB */ + TIMER30_OUTCR_LVLAB_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLAB_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLAB_Enum; + +/* ============================================== TIMER30 OUTCR LVLBB [5..5] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLBB */ + TIMER30_OUTCR_LVLBB_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLBB_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLBB_Enum; + +/* ============================================== TIMER30 OUTCR LVLCB [4..4] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLCB */ + TIMER30_OUTCR_LVLCB_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLCB_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLCB_Enum; + +/* ============================================== TIMER30 OUTCR LVLAA [2..2] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLAA */ + TIMER30_OUTCR_LVLAA_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLAA_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLAA_Enum; + +/* ============================================== TIMER30 OUTCR LVLBA [1..1] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLBA */ + TIMER30_OUTCR_LVLBA_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLBA_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLBA_Enum; + +/* ============================================== TIMER30 OUTCR LVLCA [0..0] =============================================== */ +typedef enum { /*!< TIMER30_OUTCR_LVLCA */ + TIMER30_OUTCR_LVLCA_Low = 0, /*!< Low : Low level. */ + TIMER30_OUTCR_LVLCA_High = 1, /*!< High : High level. */ +} TIMER30_OUTCR_LVLCA_Enum; + +/* ========================================================== DLY ========================================================== */ +/* ========================================================= INTCR ========================================================= */ +/* ============================================== TIMER30 INTCR HIZIEN [6..6] ============================================== */ +typedef enum { /*!< TIMER30_INTCR_HIZIEN */ + TIMER30_INTCR_HIZIEN_Disable = 0, /*!< Disable : Disable TIMER3n output high-impedance interrupt. */ + TIMER30_INTCR_HIZIEN_Enable = 1, /*!< Enable : Enable TIMER3n output high-impedance interrupt. */ +} TIMER30_INTCR_HIZIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nCIEN [5..5] ============================================== */ +typedef enum { /*!< TIMER30_INTCR_T3nCIEN */ + TIMER30_INTCR_T3nCIEN_Disable = 0, /*!< Disable : Disable TIMER3n capture interrupt. */ + TIMER30_INTCR_T3nCIEN_Enable = 1, /*!< Enable : Enable TIMER3n capture interrupt. */ +} TIMER30_INTCR_T3nCIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nBTIEN [4..4] ============================================= */ +typedef enum { /*!< TIMER30_INTCR_T3nBTIEN */ + TIMER30_INTCR_T3nBTIEN_Disable = 0, /*!< Disable : Disable TIMER3n bottom interrupt. */ + TIMER30_INTCR_T3nBTIEN_Enable = 1, /*!< Enable : Enable TIMER3n bottom interrupt. */ +} TIMER30_INTCR_T3nBTIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nPMIEN [3..3] ============================================= */ +typedef enum { /*!< TIMER30_INTCR_T3nPMIEN */ + TIMER30_INTCR_T3nPMIEN_Disable = 0, /*!< Disable : Disable TIMER3n period interrupt. */ + TIMER30_INTCR_T3nPMIEN_Enable = 1, /*!< Enable : Enable TIMER3n period interrupt. */ +} TIMER30_INTCR_T3nPMIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nAMIEN [2..2] ============================================= */ +typedef enum { /*!< TIMER30_INTCR_T3nAMIEN */ + TIMER30_INTCR_T3nAMIEN_Disable = 0, /*!< Disable : Disable TIMER3n A-ch match interrupt. */ + TIMER30_INTCR_T3nAMIEN_Enable = 1, /*!< Enable : Enable TIMER3n A-ch match interrupt. */ +} TIMER30_INTCR_T3nAMIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nBMIEN [1..1] ============================================= */ +typedef enum { /*!< TIMER30_INTCR_T3nBMIEN */ + TIMER30_INTCR_T3nBMIEN_Disable = 0, /*!< Disable : Disable TIMER3n B-ch match interrupt. */ + TIMER30_INTCR_T3nBMIEN_Enable = 1, /*!< Enable : Enable TIMER3n B-ch match interrupt. */ +} TIMER30_INTCR_T3nBMIEN_Enum; + +/* ============================================= TIMER30 INTCR T3nCMIEN [0..0] ============================================= */ +typedef enum { /*!< TIMER30_INTCR_T3nCMIEN */ + TIMER30_INTCR_T3nCMIEN_Disable = 0, /*!< Disable : Disable TIMER3n C-ch match interrupt. */ + TIMER30_INTCR_T3nCMIEN_Enable = 1, /*!< Enable : Enable TIMER3n C-ch match interrupt. */ +} TIMER30_INTCR_T3nCMIEN_Enum; + +/* ======================================================== INTFLAG ======================================================== */ +/* ============================================ TIMER30 INTFLAG HIZIFLAG [6..6] ============================================ */ +typedef enum { /*!< TIMER30_INTFLAG_HIZIFLAG */ + TIMER30_INTFLAG_HIZIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_HIZIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_HIZIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nCIFLAG [5..5] ============================================ */ +typedef enum { /*!< TIMER30_INTFLAG_T3nCIFLAG */ + TIMER30_INTFLAG_T3nCIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nCIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nCIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nBTIFLAG [4..4] =========================================== */ +typedef enum { /*!< TIMER30_INTFLAG_T3nBTIFLAG */ + TIMER30_INTFLAG_T3nBTIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nBTIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nBTIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nPMIFLAG [3..3] =========================================== */ +typedef enum { /*!< TIMER30_INTFLAG_T3nPMIFLAG */ + TIMER30_INTFLAG_T3nPMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nPMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nPMIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nAMIFLAG [2..2] =========================================== */ +typedef enum { /*!< TIMER30_INTFLAG_T3nAMIFLAG */ + TIMER30_INTFLAG_T3nAMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nAMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nAMIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nBMIFLAG [1..1] =========================================== */ +typedef enum { /*!< TIMER30_INTFLAG_T3nBMIFLAG */ + TIMER30_INTFLAG_T3nBMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nBMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nBMIFLAG_Enum; + +/* =========================================== TIMER30 INTFLAG T3nCMIFLAG [0..0] =========================================== */ +typedef enum { /*!< TIMER30_INTFLAG_T3nCMIFLAG */ + TIMER30_INTFLAG_T3nCMIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + TIMER30_INTFLAG_T3nCMIFLAG_Request = 1, /*!< Request : Request occurred. The bit will be cleared to '0' when + '1' is written to this bit. */ +} TIMER30_INTFLAG_T3nCMIFLAG_Enum; + +/* ========================================================= HIZCR ========================================================= */ +/* ============================================== TIMER30 HIZCR HIZEN [7..7] =============================================== */ +typedef enum { /*!< TIMER30_HIZCR_HIZEN */ + TIMER30_HIZCR_HIZEN_Disable = 0, /*!< Disable : Disable to control the output high-impedance. */ + TIMER30_HIZCR_HIZEN_Enable = 1, /*!< Enable : Enable to control the output high-impedance. */ +} TIMER30_HIZCR_HIZEN_Enum; + +/* ============================================== TIMER30 HIZCR HIZSW [4..4] =============================================== */ +typedef enum { /*!< TIMER30_HIZCR_HIZSW */ + TIMER30_HIZCR_HIZSW_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER30_HIZCR_HIZSW_HiZ = 1, /*!< HiZ : PWM3nxA/PWM3nxB pins go into high impedance. (Automatically + cleared to '0' after operation) */ +} TIMER30_HIZCR_HIZSW_Enum; + +/* ============================================== TIMER30 HIZCR HEDGE [2..2] =============================================== */ +typedef enum { /*!< TIMER30_HIZCR_HEDGE */ + TIMER30_HIZCR_HEDGE_FallingEdge = 0, /*!< FallingEdge : Falling edge of the BLNK pin. */ + TIMER30_HIZCR_HEDGE_RisingEdge = 1, /*!< RisingEdge : Rising edge of the BLNK pin. */ +} TIMER30_HIZCR_HEDGE_Enum; + +/* ============================================== TIMER30 HIZCR HIZSTA [1..1] ============================================== */ +typedef enum { /*!< TIMER30_HIZCR_HIZSTA */ + TIMER30_HIZCR_HIZSTA_NoHiZ = 0, /*!< NoHiZ : Indicates that the pins are not under a Hi-Z state. */ + TIMER30_HIZCR_HIZSTA_HiZ = 1, /*!< HiZ : Indicates that the pins are under a Hi-Z state. */ +} TIMER30_HIZCR_HIZSTA_Enum; + +/* ============================================== TIMER30 HIZCR HIZCLR [0..0] ============================================== */ +typedef enum { /*!< TIMER30_HIZCR_HIZCLR */ + TIMER30_HIZCR_HIZCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + TIMER30_HIZCR_HIZCLR_Clear = 1, /*!< Clear : Clear high-impedance output. (The PWM3nxA/PWM3nxB pins + returns as output and this bit is automatically cleared + to '0' after operation.) */ +} TIMER30_HIZCR_HIZCLR_Enum; + +/* ========================================================= ADTCR ========================================================= */ +/* ============================================= TIMER30 ADTCR T3nBTTG [4..4] ============================================== */ +typedef enum { /*!< TIMER30_ADTCR_T3nBTTG */ + TIMER30_ADTCR_T3nBTTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by bottom. */ + TIMER30_ADTCR_T3nBTTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by bottom. */ +} TIMER30_ADTCR_T3nBTTG_Enum; + +/* ============================================= TIMER30 ADTCR T3nPMTG [3..3] ============================================== */ +typedef enum { /*!< TIMER30_ADTCR_T3nPMTG */ + TIMER30_ADTCR_T3nPMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by period match. */ + TIMER30_ADTCR_T3nPMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by period match. */ +} TIMER30_ADTCR_T3nPMTG_Enum; + +/* ============================================= TIMER30 ADTCR T3nAMTG [2..2] ============================================== */ +typedef enum { /*!< TIMER30_ADTCR_T3nAMTG */ + TIMER30_ADTCR_T3nAMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by A-ch match. */ + TIMER30_ADTCR_T3nAMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by A-ch match. */ +} TIMER30_ADTCR_T3nAMTG_Enum; + +/* ============================================= TIMER30 ADTCR T3nBMTG [1..1] ============================================== */ +typedef enum { /*!< TIMER30_ADTCR_T3nBMTG */ + TIMER30_ADTCR_T3nBMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by B-ch match. */ + TIMER30_ADTCR_T3nBMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by B-ch match. */ +} TIMER30_ADTCR_T3nBMTG_Enum; + +/* ============================================= TIMER30 ADTCR T3nCMTG [0..0] ============================================== */ +typedef enum { /*!< TIMER30_ADTCR_T3nCMTG */ + TIMER30_ADTCR_T3nCMTG_Disable = 0, /*!< Disable : Disable ADC trigger signal generator by C-ch match. */ + TIMER30_ADTCR_T3nCMTG_Enable = 1, /*!< Enable : Enable ADC trigger signal generator by C-ch match. */ +} TIMER30_ADTCR_T3nCMTG_Enum; + +/* ========================================================= ADTDR ========================================================= */ +/* ======================================================= T30_OUTCR ======================================================= */ +/* =========================================== TIMER30 T30_OUTCR WTIDKY [16..31] =========================================== */ +typedef enum { /*!< TIMER30_T30_OUTCR_WTIDKY */ + TIMER30_T30_OUTCR_WTIDKY_Value = 57452, /*!< Value : Key Value (0xe06c) */ +} TIMER30_T30_OUTCR_WTIDKY_Enum; + + + +/* =========================================================================================================================== */ +/* ================ ADC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ================================================= ADC CR ADCEN [15..15] ================================================= */ +typedef enum { /*!< ADC_CR_ADCEN */ + ADC_CR_ADCEN_Disable = 0, /*!< Disable : Disable ADC module operation. */ + ADC_CR_ADCEN_Enable = 1, /*!< Enable : Enable ADC module operation. */ +} ADC_CR_ADCEN_Enum; + +/* ================================================= ADC CR TRIG [11..13] ================================================== */ +typedef enum { /*!< ADC_CR_TRIG */ + ADC_CR_TRIG_ADST = 0, /*!< ADST : Select ADST. */ + ADC_CR_TRIG_TIMER10 = 1, /*!< TIMER10 : Select TIMER10 A-Match Signal. */ + ADC_CR_TRIG_TIMER11 = 2, /*!< TIMER11 : Select TIMER11 A-Match Signal. */ + ADC_CR_TRIG_TIMER12 = 3, /*!< TIMER12 : Select TIMER12 A-Match Signal. */ + ADC_CR_TRIG_TIMER30 = 4, /*!< TIMER30 : Select ADC Trigger Signal from TIMER30. */ +} ADC_CR_TRIG_Enum; + +/* ================================================ ADC CR REFSEL [10..10] ================================================= */ +typedef enum { /*!< ADC_CR_REFSEL */ + ADC_CR_REFSEL_Vdd = 0, /*!< Vdd : Select analog power (VDD). */ + ADC_CR_REFSEL_AVref = 1, /*!< AVref : Select external reference (AVREF). */ +} ADC_CR_REFSEL_Enum; + +/* ================================================== ADC CR ADST [8..8] =================================================== */ +typedef enum { /*!< ADC_CR_ADST */ + ADC_CR_ADST_NoEffect = 0, /*!< NoEffect : No effect. */ + ADC_CR_ADST_Start = 1, /*!< Start : Trigger signal generation for conversion start. */ +} ADC_CR_ADST_Enum; + +/* ================================================= ADC CR ADCIEN [5..5] ================================================== */ +typedef enum { /*!< ADC_CR_ADCIEN */ + ADC_CR_ADCIEN_Disable = 0, /*!< Disable : Disable ADC interrupt. */ + ADC_CR_ADCIEN_Enable = 1, /*!< Enable : Enable ADC interrupt. */ +} ADC_CR_ADCIEN_Enum; + +/* ================================================ ADC CR ADCIFLAG [4..4] ================================================= */ +typedef enum { /*!< ADC_CR_ADCIFLAG */ + ADC_CR_ADCIFLAG_NoRequest = 0, /*!< NoRequest : No request occurred. */ + ADC_CR_ADCIFLAG_Request = 1, /*!< Request : Request occurred. */ +} ADC_CR_ADCIFLAG_Enum; + +/* ================================================== ADC CR ADSEL [0..3] ================================================== */ +typedef enum { /*!< ADC_CR_ADSEL */ + ADC_CR_ADSEL_AN0 = 0, /*!< AN0 : Select AN0. */ + ADC_CR_ADSEL_AN1 = 1, /*!< AN1 : Select AN1. */ + ADC_CR_ADSEL_AN2 = 2, /*!< AN2 : Select AN2. */ + ADC_CR_ADSEL_AN3 = 3, /*!< AN3 : Select AN3. */ + ADC_CR_ADSEL_AN4 = 4, /*!< AN4 : Select AN4. */ + ADC_CR_ADSEL_AN5 = 5, /*!< AN5 : Select AN5. */ + ADC_CR_ADSEL_AN6 = 6, /*!< AN6 : Select AN6. */ + ADC_CR_ADSEL_AN7 = 7, /*!< AN7 : Select AN7. */ + ADC_CR_ADSEL_AN8 = 8, /*!< AN8 : Select AN8. */ + ADC_CR_ADSEL_AN9 = 9, /*!< AN9 : Select AN9. */ + ADC_CR_ADSEL_AN10 = 10, /*!< AN10 : Select AN10. */ + ADC_CR_ADSEL_AN11 = 11, /*!< AN11 : Select AN11 */ + ADC_CR_ADSEL_AN12 = 12, /*!< AN12 : Select AN12 */ + ADC_CR_ADSEL_AN13 = 13, /*!< AN13 : Select AN13 */ +} ADC_CR_ADSEL_Enum; + +/* ========================================================== DR =========================================================== */ +/* ========================================================= PREDR ========================================================= */ + + +/* =========================================================================================================================== */ +/* ================ USART1n ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +/* ============================================== USART1n CR1 USTnMS [14..15] ============================================== */ +typedef enum { /*!< USART1n_CR1_USTnMS */ + USART1n_CR1_USTnMS_Async = 0, /*!< Async : Asynchronous Mode (UART) */ + USART1n_CR1_USTnMS_Sync = 1, /*!< Sync : Synchronous Mode (USRT) */ + USART1n_CR1_USTnMS_SPI = 3, /*!< SPI : SPI Mode */ +} USART1n_CR1_USTnMS_Enum; + +/* ============================================== USART1n CR1 USTnP [12..13] =============================================== */ +typedef enum { /*!< USART1n_CR1_USTnP */ + USART1n_CR1_USTnP_No = 0, /*!< No : No Parity */ + USART1n_CR1_USTnP_Even = 2, /*!< Even : Even Parity */ + USART1n_CR1_USTnP_Odd = 3, /*!< Odd : Odd Parity */ +} USART1n_CR1_USTnP_Enum; + +/* =============================================== USART1n CR1 USTnS [9..11] =============================================== */ +typedef enum { /*!< USART1n_CR1_USTnS */ + USART1n_CR1_USTnS_5bit = 0, /*!< 5bit : 5 bit */ + USART1n_CR1_USTnS_6bit = 1, /*!< 6bit : 6 bit */ + USART1n_CR1_USTnS_7bit = 2, /*!< 7bit : 7 bit */ + USART1n_CR1_USTnS_8bit = 3, /*!< 8bit : 8 bit */ + USART1n_CR1_USTnS_9bit = 7, /*!< 9bit : 9 bit */ +} USART1n_CR1_USTnS_Enum; + +/* ================================================ USART1n CR1 ORDn [8..8] ================================================ */ +typedef enum { /*!< USART1n_CR1_ORDn */ + USART1n_CR1_ORDn_lsbFirst = 0, /*!< lsbFirst : LSB First */ + USART1n_CR1_ORDn_msbFirst = 1, /*!< msbFirst : MSB First */ +} USART1n_CR1_ORDn_Enum; + +/* =============================================== USART1n CR1 CPOLn [7..7] ================================================ */ +typedef enum { /*!< USART1n_CR1_CPOLn */ + USART1n_CR1_CPOLn_IdleLow = 0, /*!< IdleLow : TXD Change @Rising Edge, RXD Change @Falling Edge */ + USART1n_CR1_CPOLn_IdleHigh = 1, /*!< IdleHigh : TXD Change @Falling Edge, RXD Change @Rising Edge */ +} USART1n_CR1_CPOLn_Enum; + +/* =============================================== USART1n CR1 CPHAn [6..6] ================================================ */ +typedef enum { /*!< USART1n_CR1_CPHAn */ + USART1n_CR1_CPHAn_StartIdle = 0, /*!< StartIdle : Start with idle state. */ + USART1n_CR1_CPHAn_StartInverted = 1, /*!< StartInverted : Start with inverted idle state. */ +} USART1n_CR1_CPHAn_Enum; + +/* ========================================================== CR2 ========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== DR =========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ USART10 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +/* ============================================== USART10 CR1 USTnMS [14..15] ============================================== */ +typedef enum { /*!< USART10_CR1_USTnMS */ + USART10_CR1_USTnMS_Async = 0, /*!< Async : Asynchronous Mode (UART) */ + USART10_CR1_USTnMS_Sync = 1, /*!< Sync : Synchronous Mode (USRT) */ + USART10_CR1_USTnMS_SPI = 3, /*!< SPI : SPI Mode */ +} USART10_CR1_USTnMS_Enum; + +/* ============================================== USART10 CR1 USTnP [12..13] =============================================== */ +typedef enum { /*!< USART10_CR1_USTnP */ + USART10_CR1_USTnP_No = 0, /*!< No : No Parity */ + USART10_CR1_USTnP_Even = 2, /*!< Even : Even Parity */ + USART10_CR1_USTnP_Odd = 3, /*!< Odd : Odd Parity */ +} USART10_CR1_USTnP_Enum; + +/* =============================================== USART10 CR1 USTnS [9..11] =============================================== */ +typedef enum { /*!< USART10_CR1_USTnS */ + USART10_CR1_USTnS_5bit = 0, /*!< 5bit : 5 bit */ + USART10_CR1_USTnS_6bit = 1, /*!< 6bit : 6 bit */ + USART10_CR1_USTnS_7bit = 2, /*!< 7bit : 7 bit */ + USART10_CR1_USTnS_8bit = 3, /*!< 8bit : 8 bit */ + USART10_CR1_USTnS_9bit = 7, /*!< 9bit : 9 bit */ +} USART10_CR1_USTnS_Enum; + +/* ================================================ USART10 CR1 ORDn [8..8] ================================================ */ +typedef enum { /*!< USART10_CR1_ORDn */ + USART10_CR1_ORDn_lsbFirst = 0, /*!< lsbFirst : LSB First */ + USART10_CR1_ORDn_msbFirst = 1, /*!< msbFirst : MSB First */ +} USART10_CR1_ORDn_Enum; + +/* =============================================== USART10 CR1 CPOLn [7..7] ================================================ */ +typedef enum { /*!< USART10_CR1_CPOLn */ + USART10_CR1_CPOLn_IdleLow = 0, /*!< IdleLow : TXD Change @Rising Edge, RXD Change @Falling Edge */ + USART10_CR1_CPOLn_IdleHigh = 1, /*!< IdleHigh : TXD Change @Falling Edge, RXD Change @Rising Edge */ +} USART10_CR1_CPOLn_Enum; + +/* =============================================== USART10 CR1 CPHAn [6..6] ================================================ */ +typedef enum { /*!< USART10_CR1_CPHAn */ + USART10_CR1_CPHAn_StartIdle = 0, /*!< StartIdle : Start with idle state. */ + USART10_CR1_CPHAn_StartInverted = 1, /*!< StartInverted : Start with inverted idle state. */ +} USART10_CR1_CPHAn_Enum; + +/* ========================================================== CR2 ========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== DR =========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ USART11 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +/* ============================================== USART11 CR1 USTnMS [14..15] ============================================== */ +typedef enum { /*!< USART11_CR1_USTnMS */ + USART11_CR1_USTnMS_Async = 0, /*!< Async : Asynchronous Mode (UART) */ + USART11_CR1_USTnMS_Sync = 1, /*!< Sync : Synchronous Mode (USRT) */ + USART11_CR1_USTnMS_SPI = 3, /*!< SPI : SPI Mode */ +} USART11_CR1_USTnMS_Enum; + +/* ============================================== USART11 CR1 USTnP [12..13] =============================================== */ +typedef enum { /*!< USART11_CR1_USTnP */ + USART11_CR1_USTnP_No = 0, /*!< No : No Parity */ + USART11_CR1_USTnP_Even = 2, /*!< Even : Even Parity */ + USART11_CR1_USTnP_Odd = 3, /*!< Odd : Odd Parity */ +} USART11_CR1_USTnP_Enum; + +/* =============================================== USART11 CR1 USTnS [9..11] =============================================== */ +typedef enum { /*!< USART11_CR1_USTnS */ + USART11_CR1_USTnS_5bit = 0, /*!< 5bit : 5 bit */ + USART11_CR1_USTnS_6bit = 1, /*!< 6bit : 6 bit */ + USART11_CR1_USTnS_7bit = 2, /*!< 7bit : 7 bit */ + USART11_CR1_USTnS_8bit = 3, /*!< 8bit : 8 bit */ + USART11_CR1_USTnS_9bit = 7, /*!< 9bit : 9 bit */ +} USART11_CR1_USTnS_Enum; + +/* ================================================ USART11 CR1 ORDn [8..8] ================================================ */ +typedef enum { /*!< USART11_CR1_ORDn */ + USART11_CR1_ORDn_lsbFirst = 0, /*!< lsbFirst : LSB First */ + USART11_CR1_ORDn_msbFirst = 1, /*!< msbFirst : MSB First */ +} USART11_CR1_ORDn_Enum; + +/* =============================================== USART11 CR1 CPOLn [7..7] ================================================ */ +typedef enum { /*!< USART11_CR1_CPOLn */ + USART11_CR1_CPOLn_IdleLow = 0, /*!< IdleLow : TXD Change @Rising Edge, RXD Change @Falling Edge */ + USART11_CR1_CPOLn_IdleHigh = 1, /*!< IdleHigh : TXD Change @Falling Edge, RXD Change @Rising Edge */ +} USART11_CR1_CPOLn_Enum; + +/* =============================================== USART11 CR1 CPHAn [6..6] ================================================ */ +typedef enum { /*!< USART11_CR1_CPHAn */ + USART11_CR1_CPHAn_StartIdle = 0, /*!< StartIdle : Start with idle state. */ + USART11_CR1_CPHAn_StartInverted = 1, /*!< StartInverted : Start with inverted idle state. */ +} USART11_CR1_CPHAn_Enum; + +/* ========================================================== CR2 ========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== DR =========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ USART12 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +/* ============================================== USART12 CR1 USTnMS [14..15] ============================================== */ +typedef enum { /*!< USART12_CR1_USTnMS */ + USART12_CR1_USTnMS_Async = 0, /*!< Async : Asynchronous Mode (UART) */ + USART12_CR1_USTnMS_Sync = 1, /*!< Sync : Synchronous Mode (USRT) */ + USART12_CR1_USTnMS_SPI = 3, /*!< SPI : SPI Mode */ +} USART12_CR1_USTnMS_Enum; + +/* ============================================== USART12 CR1 USTnP [12..13] =============================================== */ +typedef enum { /*!< USART12_CR1_USTnP */ + USART12_CR1_USTnP_No = 0, /*!< No : No Parity */ + USART12_CR1_USTnP_Even = 2, /*!< Even : Even Parity */ + USART12_CR1_USTnP_Odd = 3, /*!< Odd : Odd Parity */ +} USART12_CR1_USTnP_Enum; + +/* =============================================== USART12 CR1 USTnS [9..11] =============================================== */ +typedef enum { /*!< USART12_CR1_USTnS */ + USART12_CR1_USTnS_5bit = 0, /*!< 5bit : 5 bit */ + USART12_CR1_USTnS_6bit = 1, /*!< 6bit : 6 bit */ + USART12_CR1_USTnS_7bit = 2, /*!< 7bit : 7 bit */ + USART12_CR1_USTnS_8bit = 3, /*!< 8bit : 8 bit */ + USART12_CR1_USTnS_9bit = 7, /*!< 9bit : 9 bit */ +} USART12_CR1_USTnS_Enum; + +/* ================================================ USART12 CR1 ORDn [8..8] ================================================ */ +typedef enum { /*!< USART12_CR1_ORDn */ + USART12_CR1_ORDn_lsbFirst = 0, /*!< lsbFirst : LSB First */ + USART12_CR1_ORDn_msbFirst = 1, /*!< msbFirst : MSB First */ +} USART12_CR1_ORDn_Enum; + +/* =============================================== USART12 CR1 CPOLn [7..7] ================================================ */ +typedef enum { /*!< USART12_CR1_CPOLn */ + USART12_CR1_CPOLn_IdleLow = 0, /*!< IdleLow : TXD Change @Rising Edge, RXD Change @Falling Edge */ + USART12_CR1_CPOLn_IdleHigh = 1, /*!< IdleHigh : TXD Change @Falling Edge, RXD Change @Rising Edge */ +} USART12_CR1_CPOLn_Enum; + +/* =============================================== USART12 CR1 CPHAn [6..6] ================================================ */ +typedef enum { /*!< USART12_CR1_CPHAn */ + USART12_CR1_CPHAn_StartIdle = 0, /*!< StartIdle : Start with idle state. */ + USART12_CR1_CPHAn_StartInverted = 1, /*!< StartInverted : Start with inverted idle state. */ +} USART12_CR1_CPHAn_Enum; + +/* ========================================================== CR2 ========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== DR =========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ USART13 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR1 ========================================================== */ +/* ============================================== USART13 CR1 USTnMS [14..15] ============================================== */ +typedef enum { /*!< USART13_CR1_USTnMS */ + USART13_CR1_USTnMS_Async = 0, /*!< Async : Asynchronous Mode (UART) */ + USART13_CR1_USTnMS_Sync = 1, /*!< Sync : Synchronous Mode (USRT) */ + USART13_CR1_USTnMS_SPI = 3, /*!< SPI : SPI Mode */ +} USART13_CR1_USTnMS_Enum; + +/* ============================================== USART13 CR1 USTnP [12..13] =============================================== */ +typedef enum { /*!< USART13_CR1_USTnP */ + USART13_CR1_USTnP_No = 0, /*!< No : No Parity */ + USART13_CR1_USTnP_Even = 2, /*!< Even : Even Parity */ + USART13_CR1_USTnP_Odd = 3, /*!< Odd : Odd Parity */ +} USART13_CR1_USTnP_Enum; + +/* =============================================== USART13 CR1 USTnS [9..11] =============================================== */ +typedef enum { /*!< USART13_CR1_USTnS */ + USART13_CR1_USTnS_5bit = 0, /*!< 5bit : 5 bit */ + USART13_CR1_USTnS_6bit = 1, /*!< 6bit : 6 bit */ + USART13_CR1_USTnS_7bit = 2, /*!< 7bit : 7 bit */ + USART13_CR1_USTnS_8bit = 3, /*!< 8bit : 8 bit */ + USART13_CR1_USTnS_9bit = 7, /*!< 9bit : 9 bit */ +} USART13_CR1_USTnS_Enum; + +/* ================================================ USART13 CR1 ORDn [8..8] ================================================ */ +typedef enum { /*!< USART13_CR1_ORDn */ + USART13_CR1_ORDn_lsbFirst = 0, /*!< lsbFirst : LSB First */ + USART13_CR1_ORDn_msbFirst = 1, /*!< msbFirst : MSB First */ +} USART13_CR1_ORDn_Enum; + +/* =============================================== USART13 CR1 CPOLn [7..7] ================================================ */ +typedef enum { /*!< USART13_CR1_CPOLn */ + USART13_CR1_CPOLn_IdleLow = 0, /*!< IdleLow : TXD Change @Rising Edge, RXD Change @Falling Edge */ + USART13_CR1_CPOLn_IdleHigh = 1, /*!< IdleHigh : TXD Change @Falling Edge, RXD Change @Rising Edge */ +} USART13_CR1_CPOLn_Enum; + +/* =============================================== USART13 CR1 CPHAn [6..6] ================================================ */ +typedef enum { /*!< USART13_CR1_CPHAn */ + USART13_CR1_CPHAn_StartIdle = 0, /*!< StartIdle : Start with idle state. */ + USART13_CR1_CPHAn_StartInverted = 1, /*!< StartInverted : Start with inverted idle state. */ +} USART13_CR1_CPHAn_Enum; + +/* ========================================================== CR2 ========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== DR =========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ UARTn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +/* ========================================================== THR ========================================================== */ +/* ========================================================== IER ========================================================== */ +/* ========================================================== IIR ========================================================== */ +/* ========================================================== LCR ========================================================== */ +/* ========================================================== DCR ========================================================== */ +/* ========================================================== LSR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== BFR ========================================================== */ +/* ================================================= UARTn BFR BFR [0..7] ================================================== */ +typedef enum { /*!< UARTn_BFR_BFR */ + UARTn_BFR_BFR_Disable = 0, /*!< Disable : Disable fraction counter. */ +} UARTn_BFR_BFR_Enum; + +/* ========================================================= IDTR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ UART0 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +/* ========================================================== THR ========================================================== */ +/* ========================================================== IER ========================================================== */ +/* ========================================================== IIR ========================================================== */ +/* ========================================================== LCR ========================================================== */ +/* ========================================================== DCR ========================================================== */ +/* ========================================================== LSR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== BFR ========================================================== */ +/* ================================================= UART0 BFR BFR [0..7] ================================================== */ +typedef enum { /*!< UART0_BFR_BFR */ + UART0_BFR_BFR_Disable = 0, /*!< Disable : Disable fraction counter. */ +} UART0_BFR_BFR_Enum; + +/* ========================================================= IDTR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ UART1 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== RBR ========================================================== */ +/* ========================================================== THR ========================================================== */ +/* ========================================================== IER ========================================================== */ +/* ========================================================== IIR ========================================================== */ +/* ========================================================== LCR ========================================================== */ +/* ========================================================== DCR ========================================================== */ +/* ========================================================== LSR ========================================================== */ +/* ========================================================== BDR ========================================================== */ +/* ========================================================== BFR ========================================================== */ +/* ================================================= UART1 BFR BFR [0..7] ================================================== */ +typedef enum { /*!< UART1_BFR_BFR */ + UART1_BFR_BFR_Disable = 0, /*!< Disable : Disable fraction counter. */ +} UART1_BFR_BFR_Enum; + +/* ========================================================= IDTR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ I2Cn ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================= SAR1 ========================================================== */ +/* ========================================================= SAR2 ========================================================== */ +/* ========================================================== DR =========================================================== */ +/* ========================================================= SDHR ========================================================== */ +/* ========================================================= SCLR ========================================================== */ +/* ========================================================= SCHR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ I2C0 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================= SAR1 ========================================================== */ +/* ========================================================= SAR2 ========================================================== */ +/* ========================================================== DR =========================================================== */ +/* ========================================================= SDHR ========================================================== */ +/* ========================================================= SCLR ========================================================== */ +/* ========================================================= SCHR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ I2C1 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================= SAR1 ========================================================== */ +/* ========================================================= SAR2 ========================================================== */ +/* ========================================================== DR =========================================================== */ +/* ========================================================= SDHR ========================================================== */ +/* ========================================================= SCLR ========================================================== */ +/* ========================================================= SCHR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ I2C2 ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ========================================================== ST =========================================================== */ +/* ========================================================= SAR1 ========================================================== */ +/* ========================================================= SAR2 ========================================================== */ +/* ========================================================== DR =========================================================== */ +/* ========================================================= SDHR ========================================================== */ +/* ========================================================= SCLR ========================================================== */ +/* ========================================================= SCHR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ LCD ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ================================================== LCD CR IRSEL [6..7] ================================================== */ +typedef enum { /*!< LCD_CR_IRSEL */ + LCD_CR_IRSEL_RLCD3 = 0, /*!< RLCD3 : RLCD3: 105/105/80[kohm] @(1/2)/(1/3)/(1/4) bias */ + LCD_CR_IRSEL_RLCD1 = 1, /*!< RLCD1 : RLCD1: 10/10/10[kohm] @(1/2)/(1/3)/(1/4) bias */ + LCD_CR_IRSEL_RLCD2 = 2, /*!< RLCD2 : RLCD2: 66/66/50[kohm] @(1/2)/(1/3)/(1/4) bias */ + LCD_CR_IRSEL_RLCD4 = 3, /*!< RLCD4 : RLCD4: 320/320/240[kohm] @(1/2)/(1/3)/(1/4) bias */ +} LCD_CR_IRSEL_Enum; + +/* =================================================== LCD CR DBS [3..5] =================================================== */ +typedef enum { /*!< LCD_CR_DBS */ + LCD_CR_DBS_Duty8Bias4 = 0, /*!< Duty8Bias4 : 1/8 duty, 1/4 bias */ + LCD_CR_DBS_Duty6Bias4 = 1, /*!< Duty6Bias4 : 1/6 duty, 1/4 bias */ + LCD_CR_DBS_Duty5Bias3 = 2, /*!< Duty5Bias3 : 1/5 duty, 1/3 bias */ + LCD_CR_DBS_Duty4Bias3 = 3, /*!< Duty4Bias3 : 1/4 duty, 1/3 bias */ + LCD_CR_DBS_Duty3Bias3 = 4, /*!< Duty3Bias3 : 1/3 duty, 1/3 bias */ + LCD_CR_DBS_Duty3Bias2 = 5, /*!< Duty3Bias2 : 1/3 duty, 1/2 bias */ +} LCD_CR_DBS_Enum; + +/* ================================================== LCD CR LCLK [1..2] =================================================== */ +typedef enum { /*!< LCD_CR_LCLK */ + LCD_CR_LCLK_fLCD256 = 0, /*!< fLCD256 : 128Hz */ + LCD_CR_LCLK_fLCD128 = 1, /*!< fLCD128 : 256Hz */ + LCD_CR_LCLK_fLCD64 = 2, /*!< fLCD64 : 512Hz */ + LCD_CR_LCLK_fLCD32 = 3, /*!< fLCD32 : 1024Hz */ +} LCD_CR_LCLK_Enum; + +/* ================================================== LCD CR DISP [0..0] =================================================== */ +typedef enum { /*!< LCD_CR_DISP */ + LCD_CR_DISP_Off = 0, /*!< Off : Display off */ + LCD_CR_DISP_On = 1, /*!< On : Normal display on */ +} LCD_CR_DISP_Enum; + +/* ========================================================= BCCR ========================================================== */ +/* =============================================== LCD BCCR LCDABC [12..12] ================================================ */ +typedef enum { /*!< LCD_BCCR_LCDABC */ + LCD_BCCR_LCDABC_Off = 0, /*!< Off : LCD automatic bias is off */ + LCD_BCCR_LCDABC_On = 1, /*!< On : LCD automatic bias is on */ +} LCD_BCCR_LCDABC_Enum; + +/* ================================================ LCD BCCR BMSEL [8..10] ================================================= */ +typedef enum { /*!< LCD_BCCR_BMSEL */ + LCD_BCCR_BMSEL_BMA1Clk = 0, /*!< BMA1Clk : 'Bias Mode A' for 1-clock of fLCD */ + LCD_BCCR_BMSEL_BMA2Clk = 1, /*!< BMA2Clk : 'Bias Mode A' for 2-clock of fLCD */ + LCD_BCCR_BMSEL_BMA3Clk = 2, /*!< BMA3Clk : 'Bias Mode A' for 3-clock of fLCD */ + LCD_BCCR_BMSEL_BMA4Clk = 3, /*!< BMA4Clk : 'Bias Mode A' for 4-clock of fLCD */ + LCD_BCCR_BMSEL_BMA5Clk = 4, /*!< BMA5Clk : 'Bias Mode A' for 5-clock of fLCD */ + LCD_BCCR_BMSEL_BMA6Clk = 5, /*!< BMA6Clk : 'Bias Mode A' for 6-clock of fLCD */ + LCD_BCCR_BMSEL_BMA7Clk = 6, /*!< BMA7Clk : 'Bias Mode A' for 7-clock of fLCD */ + LCD_BCCR_BMSEL_BMA8Clk = 7, /*!< BMA8Clk : 'Bias Mode A' for 8-clock of fLCD */ +} LCD_BCCR_BMSEL_Enum; + +/* ================================================= LCD BCCR LCTEN [5..5] ================================================= */ +typedef enum { /*!< LCD_BCCR_LCTEN */ + LCD_BCCR_LCTEN_Disable = 0, /*!< Disable : Disable LCD driver contrast. */ + LCD_BCCR_LCTEN_Enable = 1, /*!< Enable : Enable LCD driver contrast. */ +} LCD_BCCR_LCTEN_Enum; + +/* ================================================= LCD BCCR VLCD [0..3] ================================================== */ +typedef enum { /*!< LCD_BCCR_VLCD */ + LCD_BCCR_VLCD_Step0 = 0, /*!< Step0 : VDD x 16/31 Step */ + LCD_BCCR_VLCD_Step1 = 1, /*!< Step1 : VDD x 16/30 Step */ + LCD_BCCR_VLCD_Step2 = 2, /*!< Step2 : VDD x 16/29 Step */ + LCD_BCCR_VLCD_Step3 = 3, /*!< Step3 : VDD x 16/28 Step */ + LCD_BCCR_VLCD_Step4 = 4, /*!< Step4 : VDD x 16/27 Step */ + LCD_BCCR_VLCD_Step5 = 5, /*!< Step5 : VDD x 16/26 Step */ + LCD_BCCR_VLCD_Step6 = 6, /*!< Step6 : VDD x 16/25 Step */ + LCD_BCCR_VLCD_Step7 = 7, /*!< Step7 : VDD x 16/24 Step */ + LCD_BCCR_VLCD_Step8 = 8, /*!< Step8 : VDD x 16/23 Step */ + LCD_BCCR_VLCD_Step9 = 9, /*!< Step9 : VDD x 16/22 Step */ + LCD_BCCR_VLCD_Step10 = 10, /*!< Step10 : VDD x 16/21 Step */ + LCD_BCCR_VLCD_Step11 = 11, /*!< Step11 : VDD x 16/20 Step */ + LCD_BCCR_VLCD_Step12 = 12, /*!< Step12 : VDD x 16/19 Step */ + LCD_BCCR_VLCD_Step13 = 13, /*!< Step13 : VDD x 16/18 Step */ + LCD_BCCR_VLCD_Step14 = 14, /*!< Step14 : VDD x 16/17 Step */ + LCD_BCCR_VLCD_Step15 = 15, /*!< Step15 : VDD x 16/16 Step */ +} LCD_BCCR_VLCD_Enum; + +/* ========================================================== DR0 ========================================================== */ +/* ========================================================== DR1 ========================================================== */ +/* ========================================================== DR2 ========================================================== */ +/* ========================================================== DR3 ========================================================== */ +/* ========================================================== DR4 ========================================================== */ +/* ========================================================== DR5 ========================================================== */ +/* ========================================================== DR6 ========================================================== */ +/* ========================================================== DR7 ========================================================== */ +/* ========================================================== DR8 ========================================================== */ +/* ========================================================== DR9 ========================================================== */ +/* ========================================================= DR10 ========================================================== */ +/* ========================================================= DR11 ========================================================== */ +/* ========================================================= DR12 ========================================================== */ +/* ========================================================= DR13 ========================================================== */ +/* ========================================================= DR14 ========================================================== */ +/* ========================================================= DR15 ========================================================== */ +/* ========================================================= DR16 ========================================================== */ +/* ========================================================= DR17 ========================================================== */ +/* ========================================================= DR18 ========================================================== */ +/* ========================================================= DR19 ========================================================== */ +/* ========================================================= DR20 ========================================================== */ +/* ========================================================= DR21 ========================================================== */ +/* ========================================================= DR22 ========================================================== */ +/* ========================================================= DR23 ========================================================== */ +/* ========================================================= DR24 ========================================================== */ +/* ========================================================= DR25 ========================================================== */ +/* ========================================================= DR26 ========================================================== */ +/* ========================================================= DR27 ========================================================== */ +/* ========================================================= DR28 ========================================================== */ +/* ========================================================= DR29 ========================================================== */ +/* ========================================================= DR30 ========================================================== */ +/* ========================================================= DR31 ========================================================== */ +/* ========================================================= DR32 ========================================================== */ +/* ========================================================= DR33 ========================================================== */ +/* ========================================================= DR34 ========================================================== */ +/* ========================================================= DR35 ========================================================== */ +/* ========================================================= DR36 ========================================================== */ +/* ========================================================= DR37 ========================================================== */ +/* ========================================================= DR38 ========================================================== */ +/* ========================================================= DR39 ========================================================== */ +/* ========================================================= DR40 ========================================================== */ +/* ========================================================= DR41 ========================================================== */ +/* ========================================================= DR42 ========================================================== */ +/* ========================================================= DR43 ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ CRC ================ */ +/* =========================================================================================================================== */ + +/* ========================================================== CR =========================================================== */ +/* ================================================== CRC CR MODS [7..7] =================================================== */ +typedef enum { /*!< CRC_CR_MODS */ + CRC_CR_MODS_UserMode = 0, /*!< UserMode : User Mode (Calculate every data written to the CRC_IN + register) */ + CRC_CR_MODS_AutoMode = 1, /*!< AutoMode : Auto Mode (Calculate till CRC_SADR == CRC_EADR) */ +} CRC_CR_MODS_Enum; + +/* ================================================= CRC CR RLTCLR [6..6] ================================================== */ +typedef enum { /*!< CRC_CR_RLTCLR */ + CRC_CR_RLTCLR_NoEffect = 0, /*!< NoEffect : No effect. */ + CRC_CR_RLTCLR_Init = 1, /*!< Init : Initialize the CRC_RLT register with the value of CRC_INIT. + (This bit is automatically cleared to '0' after operation.) */ +} CRC_CR_RLTCLR_Enum; + +/* ================================================== CRC CR MDSEL [5..5] ================================================== */ +typedef enum { /*!< CRC_CR_MDSEL */ + CRC_CR_MDSEL_CRC = 0, /*!< CRC : Select CRC. */ + CRC_CR_MDSEL_Checksum = 1, /*!< Checksum : Select Checksum. */ +} CRC_CR_MDSEL_Enum; + +/* ================================================== CRC CR POLYS [4..4] ================================================== */ +typedef enum { /*!< CRC_CR_POLYS */ + CRC_CR_POLYS_CRC16_CCITT = 0, /*!< CRC16_CCITT : CRC16-CCITT (G1(x) = x16 + x12 + x5 + 1) */ + CRC_CR_POLYS_CRC16 = 1, /*!< CRC16 : CRC16 (G2(x) = x16 + x15 + x2 + 1) */ +} CRC_CR_POLYS_Enum; + +/* ================================================= CRC CR SARINC [3..3] ================================================== */ +typedef enum { /*!< CRC_CR_SARINC */ + CRC_CR_SARINC_Disable = 0, /*!< Disable : No effect. */ + CRC_CR_SARINC_Enable = 1, /*!< Enable : The CRC/Checksum start address register is incremented + as the selected input size every writing to the CRC_IN + register. */ +} CRC_CR_SARINC_Enum; + +/* ================================================= CRC CR FIRSTBS [1..1] ================================================= */ +typedef enum { /*!< CRC_CR_FIRSTBS */ + CRC_CR_FIRSTBS_msbFirst = 0, /*!< msbFirst : msb first */ + CRC_CR_FIRSTBS_lsbFirst = 1, /*!< lsbFirst : lsb first */ +} CRC_CR_FIRSTBS_Enum; + +/* ================================================= CRC CR CRCRUN [0..0] ================================================== */ +typedef enum { /*!< CRC_CR_CRCRUN */ + CRC_CR_CRCRUN_Stop = 0, /*!< Stop : Not busy. The CRC operation can be finished by writing + '0' to this bit while running. */ + CRC_CR_CRCRUN_Start = 1, /*!< Start : Start CRC operation. This bit is automatically cleared + to '0' when the value of CRC_SADR register reaches the + value of CRC_EADR register. */ +} CRC_CR_CRCRUN_Enum; + +/* ========================================================== IN =========================================================== */ +/* ========================================================== RLT ========================================================== */ +/* ========================================================= INIT ========================================================== */ +/* ========================================================= SADR ========================================================== */ +/* ========================================================= EADR ========================================================== */ + + +/* =========================================================================================================================== */ +/* ================ COA0 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== TRIM00 ========================================================= */ +/* ======================================================== TRIM01 ========================================================= */ +/* ======================================================== TRIM02 ========================================================= */ +/* ======================================================== TRIM03 ========================================================= */ +/* ======================================================== TRIM04 ========================================================= */ +/* ======================================================== TRIM05 ========================================================= */ +/* ======================================================== TRIM06 ========================================================= */ +/* ======================================================== TRIM07 ========================================================= */ +/* ======================================================== TRIM08 ========================================================= */ +/* ======================================================== TRIM09 ========================================================= */ +/* ======================================================== TRIM10 ========================================================= */ +/* ======================================================== TRIM11 ========================================================= */ +/* ======================================================== TRIM12 ========================================================= */ +/* ======================================================== TRIM13 ========================================================= */ +/* ======================================================== TRIM14 ========================================================= */ +/* ======================================================== TRIM15 ========================================================= */ +/* ======================================================== TRIM16 ========================================================= */ +/* ======================================================== TRIM17 ========================================================= */ +/* ======================================================== TRIM18 ========================================================= */ +/* ======================================================== TRIM19 ========================================================= */ +/* ===================================================== CONF_MF1CNFIG ===================================================== */ +/* ===================================================== CONF_MF2CNFIG ===================================================== */ +/* ===================================================== CONF_MF3CNFIG ===================================================== */ +/* ===================================================== CONF_MF4CNFIG ===================================================== */ +/* ======================================================== TRIM24 ========================================================= */ +/* ======================================================== TRIM25 ========================================================= */ +/* ======================================================== TRIM26 ========================================================= */ +/* ======================================================== TRIM27 ========================================================= */ +/* ======================================================== TRIM28 ========================================================= */ +/* ======================================================== TRIM29 ========================================================= */ +/* ======================================================== TRIM30 ========================================================= */ +/* ======================================================== TRIM31 ========================================================= */ + + +/* =========================================================================================================================== */ +/* ================ COA1 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== RPCNFIG ======================================================== */ +/* ============================================== COA1 RPCNFIG WTIDKY [4..31] ============================================== */ +typedef enum { /*!< COA1_RPCNFIG_WTIDKY */ + COA1_RPCNFIG_WTIDKY_Value = 110922279,/*!< Value : Key Value (0x69c8a27) */ +} COA1_RPCNFIG_WTIDKY_Enum; + +/* =============================================== COA1 RPCNFIG READP [0..1] =============================================== */ +typedef enum { /*!< COA1_RPCNFIG_READP */ + COA1_RPCNFIG_READP_Level0 = 3, /*!< Level0 : No restriction for read/erase/write. */ + COA1_RPCNFIG_READP_Level1 = 2, /*!< Level1 : 1. Not readable/erasable/writable by 'Debug' 2. Bulk + erasable only by 'Debug' 3. Readable/erasable/writable + by 'Instruction from Flash Memory and RAM' */ + COA1_RPCNFIG_READP_Level2 = 0, /*!< Level2 : 1. Not readable/erasable/writable by 'Debug' / 'Instruction + from RAM' 2. Bulk erasable only by 'Instruction from RAM' + / 'Debug' 3. Readable/erasable/writable by 'Instruction + from Flash Memory' */ +} COA1_RPCNFIG_READP_Enum; + +/* ======================================================= WDTCNFIG ======================================================== */ +/* ============================================== COA1 WDTCNFIG WRCMF [4..15] ============================================== */ +typedef enum { /*!< COA1_WDTCNFIG_WRCMF */ + COA1_WDTCNFIG_WRCMF_BySW = 2413, /*!< BySW : By S/W (CLKSRCR Register) (0x96d) */ + COA1_WDTCNFIG_WRCMF_AlwaysEnableExceptDeepSleep = 679,/*!< AlwaysEnableExceptDeepSleep : Always Enable Except for Deep + Sleep (0x2a7) */ + COA1_WDTCNFIG_WRCMF_AlwaysEnable = 4095, /*!< AlwaysEnable : Always Enable */ +} COA1_WDTCNFIG_WRCMF_Enum; + +/* ============================================== COA1 WDTCNFIG WCLKMF [2..2] ============================================== */ +typedef enum { /*!< COA1_WDTCNFIG_WCLKMF */ + COA1_WDTCNFIG_WCLKMF_BySW = 0, /*!< BySW : By S/W (PPCLKSR Register) */ + COA1_WDTCNFIG_WCLKMF_AlwaysWDTRC = 1, /*!< AlwaysWDTRC : Always WDTRC */ +} COA1_WDTCNFIG_WCLKMF_Enum; + +/* ============================================== COA1 WDTCNFIG WRSTMF [1..1] ============================================== */ +typedef enum { /*!< COA1_WDTCNFIG_WRSTMF */ + COA1_WDTCNFIG_WRSTMF_AlwaysEnable = 0, /*!< AlwaysEnable : Always Enable */ + COA1_WDTCNFIG_WRSTMF_BySW = 1, /*!< BySW : By S/W (WDTCR Register) */ +} COA1_WDTCNFIG_WRSTMF_Enum; + +/* ============================================== COA1 WDTCNFIG WCNTMF [0..0] ============================================== */ +typedef enum { /*!< COA1_WDTCNFIG_WCNTMF */ + COA1_WDTCNFIG_WCNTMF_AlwaysEnable = 0, /*!< AlwaysEnable : Always Enable */ + COA1_WDTCNFIG_WCNTMF_BySW = 1, /*!< BySW : By S/W (WDTCR Register) */ +} COA1_WDTCNFIG_WCNTMF_Enum; + +/* ======================================================= LVRCNFIG ======================================================== */ +/* ============================================= COA1 LVRCNFIG LVRENM [8..15] ============================================== */ +typedef enum { /*!< COA1_LVRCNFIG_LVRENM */ + COA1_LVRCNFIG_LVRENM_BySW = 170, /*!< BySW : By S/W (LVRCR Register) (0xaa) */ + COA1_LVRCNFIG_LVRENM_AlwaysEnable = 255, /*!< AlwaysEnable : Always Enable (0xff) */ +} COA1_LVRCNFIG_LVRENM_Enum; + +/* ============================================== COA1 LVRCNFIG LVRVS [0..3] =============================================== */ +typedef enum { /*!< COA1_LVRCNFIG_LVRVS */ + COA1_LVRCNFIG_LVRVS_1p62V = 15, /*!< 1p62V : 1.62V */ + COA1_LVRCNFIG_LVRVS_DNW14 = 14, /*!< DNW14 : Do not write. */ + COA1_LVRCNFIG_LVRVS_DNW13 = 13, /*!< DNW13 : Do not write. */ + COA1_LVRCNFIG_LVRVS_DNW12 = 12, /*!< DNW12 : Do not write. */ + COA1_LVRCNFIG_LVRVS_2p00V = 11, /*!< 2p00V : 2.00V */ + COA1_LVRCNFIG_LVRVS_2p13V = 10, /*!< 2p13V : 2.13V */ + COA1_LVRCNFIG_LVRVS_2p28V = 9, /*!< 2p28V : 2.28V */ + COA1_LVRCNFIG_LVRVS_2p46V = 8, /*!< 2p46V : 2.46V */ + COA1_LVRCNFIG_LVRVS_2p67V = 7, /*!< 2p67V : 2.67V */ + COA1_LVRCNFIG_LVRVS_3p04V = 6, /*!< 3p04V : 3.04V */ + COA1_LVRCNFIG_LVRVS_3p20V = 5, /*!< 3p20V : 3.20V */ + COA1_LVRCNFIG_LVRVS_3p55V = 4, /*!< 3p55V : 3.55V */ + COA1_LVRCNFIG_LVRVS_3p75V = 3, /*!< 3p75V : 3.75V */ + COA1_LVRCNFIG_LVRVS_3p99V = 2, /*!< 3p99V : 3.99V */ + COA1_LVRCNFIG_LVRVS_4p25V = 1, /*!< 4p25V : 4.25V */ + COA1_LVRCNFIG_LVRVS_4p55 = 0, /*!< 4p55 : 4.55V */ +} COA1_LVRCNFIG_LVRVS_Enum; + +/* ======================================================= CNFIGWTP1 ======================================================= */ +/* ============================================== COA1 CNFIGWTP1 CP3WP [2..2] ============================================== */ +typedef enum { /*!< COA1_CNFIGWTP1_CP3WP */ + COA1_CNFIGWTP1_CP3WP_Enable = 0, /*!< Enable : Enable protection. (Not erasable/writable by instruction) */ + COA1_CNFIGWTP1_CP3WP_Disable = 1, /*!< Disable : Disable protection. (Erasable/writable by instruction) */ +} COA1_CNFIGWTP1_CP3WP_Enum; + +/* ============================================== COA1 CNFIGWTP1 CP2WP [1..1] ============================================== */ +typedef enum { /*!< COA1_CNFIGWTP1_CP2WP */ + COA1_CNFIGWTP1_CP2WP_Enable = 0, /*!< Enable : Enable protection. (Not erasable/writable by instruction) */ + COA1_CNFIGWTP1_CP2WP_Disable = 1, /*!< Disable : Disable protection. (Erasable/writable by instruction) */ +} COA1_CNFIGWTP1_CP2WP_Enum; + +/* ============================================== COA1 CNFIGWTP1 CP1WP [0..0] ============================================== */ +typedef enum { /*!< COA1_CNFIGWTP1_CP1WP */ + COA1_CNFIGWTP1_CP1WP_Enable = 0, /*!< Enable : Enable protection. (Not erasable/writable by instruction) */ + COA1_CNFIGWTP1_CP1WP_Disable = 1, /*!< Disable : Disable protection. (Erasable/writable by instruction) */ +} COA1_CNFIGWTP1_CP1WP_Enum; + +/* ======================================================== FMWTP1 ========================================================= */ +/* ============================================== COA1 FMWTP1 SWTP31 [31..31] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP31 */ + COA1_FMWTP1_SWTP31_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP31_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP31_Enum; + +/* ============================================== COA1 FMWTP1 SWTP30 [30..30] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP30 */ + COA1_FMWTP1_SWTP30_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP30_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP30_Enum; + +/* ============================================== COA1 FMWTP1 SWTP29 [29..29] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP29 */ + COA1_FMWTP1_SWTP29_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP29_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP29_Enum; + +/* ============================================== COA1 FMWTP1 SWTP28 [28..28] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP28 */ + COA1_FMWTP1_SWTP28_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP28_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP28_Enum; + +/* ============================================== COA1 FMWTP1 SWTP27 [27..27] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP27 */ + COA1_FMWTP1_SWTP27_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP27_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP27_Enum; + +/* ============================================== COA1 FMWTP1 SWTP26 [26..26] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP26 */ + COA1_FMWTP1_SWTP26_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP26_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP26_Enum; + +/* ============================================== COA1 FMWTP1 SWTP25 [25..25] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP25 */ + COA1_FMWTP1_SWTP25_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP25_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP25_Enum; + +/* ============================================== COA1 FMWTP1 SWTP24 [24..24] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP24 */ + COA1_FMWTP1_SWTP24_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP24_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP24_Enum; + +/* ============================================== COA1 FMWTP1 SWTP23 [23..23] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP23 */ + COA1_FMWTP1_SWTP23_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP23_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP23_Enum; + +/* ============================================== COA1 FMWTP1 SWTP22 [22..22] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP22 */ + COA1_FMWTP1_SWTP22_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP22_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP22_Enum; + +/* ============================================== COA1 FMWTP1 SWTP21 [21..21] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP21 */ + COA1_FMWTP1_SWTP21_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP21_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP21_Enum; + +/* ============================================== COA1 FMWTP1 SWTP20 [20..20] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP20 */ + COA1_FMWTP1_SWTP20_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP20_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP20_Enum; + +/* ============================================== COA1 FMWTP1 SWTP19 [19..19] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP19 */ + COA1_FMWTP1_SWTP19_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP19_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP19_Enum; + +/* ============================================== COA1 FMWTP1 SWTP18 [18..18] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP18 */ + COA1_FMWTP1_SWTP18_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP18_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP18_Enum; + +/* ============================================== COA1 FMWTP1 SWTP17 [17..17] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP17 */ + COA1_FMWTP1_SWTP17_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP17_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP17_Enum; + +/* ============================================== COA1 FMWTP1 SWTP16 [16..16] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP16 */ + COA1_FMWTP1_SWTP16_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP16_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP16_Enum; + +/* ============================================== COA1 FMWTP1 SWTP15 [15..15] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP15 */ + COA1_FMWTP1_SWTP15_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP15_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP15_Enum; + +/* ============================================== COA1 FMWTP1 SWTP14 [14..14] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP14 */ + COA1_FMWTP1_SWTP14_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP14_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP14_Enum; + +/* ============================================== COA1 FMWTP1 SWTP13 [13..13] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP13 */ + COA1_FMWTP1_SWTP13_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP13_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP13_Enum; + +/* ============================================== COA1 FMWTP1 SWTP12 [12..12] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP12 */ + COA1_FMWTP1_SWTP12_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP12_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP12_Enum; + +/* ============================================== COA1 FMWTP1 SWTP11 [11..11] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP11 */ + COA1_FMWTP1_SWTP11_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP11_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP11_Enum; + +/* ============================================== COA1 FMWTP1 SWTP10 [10..10] ============================================== */ +typedef enum { /*!< COA1_FMWTP1_SWTP10 */ + COA1_FMWTP1_SWTP10_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP10_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP10_Enum; + +/* =============================================== COA1 FMWTP1 SWTP9 [9..9] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP9 */ + COA1_FMWTP1_SWTP9_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP9_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP9_Enum; + +/* =============================================== COA1 FMWTP1 SWTP8 [8..8] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP8 */ + COA1_FMWTP1_SWTP8_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP8_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP8_Enum; + +/* =============================================== COA1 FMWTP1 SWTP7 [7..7] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP7 */ + COA1_FMWTP1_SWTP7_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP7_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP7_Enum; + +/* =============================================== COA1 FMWTP1 SWTP6 [6..6] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP6 */ + COA1_FMWTP1_SWTP6_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP6_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP6_Enum; + +/* =============================================== COA1 FMWTP1 SWTP5 [5..5] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP5 */ + COA1_FMWTP1_SWTP5_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP5_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP5_Enum; + +/* =============================================== COA1 FMWTP1 SWTP4 [4..4] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP4 */ + COA1_FMWTP1_SWTP4_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP4_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP4_Enum; + +/* =============================================== COA1 FMWTP1 SWTP3 [3..3] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP3 */ + COA1_FMWTP1_SWTP3_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP3_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP3_Enum; + +/* =============================================== COA1 FMWTP1 SWTP2 [2..2] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP2 */ + COA1_FMWTP1_SWTP2_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP2_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP2_Enum; + +/* =============================================== COA1 FMWTP1 SWTP1 [1..1] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP1 */ + COA1_FMWTP1_SWTP1_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP1_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP1_Enum; + +/* =============================================== COA1 FMWTP1 SWTP0 [0..0] ================================================ */ +typedef enum { /*!< COA1_FMWTP1_SWTP0 */ + COA1_FMWTP1_SWTP0_Enable = 0, /*!< Enable : Protect 'flash memory sector n erase/write' */ + COA1_FMWTP1_SWTP0_Disable = 1, /*!< Disable : Permit 'flash memory sector n erase/write' */ +} COA1_FMWTP1_SWTP0_Enum; + + + +/* =========================================================================================================================== */ +/* ================ COA2 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== UDATA00 ======================================================== */ +/* ======================================================== UDATA01 ======================================================== */ +/* ======================================================== UDATA02 ======================================================== */ +/* ======================================================== UDATA03 ======================================================== */ +/* ======================================================== UDATA04 ======================================================== */ +/* ======================================================== UDATA05 ======================================================== */ +/* ======================================================== UDATA06 ======================================================== */ +/* ======================================================== UDATA07 ======================================================== */ +/* ======================================================== UDATA08 ======================================================== */ +/* ======================================================== UDATA09 ======================================================== */ +/* ======================================================== UDATA10 ======================================================== */ +/* ======================================================== UDATA11 ======================================================== */ +/* ======================================================== UDATA12 ======================================================== */ +/* ======================================================== UDATA13 ======================================================== */ +/* ======================================================== UDATA14 ======================================================== */ +/* ======================================================== UDATA15 ======================================================== */ +/* ======================================================== UDATA16 ======================================================== */ +/* ======================================================== UDATA17 ======================================================== */ +/* ======================================================== UDATA18 ======================================================== */ +/* ======================================================== UDATA19 ======================================================== */ +/* ======================================================== UDATA20 ======================================================== */ +/* ======================================================== UDATA21 ======================================================== */ +/* ======================================================== UDATA22 ======================================================== */ +/* ======================================================== UDATA23 ======================================================== */ +/* ======================================================== UDATA24 ======================================================== */ +/* ======================================================== UDATA25 ======================================================== */ +/* ======================================================== UDATA26 ======================================================== */ +/* ======================================================== UDATA27 ======================================================== */ +/* ======================================================== UDATA28 ======================================================== */ +/* ======================================================== UDATA29 ======================================================== */ +/* ======================================================== UDATA30 ======================================================== */ +/* ======================================================== UDATA31 ======================================================== */ + + +/* =========================================================================================================================== */ +/* ================ COA3 ================ */ +/* =========================================================================================================================== */ + +/* ======================================================== UDATA00 ======================================================== */ +/* ======================================================== UDATA01 ======================================================== */ +/* ======================================================== UDATA02 ======================================================== */ +/* ======================================================== UDATA03 ======================================================== */ +/* ======================================================== UDATA04 ======================================================== */ +/* ======================================================== UDATA05 ======================================================== */ +/* ======================================================== UDATA06 ======================================================== */ +/* ======================================================== UDATA07 ======================================================== */ +/* ======================================================== UDATA08 ======================================================== */ +/* ======================================================== UDATA09 ======================================================== */ +/* ======================================================== UDATA10 ======================================================== */ +/* ======================================================== UDATA11 ======================================================== */ +/* ======================================================== UDATA12 ======================================================== */ +/* ======================================================== UDATA13 ======================================================== */ +/* ======================================================== UDATA14 ======================================================== */ +/* ======================================================== UDATA15 ======================================================== */ +/* ======================================================== UDATA16 ======================================================== */ +/* ======================================================== UDATA17 ======================================================== */ +/* ======================================================== UDATA18 ======================================================== */ +/* ======================================================== UDATA19 ======================================================== */ +/* ======================================================== UDATA20 ======================================================== */ +/* ======================================================== UDATA21 ======================================================== */ +/* ======================================================== UDATA22 ======================================================== */ +/* ======================================================== UDATA23 ======================================================== */ +/* ======================================================== UDATA24 ======================================================== */ +/* ======================================================== UDATA25 ======================================================== */ +/* ======================================================== UDATA26 ======================================================== */ +/* ======================================================== UDATA27 ======================================================== */ +/* ======================================================== UDATA28 ======================================================== */ +/* ======================================================== UDATA29 ======================================================== */ +/* ======================================================== UDATA30 ======================================================== */ +/* ======================================================== UDATA31 ======================================================== */ + +/** @} */ /* End of group EnumValue_peripherals */ + + +#ifdef __cplusplus +} +#endif + +#endif /* A31G12X_H */ + + +/** @} */ /* End of group A31G12x */ + +/** @} */ /* End of group VENDOR ABOV Semiconductor Co., Ltd. */ diff --git a/Project/SDK_V2_5_0/Device/Startup/startup_A31G12x.s b/Project/SDK_V2_5_0/Device/Startup/startup_A31G12x.s new file mode 100644 index 0000000..352f770 --- /dev/null +++ b/Project/SDK_V2_5_0/Device/Startup/startup_A31G12x.s @@ -0,0 +1,253 @@ +;/**************************************************************************//** +; * @file startup_A31G12x.s +; * @brief CMSIS Core Device Startup File for +; * A31G12x Device Series +; * @version V5.00 +; * @date 02. March 2016 +; ******************************************************************************/ +;/* +; * Copyright (c) 2009-2016 ARM Limited. All rights reserved. +; * +; * SPDX-License-Identifier: Apache-2.0 +; * +; * Licensed under the Apache License, Version 2.0 (the License); you may +; * not use this file except in compliance with the License. +; * You may obtain a copy of the License at +; * +; * www.apache.org/licenses/LICENSE-2.0 +; * +; * Unless required by applicable law or agreed to in writing, software +; * distributed under the License is distributed on an AS IS BASIS, WITHOUT +; * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. +; * See the License for the specific language governing permissions and +; * limitations under the License. +; */ + +;/* +;//-------- <<< Use Configuration Wizard in Context Menu >>> ------------------ +;*/ + + +; Stack Configuration +; Stack Size (in Bytes) <0x0-0xFFFFFFFF:8> +; + +Stack_Size EQU 0x00000500 + + AREA STACK, NOINIT, READWRITE, ALIGN=3 +Stack_Mem SPACE Stack_Size +__initial_sp + + +; Heap Configuration +; Heap Size (in Bytes) <0x0-0xFFFFFFFF:8> +; + +Heap_Size EQU 0x00000080 + + AREA HEAP, NOINIT, READWRITE, ALIGN=3 +__heap_base +Heap_Mem SPACE Heap_Size +__heap_limit + + + PRESERVE8 + THUMB + + +; Vector Table Mapped to Address 0 at Reset + + AREA RESET, DATA, READONLY + EXPORT __Vectors + EXPORT __Vectors_End + EXPORT __Vectors_Size + +__Vectors DCD __initial_sp ; Top of Stack + DCD Reset_Handler ; Reset Handler + DCD NMI_Handler ; NMI Handler + DCD HardFault_Handler ; Hard Fault Handler + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD SVC_Handler ; SVCall Handler + DCD 0 ; Reserved + DCD 0 ; Reserved + DCD PendSV_Handler ; PendSV Handler + DCD SysTick_Handler ; SysTick Handler + + ; External Interrupts + DCD LVI_Handler ; IRQ 0 + DCD WUT_Handler ; IRQ 1 + DCD WDT_Handler ; IRQ 2 + DCD EINT0_Handler ; IRQ 3 + DCD EINT1_Handler ; IRQ 4 + DCD EINT2_Handler ; IRQ 5 + DCD EINT3_Handler ; IRQ 6 + DCD TIMER10_Handler ; IRQ 7 + DCD TIMER11_Handler ; IRQ 8 + DCD TIMER12_Handler ; IRQ 9 + DCD I2C0_Handler ; IRQ 10 + DCD USART10_Handler ; IRQ 11 + DCD WT_Handler ; IRQ 12 + DCD TIMER30_Handler ; IRQ 13 + DCD I2C1_Handler ; IRQ 14 + DCD TIMER20_Handler ; IRQ 15 + DCD TIMER21_Handler ; IRQ 16 + DCD USART11_Handler ; IRQ 17 + DCD ADC_Handler ; IRQ 18 + DCD UART0_Handler ; IRQ 19 + DCD UART1_Handler ; IRQ 20 + DCD TIMER13_Handler ; IRQ 21 + DCD TIMER14_Handler ; IRQ 22 + DCD TIMER15_Handler ; IRQ 23 + DCD TIMER16_Handler ; IRQ 24 + DCD I2C2_Handler ; IRQ 25 + DCD USART12_Handler ; IRQ 26 + DCD USART13_Handler ; IRQ 27 + ;DCD RESERVED_Handler ; IRQ 28 + ;DCD RESERVED_Handler ; IRQ 29 + ;DCD RESERVED_Handler ; IRQ 30 + ;DCD RESERVED_Handler ; IRQ 31 +__Vectors_End + +__Vectors_Size EQU __Vectors_End - __Vectors + + AREA |.text|, CODE, READONLY + + +; Reset Handler + +Reset_Handler PROC + EXPORT Reset_Handler [WEAK] + IMPORT SystemInit + IMPORT __main + LDR R0, =SystemInit + BLX R0 + LDR R0, =__main + BX R0 + ENDP + + +; Dummy Exception Handlers (infinite loops which can be modified) + +NMI_Handler PROC + EXPORT NMI_Handler [WEAK] + B . + ENDP +HardFault_Handler\ + PROC + EXPORT HardFault_Handler [WEAK] + B . + ENDP +SVC_Handler PROC + EXPORT SVC_Handler [WEAK] + B . + ENDP +PendSV_Handler PROC + EXPORT PendSV_Handler [WEAK] + B . + ENDP +SysTick_Handler PROC + EXPORT SysTick_Handler [WEAK] + B . + ENDP + +DEVICE_Handler PROC + + EXPORT LVI_Handler [WEAK] + EXPORT WUT_Handler [WEAK] + EXPORT WDT_Handler [WEAK] + EXPORT EINT0_Handler [WEAK] + EXPORT EINT1_Handler [WEAK] + EXPORT EINT2_Handler [WEAK] + EXPORT EINT3_Handler [WEAK] + EXPORT TIMER10_Handler [WEAK] + EXPORT TIMER11_Handler [WEAK] + EXPORT TIMER12_Handler [WEAK] + EXPORT I2C0_Handler [WEAK] + EXPORT USART10_Handler [WEAK] + EXPORT WT_Handler [WEAK] + EXPORT TIMER30_Handler [WEAK] + EXPORT I2C1_Handler [WEAK] + EXPORT TIMER20_Handler [WEAK] + EXPORT TIMER21_Handler [WEAK] + EXPORT USART11_Handler [WEAK] + EXPORT ADC_Handler [WEAK] + EXPORT UART0_Handler [WEAK] + EXPORT UART1_Handler [WEAK] + EXPORT TIMER13_Handler [WEAK] + EXPORT TIMER14_Handler [WEAK] + EXPORT TIMER15_Handler [WEAK] + EXPORT TIMER16_Handler [WEAK] + EXPORT I2C2_Handler [WEAK] + EXPORT USART12_Handler [WEAK] + EXPORT USART13_Handler [WEAK] + +LVI_Handler +WUT_Handler +WDT_Handler +EINT0_Handler +EINT1_Handler +EINT2_Handler +EINT3_Handler +TIMER10_Handler +TIMER11_Handler +TIMER12_Handler +I2C0_Handler +USART10_Handler +WT_Handler +TIMER30_Handler +I2C1_Handler +TIMER20_Handler +TIMER21_Handler +USART11_Handler +ADC_Handler +UART0_Handler +UART1_Handler +TIMER13_Handler +TIMER14_Handler +TIMER15_Handler +TIMER16_Handler +I2C2_Handler +USART12_Handler +USART13_Handler + B . + + ENDP + + + ALIGN + + +; User Initial Stack & Heap + + IF :DEF:__MICROLIB + + EXPORT __initial_sp + EXPORT __heap_base + EXPORT __heap_limit + + ELSE + + IMPORT __use_two_region_memory + EXPORT __user_initial_stackheap + +__user_initial_stackheap PROC + LDR R0, = Heap_Mem + LDR R1, =(Stack_Mem + Stack_Size) + LDR R2, = (Heap_Mem + Heap_Size) + LDR R3, = Stack_Mem + BX LR + ENDP + + ALIGN + + ENDIF + + + END + diff --git a/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.c b/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.c new file mode 100644 index 0000000..578bae5 --- /dev/null +++ b/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.c @@ -0,0 +1,82 @@ +/***************************************************************************//** + * @file system_A31G12x.c + * @brief CMSIS Device System Source File for + * A31G12x Device Series + * @version V5.00 + * @date 10. January 2018 + *//***************************************************************************/ +/* + * Copyright (c) 2009-2018 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + */ + +#include "A31G12x.h" + +//============================================================================== +// System Core Clock Variable +//============================================================================== +/* ToDo: initialize SystemCoreClock with the system core clock frequency value + achieved after system intitialization. + This means system core clock frequency after call to SystemInit() */ +uint32_t mclk; /**< Main Clock Frequency (MCLK) */ +uint32_t SystemCoreClock; /**< System Core Clock Frequency (Core Clock & HCLK) */ +uint32_t SystemPeriClock; /**< System Peripheral Clock Frequency (PCLK) */ +uint32_t ClkSrcTbl[] = { __HIRC, __XMOSC, __XSOSC, __WDTRC }; + +/*-------------------------------------------------------------------------*//** + * @brief Update SystemCoreClock variable. + * @return None + * @details Updates the SystemCoreClock with current core Clock retrieved from cpu registers. + * @note This function should be executed whenever the clock is changed. + *//*-------------------------------------------------------------------------*/ +void SystemCoreClockUpdate( void ) /* Get Core Clock Frequency */ +{ + mclk = ClkSrcTbl[SCUCG->SCCR_b.MCLKSEL]; + if( SCUCG->SCCR_b.MCLKSEL == 0 ) mclk >>= SCUCG->CLKSRCR_b.HIRCSEL; // MCLK + + if( SCUCG->SCDIVR1_b.HDIV > 4 ) SCUCG->SCDIVR1_b.HDIV = 4; + SystemCoreClock = mclk >> (4 - SCUCG->SCDIVR1_b.HDIV); // HCLK + SystemPeriClock = SystemCoreClock >> SCUCG->SCDIVR2_b.PDIV; // PCLK +} + +/*-------------------------------------------------------------------------*//** + * @brief Setup the microcontroller system. + * @return None + * @details Initialize the System and update the SystemCoreClock variable. + *//*-------------------------------------------------------------------------*/ +void SystemInit( void ) +{ + /* ToDo: add code to initialize the system + do not use global variables because this function is called before + reaching pre-main. RW section maybe overwritten afterwards. */ + + while( SCUCC->SRSTVR_b.VALID != 0x55 ) {} + + // disable interrupt + __disable_irq(); + + // disable WDT + WDT->CR = 0 + | ( 0x5A69uL << WDT_CR_WTIDKY_Pos ) + | ( WDT_CR_RSTEN_Disable << WDT_CR_RSTEN_Pos ) // added by lms + | ( WDT_CR_CNTEN_Disable << WDT_CR_CNTEN_Pos ) + ; + +#ifndef __ON_DEBUG__ + WDT->SR_b.DBGCNTEN = 0 << WDT_SR_DBGCNTEN_Pos; +#endif +} + diff --git a/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.h b/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.h new file mode 100644 index 0000000..14775bc --- /dev/null +++ b/Project/SDK_V2_5_0/Device/Startup/system_A31G12x.h @@ -0,0 +1,72 @@ +/***************************************************************************//** + * @file system_A31G12x.h + * @brief CMSIS Device System Header File for + * A31G12x Device Series + * @version V5.00 + * @date 10. January 2018 + *//***************************************************************************/ +/* + * Copyright (c) 2009-2018 Arm Limited. All rights reserved. + * + * SPDX-License-Identifier: Apache-2.0 + * + * Licensed under the Apache License, Version 2.0 (the License); you may + * not use this file except in compliance with the License. + * You may obtain a copy of the License at + * + * www.apache.org/licenses/LICENSE-2.0 + * + * Unless required by applicable law or agreed to in writing, software + * distributed under the License is distributed on an AS IS BASIS, WITHOUT + * WARRANTIES OR CONDITIONS OF ANY KIND, either express or implied. + * See the License for the specific language governing permissions and + * limitations under the License. + */ + +#ifndef SYSTEM_A31G12x_H +#define SYSTEM_A31G12x_H + +#ifdef __cplusplus +extern "C" { +#endif + +//============================================================================== +// Define Debug mode or not +//============================================================================== +#define __ON_DEBUG__ // This line should be defined on debug mode only. + +//============================================================================== +// Define clocks +//============================================================================== +/* ToDo: add here your necessary defines for device initialization + following is an example for different system frequencies */ + +#define __HIRC (40000000uL) /**< Internal RC Oscillator Frequency */ +#define __XMOSC (16000000uL) /**< External Main Crystal Oscillator Frequency */ +#define __XSOSC (32768uL) /**< External Sub Crystal Oscillator Frequency */ +#define __WDTRC (40000uL) /**< Watch-Dog Timer RC Oscillator Frequency */ + +extern uint32_t mclk; /**< Main Clock Frequency (MCLK) */ +extern uint32_t SystemCoreClock; /**< System Core Clock Frequency (Core Clock & HCLK) */ +extern uint32_t SystemPeriClock; /**< System Peripheral Clock Frequency (PCLK) */ + +/*-------------------------------------------------------------------------*//** + * @brief Setup the microcontroller system. + * @return None + * @details Initialize the System and update the SystemCoreClock variable. + *//*-------------------------------------------------------------------------*/ +extern void SystemInit( void ); + +/*-------------------------------------------------------------------------*//** + * @brief Update SystemCoreClock variable. + * @return None + * @details Updates the SystemCoreClock with current core Clock retrieved from cpu registers. + *//*-------------------------------------------------------------------------*/ +extern void SystemCoreClockUpdate( void ); + +#ifdef __cplusplus +} +#endif + +#endif /* SYSTEM_A31G12x_H */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_aa_types.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_aa_types.h new file mode 100644 index 0000000..df93d53 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_aa_types.h @@ -0,0 +1,264 @@ +/***************************************************************************//** +* @file A31G12x_hal_aa_types.h +* @brief Contains the ABOV typedefs for C standard types. +* It is intended to be used in ISO C conforming development +* environments and checks for this insofar as it is possible +* to do so. +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef AA_TYPES_H +#define AA_TYPES_H + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +/* NULL pointer */ +#ifndef NULL +#define NULL ((void*) 0) +#endif + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** HAL Status Type Definition */ +typedef enum +{ + HAL_OK = 0x00U, + HAL_ERROR = 0x01U, + HAL_BUSY = 0x02U, + HAL_TIMEOUT = 0x03U +} HAL_Status_Type; + +/** Boolean Type Definition */ +typedef enum +{ + FALSE = 0, + TRUE = !FALSE +} Bool; + +/** Flag Status and Interrupt Flag Status Type Definition */ +typedef enum +{ + RESET = 0, + SET = !RESET +} FlagStatus, IntStatus, SetState; + +/** Functional State Definition */ +typedef enum +{ + DISABLE = 0, + ENABLE = !DISABLE +} FunctionalState; + +/** Status Type Definition */ +typedef enum +{ + ERROR = 0, + SUCCESS = !ERROR +} Status; + +/** Read/Write Transfer Mode Type */ +typedef enum +{ + NONE_BLOCKING = 0, /**< Non-Blocking Mode */ + BLOCKING, /**< Blocking Mode */ +} TRANSFER_BLOCK_Type; + +//============================================================================== +// Generic +//============================================================================== + +typedef unsigned char b8; // boolean type +typedef char c8; // character type +typedef unsigned char u8; // 8-bit unsigned integer type +typedef signed char s8; // 8-bit signed integer type +typedef unsigned short int u16; // 16-bit unsigned integer type +typedef signed short int s16; // 16-bit signed integer type +// typedef unsigned int u32; // 32-bit unsigned integer type +// typedef signed int s32; // 32-bit signed integer type +typedef unsigned long int u32; // 32-bit unsigned integer type +typedef signed long int s32; // 32-bit signed integer type +typedef unsigned long long int u64; // 64-bit unsigned integer type +typedef signed long long int s64; // 64-bit signed integer type +typedef float f32; // 32-bit floating point type +typedef double f64; // 64-bit floating point type + +typedef volatile unsigned char vb8; // boolean type +typedef volatile char vc8; // character type +typedef volatile unsigned char vu8; // 8-bit unsigned integer type +typedef volatile signed char vs8; // 8-bit signed integer type +typedef volatile unsigned short int vu16; // 16-bit unsigned integer type +typedef volatile signed short int vs16; // 16-bit signed integer type +// typedef volatile unsigned int vu32; // 32-bit unsigned integer type +// typedef volatile signed int vs32; // 32-bit signed integer type +typedef volatile unsigned long int vu32; // 32-bit unsigned integer type +typedef volatile signed long int vs32; // 32-bit signed integer type +typedef volatile unsigned long long int vu64; // 64-bit unsigned integer type +typedef volatile signed long long int vs64; // 64-bit signed integer type +typedef volatile float vf32; // 32-bit floating point type +typedef volatile double vf64; // 64-bit floating point type + +//****************************************************************************** +// Macro +//****************************************************************************** + +//============================================================================== +// bit operation +//============================================================================== + +#define bitm( p ) ((u32)1<<(p)) +#define bitp( r, p, v ) { \ + r &= ~bitm( p ); \ + r |= (((v) & bitm(0)) << (p)); \ + } +#define bits( r, p ) r |= bitm( p ) +#define bitr( r, p ) r &= ~bitm( p ) +#define bitc( r, p ) r ^= bitm( p ) +#define bitg( r, p ) ((r&bitm( p )) >> (p)) +#define bitt( r, p, v ) ((r&bitm( p )) == ((v)<<(p))) + +#define bitsm( p, s ) ((((u64)1<<(s))-1) << (p)) +#define bitsp( r, p, s, v ) { \ + r &= ~bitsm( p, s ); \ + r |= (((v) & bitsm(0,s)) << (p)); \ + } +#define bitss( r, p, s ) r |= bitsm( p, s ) +#define bitsr( r, p, s ) r &= ~bitsm( p, s ) +#define bitsc( r, p, s ) r ^= bitsm( p, s ) +#define bitsg( r, p, s ) ((r&bitsm( p, s )) >> (p)) +#define bitst( r, p, s, v ) ((r&bitsm( p, s )) == ((v)<<(p))) + +//============================================================================== +// bit operation +//============================================================================== + +/*-------------------------------------------------------------------------*//** + * _BIT( n ) sets the bit at position "n" + * _BIT( n ) is intended to be used in "OR" and "AND" expressions: + * e.g., "(_BIT(3) | _BIT(7))". + *//*-------------------------------------------------------------------------*/ +#undef _BIT +// Set bit macro +#define _BIT( n ) (1 << (n)) + +/*-------------------------------------------------------------------------*//** + * _SBF( f, v ) sets the bit field starting at position "f" to value "v". + * _SBF( f, v ) is intended to be used in "OR" and "AND" expressions: + * e.g., "((_SBF(5,7) | _SBF(12,0xF)) & 0xFFFF)" + *//*-------------------------------------------------------------------------*/ +#undef _SBF +// Set bit field macro +#define _SBF( f, v ) ((v) << (f)) + +/*-------------------------------------------------------------------------*//** + * _BITMASK constructs a symbol with 'field_width' least significant + * bits set. + * e.g., _BITMASK(5) constructs '0x1F', _BITMASK(16) == 0xFFFF + * The symbol is intended to be used to limit the bit field width + * thusly: + * = (any_expression) & _BITMASK(x), where 0 < x <= 32. + * If "any_expression" results in a value that is larger than can be + * contained in 'x' bits, the bits above 'x - 1' are masked off. When + * used with the _SBF example above, the example would be written: + * a_reg = ((_SBF(5,7) | _SBF(12,0xF)) & _BITMASK(16)) + * This ensures that the value written to a_reg is no wider than + * 16 bits, and makes the code easier to read and understand. + *//*-------------------------------------------------------------------------*/ +#undef _BITMASK +// Bitmask creation macro +#define _BITMASK( field_width ) (_BIT(field_width) - 1) + +//============================================================================== +// array +//============================================================================== + +// Number of elements in an array +#define NELEMENTS( array ) (sizeof (array) / sizeof (array[0])) + +//============================================================================== +// max/min +//============================================================================== + +// max +#if !defined( MAX ) +#define MAX( a, b ) (((a) > (b)) ? (a) : (b)) +#endif + +// min +#if !defined( MIN ) +#define MIN( a, b ) (((a) < (b)) ? (a) : (b)) +#endif + +//============================================================================== +// instruction +//============================================================================== + +#define SYS_RESET() NVIC_SystemReset() + +#define NOP() __NOP() +#define WFI() __WFI() +#define WFE() __WFE() +#define DI() __disable_irq() // reset value is "interrupt enable". +#define EI() __enable_irq() // reset value is "interrupt enable". + +#define APB_NOP {unsigned long tmp = CIDR;} + +//============================================================================== +// directive +//============================================================================== + +// Static data/function define +#define STATIC static + +// External data/function define +#define EXTERN extern + +// inline function +#ifdef __CC_ARM +#define INLINE __inline +#else +#define INLINE inline +#endif + +#ifdef __cplusplus +} +#endif + +#endif /* AA_TYPES_H */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_adc.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_adc.h new file mode 100644 index 0000000..0e55dfc --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_adc.h @@ -0,0 +1,185 @@ +/***************************************************************************//** +* @file A31G12x_hal_adc.h +* @brief Contains all macro definitions and function prototypes +* support for adc firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _ADC_H_ +#define _ADC_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//---------- ADC Trigger Signal Definition ---------- +#define ADC_ADST (0x0uL << 11) +#define ADC_T10M (0x1uL << 11) +#define ADC_T11M (0x2uL << 11) +#define ADC_T12M (0x3uL << 11) +#define ADC_T30 (0x4uL << 11) + +//---------- ADC Reference Selection Definition ---------- +#define ADC_VDD (0x0uL << 10) +#define ADC_AVREF (0x1uL << 10) + +//---------- ADC Convesion Start Definition ---------- +//#define ADC_ADST (0x1uL << 8) + +//---------- ADC Interrupt Enable/Disable Definition ---------- +#define ADC_INTDIS (0x0uL << 5) +#define ADC_INTEN (0x1uL << 5) + +//---------- ADC Channel Selection Definition ---------- +#define ADC_AN0 (0x00uL << 0) +#define ADC_AN1 (0x01uL << 0) +#define ADC_AN2 (0x02uL << 0) +#define ADC_AN3 (0x03uL << 0) +#define ADC_AN4 (0x04uL << 0) +#define ADC_AN5 (0x05uL << 0) +#define ADC_AN6 (0x06uL << 0) +#define ADC_AN7 (0x07uL << 0) +#define ADC_AN8 (0x08uL << 0) +#define ADC_AN9 (0x09uL << 0) +#define ADC_AN10 (0x0auL << 0) + +/*-------------------------------------------------------------------------*//** + * Macro defines for ADC Status register + *//*-------------------------------------------------------------------------*/ +#define ADC_STAT_END ((1uL << 4)) + +#define ADC_REF_VDD 0 /**< ADC ref source VDD */ +#define ADC_REF_AVREF 1 /**< ADC ref source AVREF */ + +#define ADC_TRIGGER_DISABLE 0 /**< Event Trigger Disabled/Soft-Trigger Only */ +#define ADC_TRIGGER_TIMER10 1 /**< Timer10 Event Trigger */ +#define ADC_TRIGGER_TIMER11 2 /**< Timer11 Event Trigger */ +#define ADC_TRIGGER_TIMER12 3 /**< Timer12 Event Trigger */ +#define ADC_TRIGGER_TIMER30 4 /**< Timer30 Event Trigger */ + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + /* ADC_CR */ + uint32_t RefSel; /**< RestartEn = ENABLE or DISABLE */ + uint32_t TrgSel; + + /* ADC_PREDR */ + uint32_t InClkDiv; +} ADC_CFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief ADC Enable + * @details This macro Enable ADC Block + *//*-------------------------------------------------------------------------*/ +#define ADCEN() (ADC->CR_b.ADCEN = 1) + +/*-------------------------------------------------------------------------*//** + * @brief ADC Disable + * @details This macro Disable ADC Block + *//*-------------------------------------------------------------------------*/ +#define ADCDIS() (ADC->CR_b.ADCEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief ADC Start bit set + * @details This macro starts ADC conversion + *//*-------------------------------------------------------------------------*/ +#define ADCADST_Set() (ADC->CR_b.ADST = 1) + +/*-------------------------------------------------------------------------*//** + * @brief ADC Start bit get + * @details This macro gets ADST bit + *//*-------------------------------------------------------------------------*/ +#define ADCADST_Get() (ADC->CR_b.ADST) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of ADC Interrupt + * @details This macro gets interrupt flag of ADC + *//*-------------------------------------------------------------------------*/ +#define ADCInt_GetFg() (ADC->CR_b.ADCIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of ADC Interrupt + * @details This macro gets interrupt flag of ADC + *//*-------------------------------------------------------------------------*/ +#define ADCInt_ClrFg() (ADC->CR_b.ADCIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get data of ADC Conversion + * @details This macro gets data of ADC Conversion + *//*-------------------------------------------------------------------------*/ +#define ADCData_Get() (ADC->DR) + +/*-------------------------------------------------------------------------*//** + * Macro defines for ADC Data register + *//*-------------------------------------------------------------------------*/ +/** When DONE is 1, this field contains result value of ADC conversion */ +#define ADC_DR_RESULT( n ) ((n) & ADC_DR_ADDATA_Msk) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_ADC_Init( ADC_Type* ADCx, ADC_CFG_Type* ADC_Config ); +HAL_Status_Type HAL_ADC_DeInit( ADC_Type* ADCx ); + +HAL_Status_Type HAL_ADC_ConfigInterrupt( ADC_Type* ADCx, FunctionalState NewState ); +HAL_Status_Type HAL_ADC_ChannelSel( ADC_Type* ADCx, uint32_t Channel ); +HAL_Status_Type HAL_ADC_Start( ADC_Type* ADCx ); +HAL_Status_Type HAL_ADC_Stop( ADC_Type* ADCx ); +HAL_Status_Type HAL_ADC_ClearStatus( ADC_Type* ADCx ); +uint32_t HAL_ADC_GetStatus( ADC_Type* ADCx ); + +uint16_t HAL_ADC_GetData( ADC_Type* ADCx ); + +#ifdef __cplusplus +} +#endif + +#endif /* _ADC_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_crc.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_crc.h new file mode 100644 index 0000000..456d388 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_crc.h @@ -0,0 +1,124 @@ +/***************************************************************************//** +* @file A31G12x_hal_crc.h +* @brief Contains all macro definitions and function prototypes +* support for crc firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _CRC_H_ +#define _CRC_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// User/Auto Mode Selection Control +/* +#define CRC_USER_M (0x0uL << 7) +#define CRC_AUTO_M (0x1uL << 7) +*/ +#define MODS_UserMode (CRC_CR_MODS_UserMode << CRC_CR_MODS_Pos) +#define MODS_AutoMode (CRC_CR_MODS_AutoMode << CRC_CR_MODS_Pos) + +//---------- CRC/Checksum RLT Clear Constant Definition ---------- +#define CRC_RLTCLR (0x1uL << 6) + +//---------- CRC/Checksum Selection Constant Definition ---------- +#define CRC_CRC (0x0uL << 5) +#define CRC_CHECKSUM (0x1uL << 5) + +//---------- CRC Polynominal Constant Definition ---------- +#define CRC_CCITT (0x0uL << 4) +#define CRC_16 (0x1uL << 4) + +//---------- CRC/Checksum Start Address Auto Increment Constant Definition ---------- +#define CRC_NOINC (0x0uL << 3) +#define CRC_AUTOINC (0x1uL << 3) + +//---------- CRC/Checksum 1st Shifted-in Bit Constant Definition ---------- +#define CRC_MSB (0x0uL << 1) +#define CRC_LSB (0x1uL << 1) + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief CRC In Data + * @param[in] u32InData + * CRC/Checksum Input Data + * @details This macro puts input data for calculation + *//*-------------------------------------------------------------------------*/ +#define CRC_InData( u32InData ) (CRC->IN = u32InData) + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum Run + * @details This macro starts CRC/Checksum calculation + *//*-------------------------------------------------------------------------*/ +#define CRCRun() { CRC->CR_b.CRCRUN = 1; NOP(); NOP(); NOP(); NOP(); } + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum Stop + * @details This macro stops forcingly CRC/Checksum calculation + *//*-------------------------------------------------------------------------*/ +#define CRCStop() (CRC->CR_b.CRCRUN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum Finish Check + * @details This macro checks CRC/Checksum finish + *//*-------------------------------------------------------------------------*/ +#define ChkCRCFinish() (CRC->CR_b.CRCRUN) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_CRC_Init( void ); +HAL_Status_Type HAL_CRC_DeInit( void ); + +HAL_Status_Type HAL_CRC_SetAddress( uint32_t u32SAdr, uint32_t u32EAdr, uint32_t u32IniD ); + +uint32_t HAL_CRC_ConfigAutoMode( uint32_t u32SEL, uint32_t u32POLY, uint32_t u32FirstBit ); +HAL_Status_Type HAL_CRC_ConfigUserMode( uint32_t u32SEL, uint32_t u32POLY, uint32_t u32AdrInc, uint32_t u32FirstBit ); +uint32_t HAL_CRC_UserInput( uint32_t u32Input ); + +#ifdef __cplusplus +} +#endif + +#endif /* _CRC_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_debug_frmwrk.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_debug_frmwrk.h new file mode 100644 index 0000000..19a5285 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_debug_frmwrk.h @@ -0,0 +1,117 @@ +/***************************************************************************//** +* @file A31G12x_hal_debug_frmwrk.h +* @brief Contains all macro definitions and function prototypes +* support for debug_frmwrk firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _DEBUG_FRMWRK_H_ +#define _DEBUG_FRMWRK_H_ + +#include "A31G12x_hal_uartn.h" +#include "A31G12x_hal_libcfg.h" + +#ifdef __cplusplus +extern "C" { +#endif + +#ifdef _DEBUG_MSG + +//****************************************************************************** +// Constant +//****************************************************************************** + +#define USED_UART_DEBUG_PORT 1 + +#if (USED_UART_DEBUG_PORT == 0) +#define DEBUG_UART_PORT UART0 +#elif (USED_UART_DEBUG_PORT == 1) +#define DEBUG_UART_PORT UART1 +#endif + +//****************************************************************************** +// Macro +//****************************************************************************** + +#define _DBG( x ) _db_msg( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBG_( x ) _db_msg_( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBC( x ) _db_char( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBD( x ) _db_dec( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBD16( x ) _db_dec_16( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBD32( x ) _db_dec_32( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBH( x ) _db_hex( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBH16( x ) _db_hex_16( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DBH32( x ) _db_hex_32( (UARTn_Type*)DEBUG_UART_PORT, x ) +#define _DG() _db_get_char( (UARTn_Type*)DEBUG_UART_PORT ) +#define _DG_( x ) _db_get_ch( (UARTn_Type*)DEBUG_UART_PORT, x ) + +//****************************************************************************** +// Variable +//****************************************************************************** + +extern void ( *_db_msg )( UARTn_Type* UARTx, const void* s ); +extern void ( *_db_msg_ )( UARTn_Type* UARTx, const void* s ); +extern void ( *_db_char )( UARTn_Type* UARTx, uint8_t ch ); +extern void ( *_db_dec )( UARTn_Type* UARTx, uint8_t decn ); +extern void ( *_db_dec_16 )( UARTn_Type* UARTx, uint16_t decn ); +extern void ( *_db_dec_32 )( UARTn_Type* UARTx, uint32_t decn ); +extern void ( *_db_hex )( UARTn_Type* UARTx, uint8_t hexn ); +extern void ( *_db_hex_16 )( UARTn_Type* UARTx, uint16_t hexn ); +extern void ( *_db_hex_32 )( UARTn_Type* UARTx, uint32_t hexn ); +extern uint8_t ( *_db_get_char )( UARTn_Type* UARTx ); +extern uint8_t ( *_db_get_ch )( UARTn_Type* UARTx, uint8_t* ch ); + +//****************************************************************************** +// Function +//****************************************************************************** + +void UARTPutChar( UARTn_Type* UARTx, uint8_t ch ); +void UARTPuts( UARTn_Type* UARTx, const void* str ); +void UARTPuts_( UARTn_Type* UARTx, const void* str ); +void UARTPutDec( UARTn_Type* UARTx, uint8_t decnum ); +void UARTPutDec16( UARTn_Type* UARTx, uint16_t decnum ); +void UARTPutDec32( UARTn_Type* UARTx, uint32_t decnum ); +void UARTPutHex( UARTn_Type* UARTx, uint8_t hexnum ); +void UARTPutHex16( UARTn_Type* UARTx, uint16_t hexnum ); +void UARTPutHex32( UARTn_Type* UARTx, uint32_t hexnum ); +uint8_t UARTGetChar( UARTn_Type* UARTx ); +uint8_t UARTGetCh( UARTn_Type* UARTx, uint8_t* ch ); +void cprintf( const char* format, ... ); +void debug_frmwrk_init( void ); +uint8_t getstring( void ); + +#endif /* _DEBUG_MSG */ + +#ifdef __cplusplus +} +#endif + +#endif /* _DEBUG_FRMWRK_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_fmc.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_fmc.h new file mode 100644 index 0000000..be3058a --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_fmc.h @@ -0,0 +1,104 @@ +/***************************************************************************//** +* @file A31G12x_hal_fmc.h +* @brief Contains all macro definitions and function prototypes +* support for fmc firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _FMC_H_ +#define _FMC_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//---------- Flash Memory Control Constants Definition ---------- +#define FLASH_ID1 0x08192A3B +#define FLASH_ID2 0x4C5D6E7F +#define FLASH_IDXOR 0x9C752FC2 +#define FLASH_ADDR_CD0 0x5FFFFFFF +#define FLASH_ADDR_CD1 0x5F9A30D7 +#define FLASH_ADDR_CDXOR 0xA498DF92 +#define FLASH_ADDR_INIT 0x5FFFFF80 +#define FLASH_CLR_PAGEBUF 0x6C930001 +#define FLASH_CHIPER_WOPT 0xC1BE0555 +#define FLASH_BULK_CODE 0x6C93A408 +#define FLASH_MEM_PGM_CODE 0x6C93A400 +#define FLASH_OPT_PGM_CODE 0x6C933800 + +#define FLASH_START_ADDR (0x10000000) +#define FLASH_END_ADDR (0x1000FFFF) +#define CFG_OPT_SADDR (0x1FFFF200) +#define CFG_OPT_EADDR (0x1FFFF7FF) +#define SECTOR_SIZE_BYTE (0x80uL) + +//---------- Flash Erase/Write Code Constanst Definition ---------- +#define FLASH_BULK_ERASE 0x9AB1E0F8 +#define FLASH_PAGE_ERASE 0x190CD5A2 +#define FLASH_PAGE_WRITE 0x54760F54 + +//---------- Flash Procedure Good/Fail Constant Definition ---------- +#define FLASH_PGM_GOOD 0x0uL +#define FLASH_PGM_FAIL 0x9uL + +//****************************************************************************** +// Variable +//****************************************************************************** + +extern uint32_t flash_id1_reg; +extern uint32_t flash_id2_reg; +extern uint32_t flash_addr_code0; +extern uint32_t flash_addr_code1; + +//****************************************************************************** +// Function +//****************************************************************************** + +void HAL_FMC_FlashEntry( void ); +void HAL_FMC_FlashExit( void ); +uint32_t HAL_FMC_FlashFunction( uint32_t u32FncSel, uint32_t u32Addr, uint32_t* u32Buf ); + +uint32_t HAL_FMC_BulkErase( uint32_t u32UserId ); +uint32_t HAL_FMC_PageErase( uint32_t u32UserId, uint32_t u32Addr ); +uint32_t HAL_FMC_PageWrite( uint32_t u32UserId, uint32_t u32Addr, uint32_t* u32Buf ); + +#ifdef __cplusplus +} +#endif + +#endif /* _FMC_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_i2cn.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_i2cn.h new file mode 100644 index 0000000..686cdff --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_i2cn.h @@ -0,0 +1,130 @@ +/***************************************************************************//** +* @file A31G12x_hal_i2cn.h +* @brief Contains all macro definitions and function prototypes +* support for i2cn firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _I2Cn_H_ +#define _I2Cn_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +/** I2C Slave Address registers bit mask */ +#define I2Cn_SLA_BITMASK (0xff) + +// I2C state handle return values +#define RECEIVE_MODE 1 +#define TRANS_MODE 2 +#define RECEIVE_DATA 3 +#define TRANS_DATA 4 +#define LOST_BUS 5 +#define STOP_DECT 6 + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** Transfer option type definitions */ +typedef enum +{ + I2Cn_TRANSFER_POLLING = 0, /**< Transfer in polling mode */ + I2Cn_TRANSFER_INTERRUPT /**< Transfer in interrupt mode */ +} I2Cn_TRANSFER_OPT_Type; + +//============================================================================== +// Structure +//============================================================================== + +/** Master transfer setup data structure definitions */ +typedef struct +{ + uint32_t sl_addr7bit; /**< Slave address in 7bit mode */ + uint8_t* tx_data; /**< Pointer to Transmit data - NULL if data transmit is not used */ + uint32_t tx_length; /**< Transmit data length - 0 if data transmit is not used */ + uint32_t tx_count; /**< Current Transmit data counter */ + uint8_t* rx_data; /**< Pointer to Receive data - NULL if data receive is not used */ + uint32_t rx_length; /**< Receive data length - 0 if data receive is not used */ + uint32_t rx_count; /**< Current Receive data counter */ +} I2Cn_M_SETUP_Type; + +/** Slave transfer setup data structure definitions */ +typedef struct +{ + uint8_t* tx_data; /**< Pointer to transmit data - NULL if data transmit is not used */ + uint32_t tx_length; /**< Transmit data length - 0 if data transmit is not used */ + uint32_t tx_count; /**< Current transmit data counter */ + uint8_t* rx_data; /**< Pointer to receive data - NULL if data received is not used */ + uint32_t rx_length; /**< Receive data length - 0 if data receive is not used */ + uint32_t rx_count; /**< Current receive data counter */ +} I2Cn_S_SETUP_Type; + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_I2C_Init( I2Cn_Type* I2Cx, uint32_t clockrate ); +HAL_Status_Type HAL_I2C_DeInit( I2Cn_Type* I2Cx ); + +HAL_Status_Type HAL_I2C_ConfigInterrupt( I2Cn_Type* I2Cx, Bool NewState ); +HAL_Status_Type HAL_I2C_Slave_SetAddress1( I2Cn_Type* I2Cx, uint8_t SlaveAddr_7bit, uint8_t GeneralCallState ); +HAL_Status_Type HAL_I2C_Slave_SetAddress2( I2Cn_Type* I2Cx, uint8_t SlaveAddr_7bit, uint8_t GeneralCallState ); +uint32_t HAL_I2C_Master_GetState( I2Cn_Type* I2Cx ); +uint32_t HAL_I2C_Slave_GetState( I2Cn_Type* I2Cx ); + +HAL_Status_Type HAL_I2C_Master_IRQHandler_IT( I2Cn_Type* I2Cx ); +HAL_Status_Type HAL_I2C_Slave_IRQHandler_IT( I2Cn_Type* I2Cx ); + +Status HAL_I2C_MasterTransferData( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ); +Status HAL_I2C_SlaveTransferData( I2Cn_Type* I2Cx, I2Cn_S_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ); + +Status HAL_I2C_Master_Transmit( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ); +Status HAL_I2C_Master_Receive( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ); +Status HAL_I2C_Slave_Receive( I2Cn_Type* I2Cx, I2Cn_S_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ); + +#ifdef __cplusplus +} +#endif + +#endif /* _I2Cn_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_intc.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_intc.h new file mode 100644 index 0000000..acc9db4 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_intc.h @@ -0,0 +1,411 @@ +/***************************************************************************//** +* @file A31G12x_hal_intc.h +* @brief Contains all macro definitions and function prototypes +* support for intc firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _INTC_H_ +#define _INTC_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// External Interrupt Port Number +// #define PORTA (0x0uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#define PORTB (0x1uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#define PORTC (0x2uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +// #define PORTD (0x3uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#define PORTE (0x4uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +// #define PORTF (0x5uL) /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ + +// External Interrupt Number +/* +#define EINT0_SEL (0x0uL) +#define EINT1_SEL (0x1uL) +#define EINT2_SEL (0x2uL) +#define EINT3_SEL (0x3uL) +*/ +#define EINT0 (0x0uL) +#define EINT1 (0x1uL) +#define EINT2 (0x2uL) +#define EINT3 (0x3uL) + +// External Interrupt Trigger Selection Control +/* +#define TRG_EDGE (0x0uL) +#define TRG_LEVEL (0x1uL) +*/ +#define ITRIGx_Edge INTC_PBTRIG_ITRIG11_Edge +#define ITRIGx_Level INTC_PBTRIG_ITRIG11_Level + +// External Interrupt Enable Control +/* +#define EInt_DISABLE (0x0uL) +#define EInt_LOW_LEVEL_INT (0x1uL) +#define EInt_HIGH_LEVEL_INT (0x2uL) +#define EInt_FALLING_EDGE_INT (0x1uL) +#define EInt_RISING_EDGE_INT (0x2uL) +#define EInt_BOTH_EDGE_INT (0x3uL) +*/ +#define INTCTLx_Disable INTC_PBCR_INTCTL11_Disable +#define INTCTLx_LowLevel INTC_PBCR_INTCTL11_FallingEdgeLowLevel +#define INTCTLx_HighLevel INTC_PBCR_INTCTL11_RisingEdgeHighLevel +#define INTCTLx_FallingEdge INTC_PBCR_INTCTL11_FallingEdgeLowLevel +#define INTCTLx_RisingEdge INTC_PBCR_INTCTL11_RisingEdgeHighLevel +#define INTCTLx_BothEdge INTC_PBCR_INTCTL11_BothEdgeNoLevel + +// External Interrupt Flag Clear Control +/* +#define EFLAG0 (0x1uL << 0) +#define EFLAG1 (0x1uL << 1) +#define EFLAG2 (0x1uL << 2) +#define EFLAG3 (0x1uL << 3) +#define EFLAG4 (0x1uL << 4) +#define EFLAG5 (0x1uL << 5) +#define EFLAG6 (0x1uL << 6) +#define EFLAG7 (0x1uL << 7) +#define EFLAG8 (0x1uL << 8) +#define EFLAG9 (0x1uL << 9) +#define EFLAG10 (0x1uL << 10) +#define EFLAG11 (0x1uL << 11) +*/ +// #define PnFLAG_FLAG15 (0x1uL << INTC_PBFLAG_FLAG15_Pos) +// #define PnFLAG_FLAG14 (0x1uL << INTC_PBFLAG_FLAG14_Pos) +// #define PnFLAG_FLAG13 (0x1uL << INTC_PBFLAG_FLAG13_Pos) +// #define PnFLAG_FLAG12 (0x1uL << INTC_PBFLAG_FLAG12_Pos) +#define PnFLAG_FLAG11 (0x1uL << INTC_PBFLAG_FLAG11_Pos) +#define PnFLAG_FLAG10 (0x1uL << INTC_PBFLAG_FLAG10_Pos) +#define PnFLAG_FLAG9 (0x1uL << INTC_PBFLAG_FLAG9_Pos) +#define PnFLAG_FLAG8 (0x1uL << INTC_PBFLAG_FLAG8_Pos) +#define PnFLAG_FLAG7 (0x1uL << INTC_PBFLAG_FLAG7_Pos) +#define PnFLAG_FLAG6 (0x1uL << INTC_PBFLAG_FLAG6_Pos) +#define PnFLAG_FLAG5 (0x1uL << INTC_PBFLAG_FLAG5_Pos) +#define PnFLAG_FLAG4 (0x1uL << INTC_PBFLAG_FLAG4_Pos) +#define PnFLAG_FLAG3 (0x1uL << INTC_PBFLAG_FLAG3_Pos) +#define PnFLAG_FLAG2 (0x1uL << INTC_PBFLAG_FLAG2_Pos) +#define PnFLAG_FLAG1 (0x1uL << INTC_PBFLAG_FLAG1_Pos) +#define PnFLAG_FLAG0 (0x1uL << INTC_PBFLAG_FLAG0_Pos) + +// External Interrupt Configuration Selection Control +#ifdef PORTA +#define CONFx_PA INTC_EINT0CONF1_CONF0_PA /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif +#ifdef PORTB +#define CONFx_PB INTC_EINT0CONF1_CONF0_PB /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif +#ifdef PORTC +#define CONFx_PC INTC_EINT0CONF1_CONF0_PC /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif +#ifdef PORTD +#define CONFx_PD INTC_EINT0CONF1_CONF0_PD /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif +#ifdef PORTE +#define CONFx_PE INTC_EINT0CONF1_CONF0_PE /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif +#ifdef PORTF +#define CONFx_PF INTC_EINT0CONF1_CONF0_PF /**< Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] */ +#endif + +// Interrupt Source Mask Control +/* +#define LVI_MASK (0x1uL << 0) +#define WUT_MASK (0x1uL << 1) +#define WDT_MASK (0x1uL << 2) +#define EINT0_MASK (0x1uL << 3) +#define EINT1_MASK (0x1uL << 4) +#define EINT2_MASK (0x1uL << 5) +#define EINT3_MASK (0x1uL << 6) +#define TIMER10_MASK (0x1uL << 7) +#define TIMER11_MASK (0x1uL << 8) +#define TIMER12_MASK (0x1uL << 9) +#define I2C0_MASK (0x1uL << 10) +#define USART10_MASK (0x1uL << 11) +#define WT_MASK (0x1uL << 12) +#define TIMER30_MASK (0x1uL << 13) +#define I2C1_MASK (0x1uL << 14) +#define TIMER20_MASK (0x1uL << 15) +#define TIMER21_MASK (0x1uL << 16) +#define USART11_MASK (0x1uL << 17) +#define ADC_MASK (0x1uL << 18) +#define UART0_MASK (0x1uL << 19) +#define UART1_MASK (0x1uL << 20) +#define TIMER13_MASK (0x1uL << 21) +#define TIMER14_MASK (0x1uL << 22) +#define TIMER15_MASK (0x1uL << 23) +#define TIMER16_MASK (0x1uL << 24) +#define I2C2_MASK (0x1uL << 25) +#define UST12_MASK (0x1uL << 26) +#define UST13_MASK (0x1uL << 27) +*/ +#define MSK_IMSK31 (0x1uL << INTC_MSK_IMSK31_NULL_Pos) +#define MSK_IMSK30 (0x1uL << INTC_MSK_IMSK30_NULL_Pos) +#define MSK_IMSK29 (0x1uL << INTC_MSK_IMSK29_NULL_Pos) +#define MSK_IMSK28 (0x1uL << INTC_MSK_IMSK28_NULL_Pos) +#define MSK_USART13 (0x1uL << INTC_MSK_IMSK27_USART13_Pos) +#define MSK_USART12 (0x1uL << INTC_MSK_IMSK26_USART12_Pos) +#define MSK_I2C2 (0x1uL << INTC_MSK_IMSK25_I2C2_Pos) +#define MSK_TIMER16 (0x1uL << INTC_MSK_IMSK24_TIMER16_Pos) +#define MSK_TIMER15 (0x1uL << INTC_MSK_IMSK23_TIMER15_Pos) +#define MSK_TIMER14 (0x1uL << INTC_MSK_IMSK22_TIMER14_Pos) +#define MSK_TIMER13 (0x1uL << INTC_MSK_IMSK21_TIMER13_Pos) +#define MSK_UART1 (0x1uL << INTC_MSK_IMSK20_UART1_Pos) +#define MSK_UART0 (0x1uL << INTC_MSK_IMSK19_UART0_Pos) +#define MSK_ADC (0x1uL << INTC_MSK_IMSK18_ADC_Pos) +#define MSK_USART11 (0x1uL << INTC_MSK_IMSK17_USART11_Pos) +#define MSK_TIMER21 (0x1uL << INTC_MSK_IMSK16_TIMER21_Pos) +#define MSK_TIMER20 (0x1uL << INTC_MSK_IMSK15_TIMER20_Pos) +#define MSK_I2C1 (0x1uL << INTC_MSK_IMSK14_I2C1_Pos) +#define MSK_TIMER30 (0x1uL << INTC_MSK_IMSK13_TIMER30_Pos) +#define MSK_WT (0x1uL << INTC_MSK_IMSK12_WT_Pos) +#define MSK_USART10 (0x1uL << INTC_MSK_IMSK11_USART10_Pos) +#define MSK_I2C0 (0x1uL << INTC_MSK_IMSK10_I2C0_Pos) +#define MSK_TIMER12 (0x1uL << INTC_MSK_IMSK9_TIMER12_Pos) +#define MSK_TIMER11 (0x1uL << INTC_MSK_IMSK8_TIMER11_Pos) +#define MSK_TIMER10 (0x1uL << INTC_MSK_IMSK7_TIMER10_Pos) +#define MSK_EINT3 (0x1uL << INTC_MSK_IMSK6_EINT3_Pos) +#define MSK_EINT2 (0x1uL << INTC_MSK_IMSK5_EINT2_Pos) +#define MSK_EINT1 (0x1uL << INTC_MSK_IMSK4_EINT1_Pos) +#define MSK_EINT0 (0x1uL << INTC_MSK_IMSK3_EINT0_Pos) +#define MSK_WDT (0x1uL << INTC_MSK_IMSK2_WDT_Pos) +#define MSK_WUT (0x1uL << INTC_MSK_IMSK1_WUT_Pos) +#define MSK_LVI (0x1uL << INTC_MSK_IMSK0_LVI_Pos) + +//****************************************************************************** +// Macro +//****************************************************************************** + +#ifdef PORTA +/*-------------------------------------------------------------------------*//** + * @brief Get PA Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPA_GetFg() (INTC->PAFLAG) +#endif + +#ifdef PORTB +/*-------------------------------------------------------------------------*//** + * @brief Get PB Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPB_GetFg() (INTC->PBFLAG) +#endif + +#ifdef PORTC +/*-------------------------------------------------------------------------*//** + * @brief Get PC Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPC_GetFg() (INTC->PCFLAG) +#endif + +#ifdef PORTD +/*-------------------------------------------------------------------------*//** + * @brief Get PD Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPD_GetFg() (INTC->PDFLAG) +#endif + +#ifdef PORTE +/*-------------------------------------------------------------------------*//** + * @brief Get PE Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPE_GetFg() (INTC->PEFLAG) +#endif + +#ifdef PORTF +/*-------------------------------------------------------------------------*//** + * @brief Get PF Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPF_GetFg() (INTC->PFFLAG) +#endif + +#ifdef PORTA +/*-------------------------------------------------------------------------*//** + * @brief Clear PA Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPA_ClrFgBits( u32Bit ) (INTC->PAFLAG = u32Bit) +#endif + +#ifdef PORTB +/*-------------------------------------------------------------------------*//** + * @brief Clear PB Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG11 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPB_ClrFgBits( u32Bit ) (INTC->PBFLAG = u32Bit) +#endif + +#ifdef PORTC +/*-------------------------------------------------------------------------*//** + * @brief Clear PC Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG3 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPC_ClrFgBits( u32Bit ) (INTC->PCFLAG = u32Bit) +#endif + +#ifdef PORTD +/*-------------------------------------------------------------------------*//** + * @brief Clear PD Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPD_ClrFgBits( u32Bit ) (INTC->PDFLAG = u32Bit) +#endif + +#ifdef PORTE +/*-------------------------------------------------------------------------*//** + * @brief Clear PE Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG3 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPE_ClrFgBits( u32Bit ) (INTC->PEFLAG = u32Bit) +#endif + +#ifdef PORTF +/*-------------------------------------------------------------------------*//** + * @brief Clear PF Interrupt Flag + * @param[in] u32Bit + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +#define EIntPF_ClrFgBits( u32Bit ) (INTC->PFFLAG = u32Bit) +#endif + +/*-------------------------------------------------------------------------*//** + * @brief Mask Interrupt Source + * @param[in] u32Msk + * Interrupt Source Mask + * - MSK_LVI, MSK_WUT, MSK_WDT, MSK_EINT0, ... + * @return None + * @details This macro masks an interrupt vector + *//*-------------------------------------------------------------------------*/ +#define Int_Mask( u32Msk ) (INTC->MSK = (INTC->MSK) & ~u32Msk) + +/*-------------------------------------------------------------------------*//** + * @brief Unmask Interrupt Source + * @param[in] u32UnMsk + * Interrupt Source Mask + * - MSK_LVI, MSK_WUT, MSK_WDT, MSK_EINT0, ... + * @return None + * @details This macro unmasks an interrupt vector + *//*-------------------------------------------------------------------------*/ +#define Int_UnMask( u32UnMsk ) (INTC->MSK = (INTC->MSK) | u32UnMsk) + +//****************************************************************************** +// Function +//****************************************************************************** + +void HAL_INT_EIntPx_SetReg( uint32_t u32Px, uint32_t u32pin, uint32_t u32Trig, uint32_t u32Con ); +void HAL_INT_EIntCfg( uint32_t u32TarIntNum, uint32_t u32SrcPort, uint32_t u32SrcPin ); +void HAL_INT_EInt_MaskEnable( uint32_t u32Src ); +void HAL_INT_EInt_MaskDisable( uint32_t u32Src ); + +#ifdef PORTA +void HAL_INT_EIntPA_ClearIntStatus( uint32_t u32Value ); +#endif +#ifdef PORTB +void HAL_INT_EIntPB_ClearIntStatus( uint32_t u32Value ); +#endif +#ifdef PORTC +void HAL_INT_EIntPC_ClearIntStatus( uint32_t u32Value ); +#endif +#ifdef PORTD +void HAL_INT_EIntPD_ClearIntStatus( uint32_t u32Value ); +#endif +#ifdef PORTE +void HAL_INT_EIntPE_ClearIntStatus( uint32_t u32Value ); +#endif +#ifdef PORTF +void HAL_INT_EIntPF_ClearIntStatus( uint32_t u32Value ); +#endif + +#ifdef PORTA +uint32_t HAL_INT_EIntPA_GetIntStatus( void ); +#endif +#ifdef PORTB +uint32_t HAL_INT_EIntPB_GetIntStatus( void ); +#endif +#ifdef PORTC +uint32_t HAL_INT_EIntPC_GetIntStatus( void ); +#endif +#ifdef PORTD +uint32_t HAL_INT_EIntPD_GetIntStatus( void ); +#endif +#ifdef PORTE +uint32_t HAL_INT_EIntPE_GetIntStatus( void ); +#endif +#ifdef PORTF +uint32_t HAL_INT_EIntPF_GetIntStatus( void ); +#endif + +#ifdef __cplusplus +} +#endif + +#endif /* _INTC_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_lcd.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_lcd.h new file mode 100644 index 0000000..de1b07c --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_lcd.h @@ -0,0 +1,165 @@ +/***************************************************************************//** +* @file A31G12x_hal_lcd.h +* @brief Contains all macro definitions and function prototypes +* support for lcd firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _LCD_H_ +#define _LCD_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//========== LCD_CR ======================================== + +//---------- Internal LCD Bias Dividing Resistor Selection ---------- +#define LCD_RLCD3 (0x0uL << 6) +#define LCD_RLCD1 (0x1uL << 6) +#define LCD_RLCD2 (0x2uL << 6) +#define LCD_RLCD4 (0x3uL << 6) + +//---------- LCD Duty and Bias Selection ---------- +#define LCD_8D4B (0x0uL << 3) +#define LCD_6D4B (0x1uL << 3) +#define LCD_5D3B (0x2uL << 3) +#define LCD_4D3B (0x3uL << 3) +#define LCD_3D3B (0x4uL << 3) +#define LCD_3D2B (0x5uL << 3) + +//---------- LCD Clock Selection ---------- +#define LCD_CLK128 (0x0uL << 1) +#define LCD_CLK256 (0x1uL << 1) +#define LCD_CLK512 (0x2uL << 1) +#define LCD_CLK1024 (0x3uL << 1) + +//========== LCD_BCCR ======================================== + +//---------- LCD Automatic Bias Control En/Disable ---------- +#define LCD_ABCDIS (0x0uL << 12) +#define LCD_ABCEN (0x1uL << 12) + +//---------- "Bias Mode A" time Selection ---------- +#define LCD_BIA1CLK (0x0uL << 8) +#define LCD_BIA2CLK (0x1uL << 8) +#define LCD_BIA3CLK (0x2uL << 8) +#define LCD_BIA4CLK (0x3uL << 8) +#define LCD_BIA5CLK (0x4uL << 8) +#define LCD_BIA6CLK (0x5uL << 8) +#define LCD_BIA7CLK (0x6uL << 8) +#define LCD_BIA8CLK (0x7uL << 8) + +//---------- LCD Contrast Control En/Disable ---------- +#define LCD_CONTDIS (0x0uL << 5) +#define LCD_CONTEN (0x1uL << 5) + +//---------- VLC0 Voltage Control ---------- +#define LCD_VLC31 (0x0uL << 0) +#define LCD_VLC30 (0x1uL << 0) +#define LCD_VLC29 (0x2uL << 0) +#define LCD_VLC28 (0x3uL << 0) +#define LCD_VLC27 (0x4uL << 0) +#define LCD_VLC26 (0x5uL << 0) +#define LCD_VLC25 (0x6uL << 0) +#define LCD_VLC24 (0x7uL << 0) +#define LCD_VLC23 (0x8uL << 0) +#define LCD_VLC22 (0x9uL << 0) +#define LCD_VLC21 (0xAuL << 0) +#define LCD_VLC20 (0xBuL << 0) +#define LCD_VLC19 (0xCuL << 0) +#define LCD_VLC18 (0xDuL << 0) +#define LCD_VLC17 (0xEuL << 0) +#define LCD_VLC16 (0xFuL << 0) + +//---------- Constant ---------- +#define LCDBufSize 28 + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + /* LCD_CR */ + uint32_t Bias; /**< RestartEn = ENABLE or DISABLE */ + uint32_t Duty; + uint32_t Clk; + + /* LCD_BCCR */ + uint32_t AutoBiasEn; + uint32_t BiasTime; + uint32_t Contrast; + uint32_t ContrastStep; +} LCD_CFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief LCD Enable + * @details This macro Enable LCD Block + *//*-------------------------------------------------------------------------*/ +#define LCDON() (LCD->CR_b.DISP = 1) + +/*-------------------------------------------------------------------------*//** + * @brief LCD Disable + * @details This macro Disable LCD Block + *//*-------------------------------------------------------------------------*/ +#define LCDOFF() (LCD->CR_b.DISP = 0) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_LCD_Init( LCD_CFG_Type* LCD_Config ); + +HAL_Status_Type HAL_LCD_SetRegister( uint32_t u32LCD_CR, uint32_t u32LCD_BCCR ); +HAL_Status_Type HAL_LCD_ClearDspRam( void ); +HAL_Status_Type HAL_LCD_WriteDspRam( uint8_t* write_buf, uint32_t u32Index, uint32_t size ); + +#ifdef __cplusplus +} +#endif + +#endif /* _LCD_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_libcfg.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_libcfg.h new file mode 100644 index 0000000..1d1a18b --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_libcfg.h @@ -0,0 +1,85 @@ +/***************************************************************************//** +* @file A31G12x_hal_libcfg.h +* @brief Contains all macro definitions and function prototypes +* support for libcfg firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _A31G12x_LIBCFG_H_ +#define _A31G12x_LIBCFG_H_ + +/******************************************************************************* + * Included File + ******************************************************************************/ +/* Un-comment the line below to compile the library in DEBUG mode, this will expanse +the "CHECK_PARAM" macro in the FW library code */ + +#define USE_FULL_ASSERT + +/* DEBUG_FRAMWORK ------------------------------ */ +#define _DEBUG_MSG + + +/******************************************************************************* + * Public Macro + ******************************************************************************/ + +#ifdef USE_FULL_ASSERT + /*-------------------------------------------------------------------------*//** + * @brief The CHECK_PARAM macro is used for function's parameters check. + * It is used only if the library is compiled in DEBUG mode. + * @param expr + * - If expr is false, it calls check_failed() function + * which reports the name of the source file and the source + * line number of the call that failed. + * - If expr is true, it returns no value. + * @return None + *//*-------------------------------------------------------------------------*/ + #define CHECK_PARAM(expr) ((expr) ? (void)0 : check_failed((uint8_t *)__FILE__, __LINE__)) +#else + #define CHECK_PARAM(expr) ((void)0U) +#endif + + +/******************************************************************************* + * Public Typedef + ******************************************************************************/ + + +/******************************************************************************* + * Exported Public Function + ******************************************************************************/ + +#ifdef USE_FULL_ASSERT + void check_failed( uint8_t* file, uint32_t line ); +#endif + +#endif /* _A31G12x_LIBCFG_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pcu.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pcu.h new file mode 100644 index 0000000..7eac5b3 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pcu.h @@ -0,0 +1,267 @@ +/***************************************************************************//** +* @file A31G12x_hal_pcu.h +* @brief Contains all macro definitions and function prototypes +* support for pcu firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _PCU_H_ +#define _PCU_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// Pin Mode & Pin Alternative Function Mask +/* +#define PCU_FUNC_Msk (0x0FuL) +#define PCU_MODE_Msk (0x03uL) +*/ +#define MODEx_Msk Pn_MOD_MODE0_Msk +#define AFSRx_Msk Pn_AFSR1_AFSR0_Msk + +// Pin Alternative Function Selection Control +/* +#define FUNC0 0x0 // Alternative Function 0 +#define FUNC1 0x1 // Alternative Function 1 +#define FUNC2 0x2 // Alternative Function 2 +#define FUNC3 0x3 // Alternative Function 3 +#define FUNC4 0x4 // Alternative Function 4 +// #define FUNC5 0x5 // Alternative Function 5 +// #define FUNC6 0x6 // Alternative Function 6 +// #define FUNC7 0x7 // Alternative Function 7 +*/ +#define AFSRx_AF0 Pn_AFSR1_AFSR0_AF0 +#define AFSRx_AF1 Pn_AFSR1_AFSR0_AF1 +#define AFSRx_AF2 Pn_AFSR1_AFSR0_AF2 +#define AFSRx_AF3 Pn_AFSR1_AFSR0_AF3 +#define AFSRx_AF4 Pn_AFSR1_AFSR0_AF4 +// #define AFSRx_AF5 Pn_AFSR1_AFSR0_AF5 +// #define AFSRx_AF6 Pn_AFSR1_AFSR0_AF6 +// #define AFSRx_AF7 Pn_AFSR1_AFSR0_AF7 + +// Pin Pull Up / Pull Down Resistor Enable Control +/* +#define DISPUPD 0x0 // disable pu_pd +#define ENPU 0x1 // enable pull up +#define ENPD 0x2 // enable pull down +*/ +#define PUPDx_Disable Pn_PUPD_PUPD0_Disable +#define PUPDx_EnablePU Pn_PUPD_PUPD0_EnablePU +#define PUPDx_EnablePD Pn_PUPD_PUPD0_EnablePD + +// Debounce Filter Sampling Clock Selection Control +/* +#define HCLK_1 (0x0uL << 16) +#define HCLK_4 (0x1uL << 16) +#define HCLK_16 (0x2uL << 16) +#define HCLK_64 (0x3uL << 16) +#define HCLK_256 (0x4uL << 16) +#define HCLK_1024 (0x5uL << 16) +*/ +#define DBCLK_HCLK1 (Pn_DBCR_DBCLK_HCLK1 << Pn_DBCR_DBCLK_Pos) // HCLK/1 +#define DBCLK_HCLK4 (Pn_DBCR_DBCLK_HCLK4 << Pn_DBCR_DBCLK_Pos) // HCLK/4 +#define DBCLK_HCLK16 (Pn_DBCR_DBCLK_HCLK16 << Pn_DBCR_DBCLK_Pos) // HCLK/16 +#define DBCLK_HCLK64 (Pn_DBCR_DBCLK_HCLK64 << Pn_DBCR_DBCLK_Pos) // HCLK/64 +#define DBCLK_HCLK256 (Pn_DBCR_DBCLK_HCLK256 << Pn_DBCR_DBCLK_Pos) // HCLK/256 +#define DBCLK_HCLK1024 (Pn_DBCR_DBCLK_HCLK1024 << Pn_DBCR_DBCLK_Pos) // HCLK/1024 + +// Output Data Mask Control +#define OUTDMSKx_Unmask Pn_OUTDMSK_OUTDMSK0_Unmask +#define OUTDMSKx_Mask Pn_OUTDMSK_OUTDMSK0_Mask + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** Pin Mode and Pin Type Selection Control */ +typedef enum +{ + INPUT = 0, + PUSH_PULL_OUTPUT, + ALTERN_FUNC, + OPEN_DRAIN_OUTPUT +} PCU_PORT_MODE; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Get Port n Input Data + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @return Current value of GPIO port + * @details This macro gets input data of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_GetInData( Px ) (Px->INDR) + +/*-------------------------------------------------------------------------*//** + * @brief Get a bit of Port n + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @return Current bit value of GPIO port + * @details This macro gets a bit of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_GetBit0( Px ) (Px->INDR_b.INDR0) +#define GPIO_GetBit1( Px ) (Px->INDR_b.INDR1) +#define GPIO_GetBit2( Px ) (Px->INDR_b.INDR2) +#define GPIO_GetBit3( Px ) (Px->INDR_b.INDR3) +#define GPIO_GetBit4( Px ) (Px->INDR_b.INDR4) +#define GPIO_GetBit5( Px ) (Px->INDR_b.INDR5) +#define GPIO_GetBit6( Px ) (Px->INDR_b.INDR6) +#define GPIO_GetBit7( Px ) (Px->INDR_b.INDR7) +#define GPIO_GetBit8( Px ) (Px->INDR_b.INDR8) +#define GPIO_GetBit9( Px ) (Px->INDR_b.INDR9) +#define GPIO_GetBit10( Px ) (Px->INDR_b.INDR10) +#define GPIO_GetBit11( Px ) (Px->INDR_b.INDR11) +#define GPIO_GetBit12( Px ) (Px->INDR_b.INDR12) +#define GPIO_GetBit13( Px ) (Px->INDR_b.INDR13) +#define GPIO_GetBit14( Px ) (Px->INDR_b.INDR14) +#define GPIO_GetBit15( Px ) (Px->INDR_b.INDR15) + +/*-------------------------------------------------------------------------*//** + * @brief Set Port n Output Data + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] u32OutData + * Output Data of Port n + * @return None + * @details This macro sets output data of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_SetOutData( Px, u32OutData ) (Px->OUTDR = u32OutData) + +/*-------------------------------------------------------------------------*//** + * @brief Set a bit of Port n + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @return None + * @details This macro sets a bit of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_SetBit0( Px ) (Px->BSR_b.BSR0 = 1) +#define GPIO_SetBit1( Px ) (Px->BSR_b.BSR1 = 1) +#define GPIO_SetBit2( Px ) (Px->BSR_b.BSR2 = 1) +#define GPIO_SetBit3( Px ) (Px->BSR_b.BSR3 = 1) +#define GPIO_SetBit4( Px ) (Px->BSR_b.BSR4 = 1) +#define GPIO_SetBit5( Px ) (Px->BSR_b.BSR5 = 1) +#define GPIO_SetBit6( Px ) (Px->BSR_b.BSR6 = 1) +#define GPIO_SetBit7( Px ) (Px->BSR_b.BSR7 = 1) +#define GPIO_SetBit8( Px ) (Px->BSR_b.BSR8 = 1) +#define GPIO_SetBit9( Px ) (Px->BSR_b.BSR9 = 1) +#define GPIO_SetBit10( Px ) (Px->BSR_b.BSR10 = 1) +#define GPIO_SetBit11( Px ) (Px->BSR_b.BSR11 = 1) +#define GPIO_SetBit12( Px ) (Px->BSR_b.BSR12 = 1) +#define GPIO_SetBit13( Px ) (Px->BSR_b.BSR13 = 1) +#define GPIO_SetBit14( Px ) (Px->BSR_b.BSR14 = 1) +#define GPIO_SetBit15( Px ) (Px->BSR_b.BSR15 = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear a bit of Port n + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @return None + * @details This macro clears a bit of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_ClrBit0( Px ) (Px->BCR_b.BCR0 = 1) +#define GPIO_ClrBit1( Px ) (Px->BCR_b.BCR1 = 1) +#define GPIO_ClrBit2( Px ) (Px->BCR_b.BCR2 = 1) +#define GPIO_ClrBit3( Px ) (Px->BCR_b.BCR3 = 1) +#define GPIO_ClrBit4( Px ) (Px->BCR_b.BCR4 = 1) +#define GPIO_ClrBit5( Px ) (Px->BCR_b.BCR5 = 1) +#define GPIO_ClrBit6( Px ) (Px->BCR_b.BCR6 = 1) +#define GPIO_ClrBit7( Px ) (Px->BCR_b.BCR7 = 1) +#define GPIO_ClrBit8( Px ) (Px->BCR_b.BCR8 = 1) +#define GPIO_ClrBit9( Px ) (Px->BCR_b.BCR9 = 1) +#define GPIO_ClrBit10( Px ) (Px->BCR_b.BCR10 = 1) +#define GPIO_ClrBit11( Px ) (Px->BCR_b.BCR11 = 1) +#define GPIO_ClrBit12( Px ) (Px->BCR_b.BCR12 = 1) +#define GPIO_ClrBit13( Px ) (Px->BCR_b.BCR13 = 1) +#define GPIO_ClrBit14( Px ) (Px->BCR_b.BCR14 = 1) +#define GPIO_ClrBit15( Px ) (Px->BCR_b.BCR15 = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Set Port n Output Data Mask + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] u32Msk + * Output Data Mask of Port n + * - OUTDMSKx_Unmask, OUTDMSKx_Mask + * @return None + * @details This macro sets mask or unmask for output data of port n. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +#define GPIO_OutMsk( Px, u32Msk ) (Px->OUTDMSK = u32Msk) + +//****************************************************************************** +// Function +//****************************************************************************** + +void HAL_GPIO_Init( Pn_Type* Px, uint32_t u32Mode, uint32_t u32Type, uint32_t u32Afsr1, uint32_t u32Afsr2, uint32_t u32PuPd ); + +void HAL_GPIO_ConfigFunction( Pn_Type* Px, uint8_t pin_no, uint32_t func ); +void HAL_GPIO_ConfigOutput( Pn_Type* Px, uint8_t pin_no, PCU_PORT_MODE dir_type ); +void HAL_GPIO_ConfigOutDataMask( Pn_Type* Px, uint8_t pin_no, FunctionalState maskctrl ); +void HAL_GPIO_ConfigPullup( Pn_Type* Px, uint8_t pin_no, uint8_t pullupdown ); +void HAL_GPIO_SetDebouncePin( Pn_Type* Px, uint32_t u32Pins, uint32_t u32Debnc ); + +void HAL_GPIO_SetPin( Pn_Type* Px, uint16_t bitValue ); +void HAL_GPIO_ClearPin( Pn_Type* Px, uint16_t bitValue ); +void HAL_GPIO_TogglePin( Pn_Type* Px, uint16_t bitValue ); +void HAL_GPIO_WritePin( Pn_Type* Px, uint16_t Value ); +uint16_t HAL_GPIO_ReadPin( Pn_Type* Px ); + +#ifdef __cplusplus +} +#endif + +#endif /* _PCU_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pwr.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pwr.h new file mode 100644 index 0000000..9b39b79 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_pwr.h @@ -0,0 +1,57 @@ +/***************************************************************************//** +* @file A31G12x_hal_pwr.h +* @brief Contains all macro definitions and function prototypes +* support for pwr firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _PWR_H_ +#define _PWR_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Function +//****************************************************************************** + +void HAL_PWR_EnterSleepMode( void ); +void HAL_PWR_EnterPowerDownMode( void ); + +#ifdef __cplusplus +} +#endif + +#endif /*_PWR_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_scu.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_scu.h new file mode 100644 index 0000000..7b16922 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_scu.h @@ -0,0 +1,578 @@ +/***************************************************************************//** +* @file A31G12x_hal_scu.h +* @brief Contains all macro definitions and function prototypes +* support for scu firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _SCU_H_ +#define _SCU_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// Boot Pin Function Selection Control +/* +#define B_INCLUDE_RSTB 2 +#define B_POR_ONLY 3 +*/ +#define BFIND_PORorEXTR SCUCC_BTPSCR_BFIND_PORorEXTR +#define BFIND_POR SCUCC_BTPSCR_BFIND_POR + +// HIRC Fine Trim One Step Change Control +#define HIRC_UP_ONESTEP 0 +#define HIRC_DOWN_ONESTEP 1 + +// WDTRC Fine Trim One Step Change Control +#define WDTRC_UP_ONESTEP 0 +#define WDTRC_DOWN_ONESTEP 1 + + +// MCLK Selection Control +/* +#define SCU_HIRC (0x0uL << 0) +#define SCU_XMOSC (0x1uL << 0) +#define SCU_XSOSC (0x2uL << 0) +#define SCU_WDTRC (0x3uL << 0) +*/ +#define MCLKSEL_HIRC (SCUCG_SCCR_MCLKSEL_HIRC << SCUCG_SCCR_MCLKSEL_Pos) +#define MCLKSEL_XMOSC (SCUCG_SCCR_MCLKSEL_XMOSC << SCUCG_SCCR_MCLKSEL_Pos) +#define MCLKSEL_XSOSC (SCUCG_SCCR_MCLKSEL_XSOSC << SCUCG_SCCR_MCLKSEL_Pos) +#define MCLKSEL_WDTRC (SCUCG_SCCR_MCLKSEL_WDTRC << SCUCG_SCCR_MCLKSEL_Pos) + +// HIRC Selection Control +/* +#define HIRC_40M (0x0uL << 12) +#define HIRC_20M (0x1uL << 12) +#define HIRC_10M (0x2uL << 12) +#define HIRC_5M (0x3uL << 12) +*/ +#define HIRCSEL_HIRC1 (SCUCG_CLKSRCR_HIRCSEL_HIRC1 << SCUCG_CLKSRCR_HIRCSEL_Pos) // 40MHz HIRC +#define HIRCSEL_HIRC2 (SCUCG_CLKSRCR_HIRCSEL_HIRC2 << SCUCG_CLKSRCR_HIRCSEL_Pos) // 20MHz HIRC +#define HIRCSEL_HIRC4 (SCUCG_CLKSRCR_HIRCSEL_HIRC4 << SCUCG_CLKSRCR_HIRCSEL_Pos) // 10MHz HIRC +#define HIRCSEL_HIRC8 (SCUCG_CLKSRCR_HIRCSEL_HIRC8 << SCUCG_CLKSRCR_HIRCSEL_Pos) // 5MHz HIRC + +// XMFRNG Selection Control +/* +#define XTAL_XM (0x0uL << 8) +#define EXT_XM (0x1uL << 8) +*/ +#define XMFRNG_Xtal (SCUCG_CLKSRCR_XMFRNG_Xtal << SCUCG_CLKSRCR_XMFRNG_Pos) +#define XMFRNG_Clock (SCUCG_CLKSRCR_XMFRNG_Clock << SCUCG_CLKSRCR_XMFRNG_Pos) + +// System Clock Source Enable Control +/* +#define EN_XSOSC (0x1uL << 0) +#define EN_XMOSC (0x1uL << 1) +#define EN_HIRC (0x1uL << 2) +#define EN_WDTRC (0x1uL << 3) +*/ +#define CLKSRCR_WDTRCEN (0x1uL << SCUCG_CLKSRCR_WDTRCEN_Pos) +#define CLKSRCR_HIRCEN (0x1uL << SCUCG_CLKSRCR_HIRCEN_Pos) +#define CLKSRCR_XMOSCEN (0x1uL << SCUCG_CLKSRCR_XMOSCEN_Pos) +#define CLKSRCR_XSOSCEN (0x1uL << SCUCG_CLKSRCR_XSOSCEN_Pos) + +// WT & LCD Clock Divider Selection Control (Divider 2) +/* +#define MCLK_64 0 +#define MCLK_128 1 +#define MCLK_256 2 +#define MCLK_512 3 +#define MCLK_1024 4 +*/ +#define WLDIV_MCLK64 (SCUCG_SCDIVR1_WLDIV_MCLK64 << SCUCG_SCDIVR1_WLDIV_Pos) // MCLK/64 +#define WLDIV_MCLK128 (SCUCG_SCDIVR1_WLDIV_MCLK128 << SCUCG_SCDIVR1_WLDIV_Pos) // MCLK/128 +#define WLDIV_MCLK256 (SCUCG_SCDIVR1_WLDIV_MCLK256 << SCUCG_SCDIVR1_WLDIV_Pos) // MCLK/256 +#define WLDIV_MCLK512 (SCUCG_SCDIVR1_WLDIV_MCLK512 << SCUCG_SCDIVR1_WLDIV_Pos) // MCLK/512 +#define WLDIV_MCLK1024 (SCUCG_SCDIVR1_WLDIV_MCLK1024 << SCUCG_SCDIVR1_WLDIV_Pos) // MCLK/1024 + +// HCLK Divider Selection Control (Divider 0) +/* +#define MCLK_16 0 +#define MCLK_8 1 +#define MCLK_4 2 +#define MCLK_2 3 +#define MCLK_1 4 +*/ +#define HDIV_MCLK16 (SCUCG_SCDIVR1_HDIV_MCLK16 << SCUCG_SCDIVR1_HDIV_Pos) // MCLK/16 +#define HDIV_MCLK8 (SCUCG_SCDIVR1_HDIV_MCLK8 << SCUCG_SCDIVR1_HDIV_Pos) // MCLK/8 +#define HDIV_MCLK4 (SCUCG_SCDIVR1_HDIV_MCLK4 << SCUCG_SCDIVR1_HDIV_Pos) // MCLK/4 +#define HDIV_MCLK2 (SCUCG_SCDIVR1_HDIV_MCLK2 << SCUCG_SCDIVR1_HDIV_Pos) // MCLK/2 +#define HDIV_MCLK1 (SCUCG_SCDIVR1_HDIV_MCLK1 << SCUCG_SCDIVR1_HDIV_Pos) // MCLK/1 + +// SysTick Timer Clock Divider Selection Control (Divider 3) +/* +#define SCU_HCLK_1 0 +#define SCU_HCLK_2 1 +#define SCU_HCLK_4 2 +#define SCU_HCLK_8 3 +*/ +#define SYSTDIV_HCLK1 (SCUCG_SCDIVR2_SYSTDIV_HCLK1 << SCUCG_SCDIVR2_SYSTDIV_Pos) // HCLK/1 +#define SYSTDIV_HCLK2 (SCUCG_SCDIVR2_SYSTDIV_HCLK2 << SCUCG_SCDIVR2_SYSTDIV_Pos) // HCLK/2 +#define SYSTDIV_HCLK4 (SCUCG_SCDIVR2_SYSTDIV_HCLK4 << SCUCG_SCDIVR2_SYSTDIV_Pos) // HCLK/4 +#define SYSTDIV_HCLK8 (SCUCG_SCDIVR2_SYSTDIV_HCLK8 << SCUCG_SCDIVR2_SYSTDIV_Pos) // HCLK/8 + +// PCLK Divider Selection Control (Divider 1) +#define PDIV_HCLK1 (SCUCG_SCDIVR2_PDIV_HCLK1 << SCUCG_SCDIVR2_PDIV_Pos) // HCLK/1 +#define PDIV_HCLK2 (SCUCG_SCDIVR2_PDIV_HCLK2 << SCUCG_SCDIVR2_PDIV_Pos) // HCLK/2 +#define PDIV_HCLK4 (SCUCG_SCDIVR2_PDIV_HCLK4 << SCUCG_SCDIVR2_PDIV_Pos) // HCLK/4 +#define PDIV_HCLK8 (SCUCG_SCDIVR2_PDIV_HCLK8 << SCUCG_SCDIVR2_PDIV_Pos) // HCLK/8 + +// Clock Output Enable/Disable Control +/* +#define DIS_CLKOUT 0 +#define EN_CLKOUT 1 +*/ +#define CLKOEN_Disable SCUCG_CLKOCR_CLKOEN_Disable +#define CLKOEN_Enable SCUCG_CLKOCR_CLKOEN_Enable + +// Clock Output Polarity Selection Control +/* +#define POL_L (0x0uL << 6) +#define POL_H (0x1uL << 6) +*/ +#define POLSEL_Low (SCUCG_CLKOCR_POLSEL_Low << SCUCG_CLKOCR_POLSEL_Pos) // Low level during disable +#define POLSEL_High (SCUCG_CLKOCR_POLSEL_High << SCUCG_CLKOCR_POLSEL_Pos) // High level during disable + +// Clock Output Divider Selection Control +/* +#define CLKODIV_1 (0x0uL << 3) +#define CLKODIV_2 (0x1uL << 3) +#define CLKODIV_4 (0x2uL << 3) +#define CLKODIV_8 (0x3uL << 3) +#define CLKODIV_16 (0x4uL << 3) +#define CLKODIV_32 (0x5uL << 3) +#define CLKODIV_64 (0x6uL << 3) +#define CLKODIV_128 (0x7uL << 3) +*/ +#define CLKODIV_SelectedClock1 (SCUCG_CLKOCR_CLKODIV_SelectedClock1 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/1 +#define CLKODIV_SelectedClock2 (SCUCG_CLKOCR_CLKODIV_SelectedClock2 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/2 +#define CLKODIV_SelectedClock4 (SCUCG_CLKOCR_CLKODIV_SelectedClock4 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/4 +#define CLKODIV_SelectedClock8 (SCUCG_CLKOCR_CLKODIV_SelectedClock8 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/8 +#define CLKODIV_SelectedClock16 (SCUCG_CLKOCR_CLKODIV_SelectedClock16 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/16 +#define CLKODIV_SelectedClock32 (SCUCG_CLKOCR_CLKODIV_SelectedClock32 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/32 +#define CLKODIV_SelectedClock64 (SCUCG_CLKOCR_CLKODIV_SelectedClock64 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/64 +#define CLKODIV_SelectedClock128 (SCUCG_CLKOCR_CLKODIV_SelectedClock128 << SCUCG_CLKOCR_CLKODIV_Pos) // Selected Clock/128 + +// Clock Output Target Selection Control +/* +#define MCLK_OUT (0x0uL << 0) +#define WDTRC_OUT (0x1uL << 0) +#define HIRC_OUT (0x2uL << 0) +#define HCLK_OUT (0x3uL << 0) +#define PCLK_OUT (0x4uL << 0) +*/ +#define CLKOS_MCLK (SCUCG_CLKOCR_CLKOS_MCLK << SCUCG_CLKOCR_CLKOS_Pos) +#define CLKOS_WDTRC (SCUCG_CLKOCR_CLKOS_WDTRC << SCUCG_CLKOCR_CLKOS_Pos) +#define CLKOS_HIRC (SCUCG_CLKOCR_CLKOS_HIRC << SCUCG_CLKOCR_CLKOS_Pos) +#define CLKOS_HCLK (SCUCG_CLKOCR_CLKOS_HCLK << SCUCG_CLKOCR_CLKOS_Pos) +#define CLKOS_PCLK (SCUCG_CLKOCR_CLKOS_PCLK << SCUCG_CLKOCR_CLKOS_Pos) + +// Clock Monitoring Action Selection Control +/* +#define FLAG_CHK_M (0x0uL << 5) +#define RST_GEN_M (0x1uL << 5) +#define SYS_CHG_M (0x2uL << 5) +*/ +#define MACTS_FlagChk (SCUCG_CMONCR_MACTS_FlagChk << SCUCG_CMONCR_MACTS_Pos) +#define MACTS_RstGen (SCUCG_CMONCR_MACTS_RstGen << SCUCG_CMONCR_MACTS_Pos) +#define MACTS_SysClkChg (SCUCG_CMONCR_MACTS_SysClkChg << SCUCG_CMONCR_MACTS_Pos) + +// Clock Monitoring Target Selection Control +/* +#define MCLK_MON (0x0uL << 0) +#define HIRC_MON (0x1uL << 0) +#define XMOSC_MON (0x2uL << 0) +#define XSOSC_MON (0x3uL << 0) +*/ +#define MONCS_MCLK (SCUCG_CMONCR_MONCS_MCLK << SCUCG_CMONCR_MONCS_Pos) +#define MONCS_HIRC (SCUCG_CMONCR_MONCS_HIRC << SCUCG_CMONCR_MONCS_Pos) +#define MONCS_XMOSC (SCUCG_CMONCR_MONCS_XMOSC << SCUCG_CMONCR_MONCS_Pos) +#define MONCS_XSOSC (SCUCG_CMONCR_MONCS_XSOSC << SCUCG_CMONCR_MONCS_Pos) + +// Peripheral Clock Enable Control 1 +/* +#define PERI_PA (0x1uL << 0) +#define PERI_PB (0x1uL << 1) +#define PERI_PC (0x1uL << 2) +#define PERI_PD (0x1uL << 3) +#define PERI_PE (0x1uL << 4) +#define PERI_PF (0x1uL << 5) +#define PERI_T13 (0x1uL << 8) +#define PERI_T14 (0x1uL << 9) +#define PERI_T15 (0x1uL << 10) +#define PERI_T16 (0x1uL << 11) +#define PERI_T10 (0x1uL << 16) +#define PERI_T11 (0x1uL << 17) +#define PERI_T12 (0x1uL << 18) +#define PERI_T30 (0x1uL << 19) +#define PERI_T20 (0x1uL << 20) +#define PERI_T21 (0x1uL << 21) +*/ +#define PPCLKEN1_T21CLKE (0x1uL << SCUCG_PPCLKEN1_T21CLKE_Pos) +#define PPCLKEN1_T20CLKE (0x1uL << SCUCG_PPCLKEN1_T20CLKE_Pos) +#define PPCLKEN1_T30CLKE (0x1uL << SCUCG_PPCLKEN1_T30CLKE_Pos) +#define PPCLKEN1_T12CLKE (0x1uL << SCUCG_PPCLKEN1_T12CLKE_Pos) +#define PPCLKEN1_T11CLKE (0x1uL << SCUCG_PPCLKEN1_T11CLKE_Pos) +#define PPCLKEN1_T10CLKE (0x1uL << SCUCG_PPCLKEN1_T10CLKE_Pos) +#define PPCLKEN1_T16CLKE (0x1uL << SCUCG_PPCLKEN1_T16CLKE_Pos) +#define PPCLKEN1_T15CLKE (0x1uL << SCUCG_PPCLKEN1_T15CLKE_Pos) +#define PPCLKEN1_T14CLKE (0x1uL << SCUCG_PPCLKEN1_T14CLKE_Pos) +#define PPCLKEN1_T13CLKE (0x1uL << SCUCG_PPCLKEN1_T13CLKE_Pos) +#define PPCLKEN1_PFCLKE (0x1uL << SCUCG_PPCLKEN1_PFCLKE_Pos) +#define PPCLKEN1_PECLKE (0x1uL << SCUCG_PPCLKEN1_PECLKE_Pos) +#define PPCLKEN1_PDCLKE (0x1uL << SCUCG_PPCLKEN1_PDCLKE_Pos) +#define PPCLKEN1_PCCLKE (0x1uL << SCUCG_PPCLKEN1_PCCLKE_Pos) +#define PPCLKEN1_PBCLKE (0x1uL << SCUCG_PPCLKEN1_PBCLKE_Pos) +#define PPCLKEN1_PACLKE (0x1uL << SCUCG_PPCLKEN1_PACLKE_Pos) + +// Peripheral Clock Enable Control 2 +/* +#define PERI_UST10 (0x1uL << 0) +#define PERI_UST11 (0x1uL << 1) +#define PERI_UT0 (0x1uL << 2) +#define PERI_UT1 (0x1uL << 3) +#define PERI_UST12 (0x1uL << 4) +#define PERI_UST13 (0x1uL << 5) +#define PERI_I2C0 (0x1uL << 6) +#define PERI_I2C1 (0x1uL << 7) +#define PERI_I2C2 (0x1uL << 8) +#define PERI_ADC (0x1uL << 10) +#define PERI_CRC (0x1uL << 12) +#define PERI_LCD (0x1uL << 13) +#define PERI_WT (0x1uL << 16) +#define PERI_WDT (0x1uL << 17) +#define PERI_LVI (0x1uL << 18) +#define PERI_FMC (0x1uL << 19) +*/ +#define PPCLKEN2_FMCLKE (0x1uL << SCUCG_PPCLKEN2_FMCLKE_Pos) +#define PPCLKEN2_LVICLKE (0x1uL << SCUCG_PPCLKEN2_LVICLKE_Pos) +#define PPCLKEN2_WDTCLKE (0x1uL << SCUCG_PPCLKEN2_WDTCLKE_Pos) +#define PPCLKEN2_WTCLKE (0x1uL << SCUCG_PPCLKEN2_WTCLKE_Pos) +#define PPCLKEN2_LCDCLKE (0x1uL << SCUCG_PPCLKEN2_LCDCLKE_Pos) +#define PPCLKEN2_CRCLKE (0x1uL << SCUCG_PPCLKEN2_CRCLKE_Pos) +#define PPCLKEN2_ADCLKE (0x1uL << SCUCG_PPCLKEN2_ADCLKE_Pos) +#define PPCLKEN2_I2C2CLKE (0x1uL << SCUCG_PPCLKEN2_I2C2CLKE_Pos) +#define PPCLKEN2_I2C1CLKE (0x1uL << SCUCG_PPCLKEN2_I2C1CLKE_Pos) +#define PPCLKEN2_I2C0CLKE (0x1uL << SCUCG_PPCLKEN2_I2C0CLKE_Pos) +#define PPCLKEN2_UST13CLKE (0x1uL << SCUCG_PPCLKEN2_UST13CLKE_Pos) +#define PPCLKEN2_UST12CLKE (0x1uL << SCUCG_PPCLKEN2_UST12CLKE_Pos) +#define PPCLKEN2_UT1CLKE (0x1uL << SCUCG_PPCLKEN2_UT1CLKE_Pos) +#define PPCLKEN2_UT0CLKE (0x1uL << SCUCG_PPCLKEN2_UT0CLKE_Pos) +#define PPCLKEN2_UST11CLKE (0x1uL << SCUCG_PPCLKEN2_UST11CLKE_Pos) +#define PPCLKEN2_UST10CLKE (0x1uL << SCUCG_PPCLKEN2_UST10CLKE_Pos) + +// Peripheral Clock Enable/Disable Control +/* +#define DIS_PERICLK 0 +#define EN_PERICLK 1 +*/ +#define PPxCLKE_Disable SCUCG_PPCLKEN1_PACLKE_Disable +#define PPxCLKE_Enable SCUCG_PPCLKEN1_PACLKE_Enable + +// Timer/Counter 20 Clock Selecion Control +/* +#define SCUCG_T20CLK (SCUCG_PPCLKSR_T20CLK_Msk) +#define SCUCG_T20CLK_XSOSC (0x0uL << SCUCG_PPCLKSR_T20CLK_Pos) +#define SCUCG_T20CLK_PCLK (0x1uL << SCUCG_PPCLKSR_T20CLK_Pos) +*/ +#define PPCLKSR_T20CLK (SCUCG_PPCLKSR_T20CLK_Msk) +#define T20CLK_XSOSC (SCUCG_PPCLKSR_T20CLK_XSOSC << SCUCG_PPCLKSR_T20CLK_Pos) +#define T20CLK_PCLK (SCUCG_PPCLKSR_T20CLK_PCLK << SCUCG_PPCLKSR_T20CLK_Pos) + +// Timer/Counter 30 Clock Selection Control +/* +#define SCUCG_T30CLK (SCUCG_PPCLKSR_T30CLK_Msk) +#define SCUCG_T30CLK_MCLK (0x0uL << SCUCG_PPCLKSR_T30CLK_Pos) +#define SCUCG_T30CLK_PCLK (0x1uL << SCUCG_PPCLKSR_T30CLK_Pos) +*/ +#define PPCLKSR_T30CLK (SCUCG_PPCLKSR_T30CLK_Msk) +#define T30CLK_MCLK (SCUCG_PPCLKSR_T30CLK_MCLK << SCUCG_PPCLKSR_T30CLK_Pos) +#define T30CLK_PCLK (SCUCG_PPCLKSR_T30CLK_PCLK << SCUCG_PPCLKSR_T30CLK_Pos) + +// LCD Driver Clock Selection Control +/* +#define SCUCG_LCDCLK (SCUCG_PPCLKSR_LCDCLK_Msk) +#define SCUCG_LCDCLK_MCLK (0x0uL << SCUCG_PPCLKSR_LCDCLK_Pos) +#define SCUCG_LCDCLK_XSOSC (0x1uL << SCUCG_PPCLKSR_LCDCLK_Pos) +#define SCUCG_LCDCLK_WDTRC (0x2uL << SCUCG_PPCLKSR_LCDCLK_Pos) +*/ +#define PPCLKSR_LCDCLK (SCUCG_PPCLKSR_LCDCLK_Msk) +#define LCDCLK_DividedMCLK (SCUCG_PPCLKSR_LCDCLK_DividedMCLK << SCUCG_PPCLKSR_LCDCLK_Pos) +#define LCDCLK_XSOSC (SCUCG_PPCLKSR_LCDCLK_XSOSC << SCUCG_PPCLKSR_LCDCLK_Pos) +#define LCDCLK_WDTRC (SCUCG_PPCLKSR_LCDCLK_WDTRC << SCUCG_PPCLKSR_LCDCLK_Pos) + +// Watch Timer Clock Selection Control +/* +#define SCUCG_WTCLK (SCUCG_PPCLKSR_WTCLK_Msk) +#define SCUCG_WTCLK_MCLK (0x0uL << SCUCG_PPCLKSR_WTCLK_Pos) +#define SCUCG_WTCLK_XSOSC (0x1uL << SCUCG_PPCLKSR_WTCLK_Pos) +#define SCUCG_WTCLK_WDTRC (0x2uL << SCUCG_PPCLKSR_WTCLK_Pos) +*/ +#define PPCLKSR_WTCLK (SCUCG_PPCLKSR_WTCLK_Msk) +#define WTCLK_DividedMCLK (SCUCG_PPCLKSR_WTCLK_DividedMCLK << SCUCG_PPCLKSR_WTCLK_Pos) +#define WTCLK_XSOSC (SCUCG_PPCLKSR_WTCLK_XSOSC << SCUCG_PPCLKSR_WTCLK_Pos) +#define WTCLK_WDTRC (SCUCG_PPCLKSR_WTCLK_WDTRC << SCUCG_PPCLKSR_WTCLK_Pos) + +// Watch-Dog Timer Clock Selection Control +/* +#define SCUCG_WDTCLK (SCUCG_PPCLKSR_WDTCLK_Msk) +#define SCUCG_WDTCLK_WDTRC (0x0uL << SCUCG_PPCLKSR_WDTCLK_Pos) +#define SCUCG_WDTCLK_PCLK (0x1uL << SCUCG_PPCLKSR_WDTCLK_Pos) +*/ +#define PPCLKSR_WDTCLK (SCUCG_PPCLKSR_WDTCLK_Msk) +#define WDTCLK_WDTRC (SCUCG_PPCLKSR_WDTCLK_WDTRC << SCUCG_PPCLKSR_WDTCLK_Pos) +#define WDTCLK_PCLK (SCUCG_PPCLKSR_WDTCLK_PCLK << SCUCG_PPCLKSR_WDTCLK_Pos) + +// Peripheral Reset Control 1 +#define PPRST1_T21RST (0x1uL << SCUCG_PPRST1_T21RST_Pos) +#define PPRST1_T20RST (0x1uL << SCUCG_PPRST1_T20RST_Pos) +#define PPRST1_T30RST (0x1uL << SCUCG_PPRST1_T30RST_Pos) +#define PPRST1_T12RST (0x1uL << SCUCG_PPRST1_T12RST_Pos) +#define PPRST1_T11RST (0x1uL << SCUCG_PPRST1_T11RST_Pos) +#define PPRST1_T10RST (0x1uL << SCUCG_PPRST1_T10RST_Pos) +#define PPRST1_T16RST (0x1uL << SCUCG_PPRST1_T16RST_Pos) +#define PPRST1_T15RST (0x1uL << SCUCG_PPRST1_T15RST_Pos) +#define PPRST1_T14RST (0x1uL << SCUCG_PPRST1_T14RST_Pos) +#define PPRST1_T13RST (0x1uL << SCUCG_PPRST1_T13RST_Pos) +#define PPRST1_PFRST (0x1uL << SCUCG_PPRST1_PFRST_Pos) +#define PPRST1_PERST (0x1uL << SCUCG_PPRST1_PERST_Pos) +#define PPRST1_PDRST (0x1uL << SCUCG_PPRST1_PDRST_Pos) +#define PPRST1_PCRST (0x1uL << SCUCG_PPRST1_PCRST_Pos) +#define PPRST1_PBRST (0x1uL << SCUCG_PPRST1_PBRST_Pos) +#define PPRST1_PARST (0x1uL << SCUCG_PPRST1_PARST_Pos) + +// Peripheral Reset Control 2 +#define PPRST2_FMCRST (0x1uL << SCUCG_PPRST2_FMCRST_Pos) +#define PPRST2_LVIRST (0x1uL << SCUCG_PPRST2_LVIRST_Pos) +#define PPRST2_WTRST (0x1uL << SCUCG_PPRST2_WTRST_Pos) +#define PPRST2_LCDRST (0x1uL << SCUCG_PPRST2_LCDRST_Pos) +#define PPRST2_CRRST (0x1uL << SCUCG_PPRST2_CRRST_Pos) +#define PPRST2_ADRST (0x1uL << SCUCG_PPRST2_ADRST_Pos) +#define PPRST2_I2C2RST (0x1uL << SCUCG_PPRST2_I2C2RST_Pos) +#define PPRST2_I2C1RST (0x1uL << SCUCG_PPRST2_I2C1RST_Pos) +#define PPRST2_I2C0RST (0x1uL << SCUCG_PPRST2_I2C0RST_Pos) +#define PPRST2_UST13RST (0x1uL << SCUCG_PPRST2_UST13RST_Pos) +#define PPRST2_UST12RST (0x1uL << SCUCG_PPRST2_UST12RST_Pos) +#define PPRST2_UT1RST (0x1uL << SCUCG_PPRST2_UT1RST_Pos) +#define PPRST2_UT0RST (0x1uL << SCUCG_PPRST2_UT0RST_Pos) +#define PPRST2_UST11RST (0x1uL << SCUCG_PPRST2_UST11RST_Pos) +#define PPRST2_UST10RST (0x1uL << SCUCG_PPRST2_UST10RST_Pos) + +// External Main Oscillator Filter Selection Control +/* +#define XTAL_4DOT5MHZ (0x0 << 0) +#define XTAL_6DOT5MHZ (0x1 << 0) +#define XTAL_8DOT5MHZ (0x2 << 0) +#define XTAL_10DOT5MHZ (0x3 << 0) +#define XTAL_12DOT5MHZ (0x4 << 0) +#define XTAL_16DOT5MHZ (0x5 << 0) +*/ +#define XRNS_LE4p5MHz (SCUCG_XTFLSR_XRNS_LE4p5MHz << SCUCG_XTFLSR_XRNS_Pos) // x-tal LE 4.5MHz +#define XRNS_LE6p5MHz (SCUCG_XTFLSR_XRNS_LE6p5MHz << SCUCG_XTFLSR_XRNS_Pos) // 4.5MHz GT x-tal LE 6.5MHz +#define XRNS_LE8p5MHz (SCUCG_XTFLSR_XRNS_LE8p5MHz << SCUCG_XTFLSR_XRNS_Pos) // 6.5MHz GT x-tal LE 8.5MHz +#define XRNS_LE10p5MHz (SCUCG_XTFLSR_XRNS_LE10p5MHz << SCUCG_XTFLSR_XRNS_Pos) // 8.5MHz GT x-tal LE 10.5MHz +#define XRNS_LE12p5MHz (SCUCG_XTFLSR_XRNS_LE12p5MHz << SCUCG_XTFLSR_XRNS_Pos) // 10.5MHz GT x-tal LE 12.5MHz +#define XRNS_LE16p5MHz (SCUCG_XTFLSR_XRNS_LE16p5MHz << SCUCG_XTFLSR_XRNS_Pos) // 12.5MHz GT x-tal LE 16.5MHz + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Get "Vendor ID"/"Chip ID"/Revision Number" + * @details This macro gets vendor ID, chip ID, and revision number. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_GetVendorID() (SCUCC->VENDORID) +#define SCUCC_GetChipID() (SCUCC->CHIPID) +#define SCUCC_GetRevNo() (SCUCC->REVNR) + +/*-------------------------------------------------------------------------*//** + * @brief Set Boot Pin Function + * @param[in] rst_src + * Reset sources to check boot pin + * - BFIND_PORorEXTR, BFIND_POR + * @details This macro sets boot pin function to check when reset occurs. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_SetBtFnc(rst_src) (SCUCC->BTPSCR_b.BFIND = rst_src) + +/*-------------------------------------------------------------------------*//** + * @brief Get Boot Pin Status + * @details This macro gets boot pin status. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_GetBtPinSt() (SCUCC->BTPSCR_b.BTPSTA) + +/*-------------------------------------------------------------------------*//** + * @brief Enable/Disable NMI Interrupt + * @details This macro sets the NMI interrupt control bit. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_EnNMI() (SCUCC->NMISRCR_b.NMICON = 1) +#define SCUCC_DisNMI() (SCUCC->NMISRCR_b.NMICON = 0) + +/*-------------------------------------------------------------------------*//** + * @brief Generate Software Reset + * @details This macro generates software reset. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_GenSwRst() (SCUCC->SWRSTR = ((uint32_t)SCUCC_SWRSTR_WTIDKY_Value << SCUCC_SWRSTR_WTIDKY_Pos) | 0x2DuL) + +/*-------------------------------------------------------------------------*//** + * @brief Enable/Disable Wake-Up Timer Interrupt + * @details This macro sets the wake-up timer interrupt enable bit. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_EnWutInt() (SCUCC->WUTCR_b.WUTIEN = 1) +#define SCUCC_DisWutInt() (SCUCC->WUTCR_b.WUTIEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief Get/Clear Wake-Up Timer Interrupt Flag + * @details This macro gets/clears the wake-up timer interrupt flag. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_GetWutFlag() (SCUCC->WUTCR_b.WUTIFLAG) +#define SCUCC_ClrWutFlag() (SCUCC->WUTCR_b.WUTIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Reload Counter of Wake-Up Timer + * @details This macro reloads wake-up timer counter to re-count. + *//*-------------------------------------------------------------------------*/ +#define SCUCC_ReloadWut() (SCUCC->WUTCR_b.CNTRLD = 1) + + +/*-------------------------------------------------------------------------*//** + * @brief Set Divider 0/1/2/3 + * @param[in] scu_hdiv + * - scu_hdiv: HDIV_MCLK16, HDIV_MCLK8, HDIV_MCLK4, HDIV_MCLK2, HDIV_MCLK1 + * - scu_pdiv: PDIV_HCLK1, PDIV_HCLK2, PDIV_HCLK4, PDIV_HCLK8 + * - scu_wldiv: WLDIV_MCLK64, WLDIV_MCLK128, WLDIV_MCLK256, WLDIV_MCLK512, WLDIV_MCLK1024 + * - scu_systdiv: SYSTDIV_HCLK1, SYSTDIV_HCLK2, SYSTDIV_HCLK4, SYSTDIV_HCLK8 + * @details This macro sets dividers. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetHCLK( scu_hdiv ) (SCUCG->SCDIVR1_b.HDIV = scu_hdiv) +#define SCUCG_SetPCLK( scu_pdiv ) (SCUCG->SCDIVR2_b.PDIV = scu_pdiv) +#define SCUCG_SetWtLcd( scu_wldiv ) (SCUCG->SCDIVR1_b.WLDIV = scu_wldiv) +#define SCUCG_SetSysTick( scu_systdiv ) (SCUCG->SCDIVR2_b.SYSTDIV = scu_systdiv) + +/*-------------------------------------------------------------------------*//** + * @brief Set Clock Output Control Register (CLKOCR) + * @param[in] u32Clko + * Values for CLKO frequency, Polarity, and Divider + * @details This macro sets clock output control register for CLKO. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetClkOutReg( u32Clko ) (SCUCG->CLKOCR = u32Clko) + +/*-------------------------------------------------------------------------*//** + * @brief Get MONFLAG of Clock Monitoring + * @details This macro reads MONFLAG of Clock Monitoring. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_GetMonFlag() (SCUCG->CMONCR_b.MONFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Set Timer/Counter 20 Clock + * @param[in] clk + * - T20CLK_XSOSC, T20CLK_PCLK + * @details This macro sets Timer/Counter 20 clock. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetT20ClkSrc( clk ) (SCUCG->PPCLKSR_b.T20CLK = clk) + +/*-------------------------------------------------------------------------*//** + * @brief Set Timer/Counter 30 Clock + * @param[in] clk + * - T30CLK_MCLK, T30CLK_PCLK + * @details This macro sets Timer/Counter 30 clock. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetT30ClkSrc( clk ) (SCUCG->PPCLKSR_b.T30CLK = clk) + +/*-------------------------------------------------------------------------*//** + * @brief Set LCD Driver Clock + * @param[in] clk + * - LCDCLK_DividedMCLK, LCDCLK_XSOSC, LCDCLK_WDTRC + * @details This macro sets LCD Driver clock. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetLcdClk( clk ) (SCUCG->PPCLKSR_b.LCDCLK = clk) + +/*-------------------------------------------------------------------------*//** + * @brief Set Watch Timer Clock + * @param[in] clk + * - WTCLK_DividedMCLK, WTCLK_XSOSC, WTCLK_WDTRC + * @details This macro sets Watch Timer clock. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetWtClk( clk ) (SCUCG->PPCLKSR_b.WTCLK = clk) + +/*-------------------------------------------------------------------------*//** + * @brief Set Watch-Dog Timer Clock + * @param[in] clk + * - WDTCLK_WDTRC, WDTCLK_PCLK + * @details This macro sets Watch-Dog Timer clock. + *//*-------------------------------------------------------------------------*/ +#define SCUCG_SetWdtClk( clk ) (SCUCG->PPCLKSR_b.WDTCLK = clk) + +//****************************************************************************** +// Function +//****************************************************************************** + +uint32_t HAL_SCU_ResetSourceStatus( void ); +void HAL_SCU_SetNMI( uint32_t u32NmiCon ); +void HAL_SCU_SoftwareReset_Config( void ); +void HAL_SCU_SetWakupData( uint32_t u32Data ); +void HAL_SCU_HIRCTRM_ClockConfig( uint32_t u32Ind ); +void HAL_SCU_WDTRCTRM_ClockConfig( uint32_t u32Ind ); + + +void HAL_SCU_ClockMonitoring( uint32_t u32Acts, uint32_t u32Target ); +void HAL_SCU_ClockMonitoring_Disable( void ); +void HAL_SCU_ClockSource_Config( uint32_t u32FreIRC, uint32_t u32TypeXM, uint32_t u32ClkSrc ); +void HAL_SCU_ClockSource_Enable( uint32_t u32ClkSrc, uint32_t u32HircDiv ); +void HAL_SCU_ClockSource_Disable( uint32_t u32ClkSrc ); +void HAL_SCU_SystemClockChange( uint32_t u32Target ); +void HAL_SCU_MainXtal_PinConfig( uint32_t u32XtalFilter ); +void HAL_SCU_SubXtal_PinConfig( void ); +void HAL_SCU_SystemClockDivider( uint32_t u32Div02, uint32_t u32Div13 ); +void HAL_SCU_CLKO_PinConfig( void ); +void HAL_SCU_ClockOutput( uint32_t u32ClkSrc, uint32_t u32Level, uint32_t u32Div ); +void HAL_SCU_Peripheral_ClockConfig( uint32_t u32PeriClk1, uint32_t u32PeriClk2 ); +void HAL_SCU_Peripheral_EnableClock1( uint32_t u32PeriClk1, uint32_t Ind ); +void HAL_SCU_Peripheral_EnableClock2( uint32_t u32PeriClk2, uint32_t u32Ind ); +void HAL_SCU_Peripheral_ResetConfig( uint32_t u32PeriRst1, uint32_t u32PeriRst2 ); +void HAL_SCU_Peripheral_SetReset1( uint32_t u32EachPeri1 ); +void HAL_SCU_Peripheral_SetReset2( uint32_t u32EachPeri2 ); +void HAL_SCU_Peripheral_ClockSelection( uint32_t u32Peri, uint32_t u32ClkSrc ); + +#ifdef __cplusplus +} +#endif + +#endif /* _SCU_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_sculv.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_sculv.h new file mode 100644 index 0000000..5c38380 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_sculv.h @@ -0,0 +1,130 @@ +/***************************************************************************//** +* @file A31G12x_hal_sculv.h +* @brief Contains all macro definitions and function prototypes +* support for sculv firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _SCULV_H_ +#define _SCULV_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// LVI Enable/Disable Control +/* +#define LVI_DIS (0x00uL << 7) +#define LVI_EN (0x01uL << 7) +*/ +#define LVIEN_Disable (SCULV_LVICR_LVIEN_Disable << SCULV_LVICR_LVIEN_Pos) +#define LVIEN_Enable (SCULV_LVICR_LVIEN_Enable << SCULV_LVICR_LVIEN_Pos) + +// LVI Interrupt Enable/Disable Control +/* +#define LVI_INT_DIS (0x00uL << 5) +#define LVI_INT_EN (0x01uL << 5) +*/ +#define LVINTEN_Disable (SCULV_LVICR_LVINTEN_Disable << SCULV_LVICR_LVINTEN_Pos) +#define LVINTEN_Enable (SCULV_LVICR_LVINTEN_Enable << SCULV_LVICR_LVINTEN_Pos) + +// LVI Voltage Selection Control +/* +#define LV_1DOT88 (0x03uL) +#define LV_2DOT00 (0x04uL) +#define LV_2DOT13 (0x05uL) +#define LV_2DOT28 (0x06uL) +#define LV_2DOT46 (0x07uL) +#define LV_2DOT67 (0x08uL) +#define LV_3DOT04 (0x09uL) +#define LV_3DOT20 (0x0AuL) +#define LV_3DOT55 (0x0BuL) +#define LV_3DOT75 (0x0CuL) +#define LV_3DOT99 (0x0DuL) +#define LV_4DOT25 (0x0EuL) +#define LV_4DOT55 (0x0FuL) +*/ +#define LVIVS_2p00V (SCULV_LVICR_LVIVS_2p00V << SCULV_LVICR_LVIVS_Pos) // 2.00V */ +#define LVIVS_2p13V (SCULV_LVICR_LVIVS_2p13V << SCULV_LVICR_LVIVS_Pos) // 2.13V */ +#define LVIVS_2p28V (SCULV_LVICR_LVIVS_2p28V << SCULV_LVICR_LVIVS_Pos) // 2.28V */ +#define LVIVS_2p46V (SCULV_LVICR_LVIVS_2p46V << SCULV_LVICR_LVIVS_Pos) // 2.46V */ +#define LVIVS_2p67V (SCULV_LVICR_LVIVS_2p67V << SCULV_LVICR_LVIVS_Pos) // 2.67V */ +#define LVIVS_3p04V (SCULV_LVICR_LVIVS_3p04V << SCULV_LVICR_LVIVS_Pos) // 3.04V */ +#define LVIVS_3p20V (SCULV_LVICR_LVIVS_3p20V << SCULV_LVICR_LVIVS_Pos) // 3.20V */ +#define LVIVS_3p55V (SCULV_LVICR_LVIVS_3p55V << SCULV_LVICR_LVIVS_Pos) // 3.55V */ +#define LVIVS_3p75V (SCULV_LVICR_LVIVS_3p75V << SCULV_LVICR_LVIVS_Pos) // 3.75V */ +#define LVIVS_3p99V (SCULV_LVICR_LVIVS_3p99V << SCULV_LVICR_LVIVS_Pos) // 3.99V */ +#define LVIVS_4p25V (SCULV_LVICR_LVIVS_4p25V << SCULV_LVICR_LVIVS_Pos) // 4.25V */ +#define LVIVS_4p55V (SCULV_LVICR_LVIVS_4p55V << SCULV_LVICR_LVIVS_Pos) // 4.55V */ + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Enable/Disable LVR + * @details This macro disables/enables LVR. If any value is written, the LVR register won't be changed until to POR. + *//*-------------------------------------------------------------------------*/ +#define SCULV_DisLVR() (SCULV->LVRCR_b.LVREN = 0x55) +#define SCULV_EnLVR() (SCULV->LVRCR_b.LVREN = 0x8A) + +/*-------------------------------------------------------------------------*//** + * @brief Enable/Disable LVI Interrupt + * @details This macro sets the LVI interrupt enable bit. + *//*-------------------------------------------------------------------------*/ +#define SCULV_EnLviInt() (SCULV->LVICR_b.LVINTEN = 1) +#define SCULV_DisLviInt() (SCULV->LVICR_b.LVINTEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief Get/Clear LVI Interrupt Flag + * @details This macro gets/clears the LVI interrupt flag. + *//*-------------------------------------------------------------------------*/ +#define SCULV_GetLviFlag() (SCULV->LVICR_b.LVIFLAG) +#define SCULV_ClrLviFlag() (SCULV->LVICR_b.LVIFLAG = 1) + +//****************************************************************************** +// Function +//****************************************************************************** + +void HAL_LVI_Init( uint32_t u32LviEnBit, uint32_t u32LviIntEnBit, uint32_t u32LviLevel ); + +#ifdef __cplusplus +} +#endif + +#endif /* _SCULV_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer1n.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer1n.h new file mode 100644 index 0000000..8d9cb0e --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer1n.h @@ -0,0 +1,308 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer1n.h +* @brief Contains all macro definitions and function prototypes +* support for timer1n firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _TIMER1n_H_ +#define _TIMER1n_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//---------- TIMER1n Enable/Disable Definition ---------- +#define TIMER1n_DISABLE (0x0uL << 15) +#define TIMER1n_ENABLE (0x1uL << 15) + +//---------- TIMER1n Clock Selection Definition ---------- +#define TIMER1n_CLKINT (0x0uL << 14) +#define TIMER1n_CLKEXT (0x1uL << 14) + +//---------- TIMER1n Mode Selection Definition ---------- +#define TIMER1n_CNTM (0x0uL << 12) +#define TIMER1n_CAPM (0x1uL << 12) +#define TIMER1n_PPGONEM (0x2uL << 12) +#define TIMER1n_PPGREM (0x3uL << 12) + +//---------- TIMER1n External Clock Edge Selection Definition ---------- +#define TIMER1n_FEDGE (0x0uL << 11) +#define TIMER1n_REDGE (0x1uL << 11) + +//---------- TIMER1n OUT Polarity Selection Definition ---------- +#define TIMER1n_STHIGH (0x0uL << 8) +#define TIMER1n_STLOW (0x1uL << 8) + +//---------- TIMER1n Capture Polarity Selection Definition ---------- +#define TIMER1n_CAPFALL (0x0uL << 6) +#define TIMER1n_CAPRISE (0x1uL << 6) +#define TIMER1n_CAPBOTH (0x2uL << 6) + +//---------- TIMER1n Match Interrupt Definition ---------- +#define TIMER1n_MATINTEN (0x1uL << 5) +#define TIMER1n_MATINTDIS (0x0uL << 5) + +//---------- TIMER1n Capture Interrupt Definition ---------- +#define TIMER1n_CAPINTEN (0x1uL << 4) +#define TIMER1n_CAPINTDIS (0x0uL << 4) + +#define TIMER1n_PRS_MASK 0x0FFF + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** counter clock source select */ +typedef enum +{ + TIMER1n_PCLK = 0, /**< clock source from pclk */ + TIMER1n_ECn = 1 /**< clock source from ECn pin input. before setting, have to set ECn pin mode */ +} TIMER1n_CKSEL_MODE_OPT; + +typedef enum +{ + TIMER1n_PERIODIC_MODE = 0, /**< PERIODIC mode */ + TIMER1n_CAPTURE_MODE, /**< CAPTURE mode */ + TIMER1n_ONESHOT_MODE, /**< ONE SHOT mode */ + TIMER1n_PWM_MODE /**< PWM mode */ +} TIMER1n_MODE_OPT; + +typedef enum +{ + TIMER1n_FALLING_EGDE = 0, /**< falling edge clear mode */ + TIMER1n_RISING_EGDE, /**< rising edge clear mode */ + TIMER1n_BOTH_EGDE, /**< both edge clear mode */ + TIMER1n_NONE /**< none clear mode */ +} TIMER1n_CLR_MODE_OPT; + +/** start default level select: initial output value. */ +typedef enum +{ + TIMER1n_START_HIGH = 0, + TIMER1n_START_LOW +} TIMER1n_STARTLVL_OPT; + +/** TIMER Interrupt Type definitions */ +typedef enum +{ + TIMER1n_INTCFG_MIE = 0, /**< Match Interrupt enable*/ + TIMER1n_INTCFG_CIE, /**< Capture Interrupt enable*/ +} TIMER1n_INT_Type; + +//============================================================================== +// Structure +//============================================================================== + +/** Configuration structure in TIMER mode */ +typedef struct +{ + uint16_t ADR; + uint16_t BDR; + uint16_t Prescaler; + uint8_t StartLevel; /**< set initial output value + - TIMER1n_START_LOW, TIMER1n_START_HIGH + */ + uint8_t CkSel; /**< Counter clock source select + - PCLK, ECn + */ + uint8_t ECE; +} TIMER1n_PERIODICCFG_Type; + +/** Configuration structure in COUNTER mode */ +typedef struct +{ + uint16_t ADR; + uint16_t BDR; + uint16_t Prescaler; + uint8_t StartLevel; /**< set initial output value + - TIMER1n_START_LOW, TIMER1n_START_HIGH + */ + uint8_t CkSel; /**< Counter clock source select + - PCLK, ECn + */ + uint8_t ECE; +} TIMER1n_PWMCFG_Type, TIMER1n_ONESHOTCFG_Type; + +/** Capture Input configuration structure */ +typedef struct +{ + uint16_t ADR; + uint16_t Prescaler; + uint8_t ClrMode; + uint8_t CkSel; + uint8_t ECE; +} TIMER1n_CAPTURECFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief TIMER1n Enable/Disable + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro Enable TIMER1n Block + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_EN( TIMER1x ) (TIMER1x->CR_b.T1nEN = 1) +#define TIMER1n_DIS( TIMER1x ) (TIMER1x->CR_b.T1nEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief TIMER1n Continue Counting/Temporary Pause + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro select TIMER1n Continue Counting/Temporary Pause + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_ConCnt( TIMER1x ) (TIMER1x->CR_b.T1nPAU = 0) +#define TIMER1n_TempPau( TIMER1x ) (TIMER1x->CR_b.T1nPAU = 1) + +/*-------------------------------------------------------------------------*//** + * @brief TIMER1n Counter and Prescaler clear + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro clears Counter and Prescalrer of TIMER1n + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_ClrCnt( TIMER1x ) (TIMER1x->CR_b.T1nCLR = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER1n Counter Register + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro gets TIMER1n Counter Register + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_GetCnt( TIMER1x ) (TIMER1x->CNT) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER1n A Data Register + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @param[in] u32AData + * A Data of TIMER1n + * @details This macro sets TIMER1n A Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_SetAData( TIMER1x, u32AData ) (TIMER1x->ADR = u32AData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER1n B Data Register + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @param[in] u32BData + * B Data of TIMER1n + * @details This macro sets TIMER1n B Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_SetBData( TIMER1x, u32BData ) (TIMER1x->BDR = u32BData) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER1n Capture Data Register + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro gets TIMER1n Capture Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER1n_GetCapData( TIMER1x ) (TIMER1x->CAPDR) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER1n Match Interrupt + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro gets interrupt flag of TIMER1n Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define T1nMaInt_GetFg( TIMER1x ) (TIMER1x->CR_b.T1nMIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER1n Match Interrupt + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro clears interrupt flag of TIMER1n Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define T1nMaInt_ClrFg( TIMER1x ) (TIMER1x->CR_b.T1nMIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER1n Capture Interrupt + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro gets interrupt flag of TIMER1n Capture Interrupt + *//*-------------------------------------------------------------------------*/ +#define T1nCapInt_GetFg( TIMER1x ) (TIMER1x->CR_b.T1nCIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER1n Capture Interrupt + * @param[in] TIMER1x + * - TIMER10 ~ TIMER16 + * @details This macro clears interrupt flag of TIMER1n Capture Interrupt + *//*-------------------------------------------------------------------------*/ +#define T1nCapInt_ClrFg( TIMER1x ) (TIMER1x->CR_b.T1nCIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Timer device enumeration + *//*-------------------------------------------------------------------------*/ +/* Timer n Control register */ +#define TIMER1n_CR_CKSEL_MASK (TIMER1n_CR_T1nCLK_Msk) +#define TIMER1n_CR_CKSEL_SET( n ) (n << TIMER1n_CR_T1nCLK_Pos) + +#define TIMER1n_CR_MODE_MASK (TIMER1n_CR_T1nMS_Msk) +#define TIMER1n_CR_MODE_SET( n ) (n << TIMER1n_CR_T1nMS_Pos) + +#define TIMER1n_CR_ECE_MASK (TIMER1n_CR_T1nECE_Msk) +#define TIMER1n_CR_ECE_SET( n ) (n << TIMER1n_CR_T1nECE_Pos) + +#define TIMER1n_CR_STARTLVL_MASK (TIMER1n_CR_T1nOPOL_Msk) +#define TIMER1n_CR_STARTLVL_SET( n ) (n << TIMER1n_CR_T1nOPOL_Pos) + +#define TIMER1n_CR_CPOL_MASK (TIMER1n_CR_T1nCPOL_Msk) +#define TIMER1n_CR_CPOL_SET( n ) (n << TIMER1n_CR_T1nCPOL_Pos) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_TIMER1n_Init( TIMER1n_Type* TIMER1x, TIMER1n_MODE_OPT TimerCounterMode, void* TIMER1n_Config ); +HAL_Status_Type HAL_TIMER1n_DeInit( TIMER1n_Type* TIMER1x ); + +HAL_Status_Type HAL_TIMER1n_ConfigInterrupt( TIMER1n_Type* TIMER1x, TIMER1n_INT_Type TIMER1n_IntCfg, FunctionalState NewState ); +HAL_Status_Type HAL_TIMER1n_Cmd( TIMER1n_Type* TIMER1x, FunctionalState NewState ); +HAL_Status_Type HAL_TIMER1n_SetRegister( TIMER1n_Type* TIMER1x, uint32_t u32T1nSet, uint32_t u32T1nClk ); + +#ifdef __cplusplus +} +#endif + +#endif /* _TIMER1n_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer2n.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer2n.h new file mode 100644 index 0000000..402b6f7 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer2n.h @@ -0,0 +1,352 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer2n.h +* @brief Contains all macro definitions and function prototypes +* support for timer2n firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _TIMER2n_H_ +#define _TIMER2n_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//-------------------------------------- +// TIMER2n Control Register definitions +//-------------------------------------- + +/** Counter/timer mode bits */ +#define TIMER2n_CR_MODE_MASK (0x3) + +/** counter clock select bits */ +#define TIMER2n_CR_CLK_MASK (TIMER2n_CR_T2nCLK_Msk) + +/** External Clock Edge Selection bit */ +#define TIMER2n_CR_ECE_MASK (TIMER2n_CR_T2nECE_Msk) + +/** T2nCAP signal selection bit */ +#define TIMER2n_CR_CAPSEL_MASK (0x03 << 9) + +/** T2nOUT Polarity Selection bit */ +#define TIMER2n_CR_OPOL_MASK (TIMER2n_CR_T2nOPOL_Msk) + +/** TIMER2n Capture Polarity Selection bit */ +#define TIMER2n_CR_CPOL_MASK (TIMER2n_CR_T2nCPOL_Msk) + +/** TIMER2n Match Interrupt Enable bit */ +#define TIMER2n_CR_MIEN_MASK (TIMER2n_CR_T2nMIEN_Msk) + +/** TIMER2n Capture Interrupt Enable bit */ +#define TIMER2n_CR_CIEN_MASK (TIMER2n_CR_T2nCIEN_Msk) + +/** TIMER2n Counter Temporary Pause Control bit */ +#define TIMER2n_CR_PAU_MASK (TIMER2n_CR_T2nPAU_Msk) + +/** TIMER2n Match Interrupt Flag */ +#define TIMER2n_CR_MATCH_FLAG (1 << 3) +#define TIMER2n_CR_CAPTURE_FLAG (1 << 2) + +/** Clear Flag */ +#define TIMER2n_CR_CLEAR_MATCHINT (0x01 << TIMER2n_CR_T2nMIFLAG_Pos) +#define TIMER2n_CR_CLEAR_CAPTUREINT (0x01 << TIMER2n_CR_T2nCIFLAG_Pos) +#define TIMER2n_CR_CLEAR_CNT_PRED (0x01 << TIMER2n_CR_T2nCLR_Pos) + +/** TIMER2n A Data Register (ADR) */ +#define TIMER2n_ADR_MASK 0xFFFFFFFF + +/** TIMER2n B Data Register (BDR) */ +#define TIMER2n_BDR_MASK 0xFFFFFFFF + +/** TIMER2n Prescaler Data Register (PREDR) */ +#define TIMER2n_PREDR_MASK (0xFFF << 0) + +/** Timer/counter enable bit */ +#define TIMER2n_ENABLE (1) +#define TIMER2n_DISABLE (0) + +/** Timer/counter reset bit */ +#define TIMER2n_CLEAR (1) + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** Timer operating mode */ +typedef enum +{ + TIMER2n_PERIODIC_MODE = 0, /**< PERIODIC mode */ + TIMER2n_CAPTURE_MODE, /**< PWM mode */ + TIMER2n_ONESHOT_MODE, /**< ONE SHOT mode */ + TIMER2n_PWM_MODE /**< CAPTURE mode */ +} TIMER2n_MODE_OPT; + +/** clear select when capture mode */ +typedef enum +{ + TIMER2n_FALLING_EGDE = 0, /**< rising edge clear mode */ + TIMER2n_RISING_EGDE, /**< falling edge clear mode */ + TIMER2n_BOTH_EGDE, /**< both edge clear mode */ + TIMER2n_NONE /**< none clear mode */ +} TIMER2n_CLR_MODE_OPT; + +/** Timer clock source select */ +typedef enum +{ + TIMER2n_XSOSC_CLK = 0, /**< clock source from Internal */ + TIMER2n_PCLK_CLK, /**< clock source from External */ +} TIMER2n_MASTER_CKSEL_OPT; + +/** counter clock source select */ +typedef enum +{ + TIMER2n_INTERNAL_CLK = 0, /**< clock source from Internal */ + TIMER2n_EXTERNAL_CLK, /**< clock source from External */ +} TIMER2n_CKSEL_MODE_OPT; + +/** capture clock source select */ +typedef enum +{ + TIMER2n_CAP_EXTERNAL_CLK = 0, /**< clock source from Externl */ + TIMER2n_CAP_XSOSC_CLK, /**< clock source from XSOSC */ + TIMER2n_CAP_WDTRC_CLK, /**< Clock Source from WDTRC */ +} TIMER2n_CAP_CKSEL_OPT; + +/** start default level select: initial output value. */ +typedef enum +{ + TIMER2n_START_HIGH = 0, /**< clock source from pclk div 2 */ + TIMER2n_START_LOW /**< clock source from pclk div 4 */ +} TIMER2n_STARTLVL_OPT; + +/** TIMER Interrupt Type definitions */ +typedef enum +{ + TIMER2n_CR_MATCH_INTR = 0, /**< OVIE Interrupt enable*/ + TIMER2n_CR_CAPTURE_INTR, /**< MBIE Interrupt enable*/ +} TIMER2n_INT_Type; + +//============================================================================== +// Structure +//============================================================================== + +/** Configuration structure in TIMER mode */ +typedef struct +{ + uint32_t ADR; + uint32_t BDR; + uint8_t TCLK_SEL; /**< Timer Clock Source, should be: + - XSOSC + - PCLK + */ + uint16_t Prescaler; /**< Timer Prescaler(TnPRS), should be: + - 0~4095 value range + */ + uint8_t CkSel; /**< Counter clock source select, should be: + - Internal + - EXT : EXT, clock source + */ + uint8_t ExtCkEdge; /**< Set External Clock Edge Selection bit: + - Falling Edge + - Rising Edge + */ + uint8_t StartLevel; /**< set initial output value, should be: + - TIMER2n_START_LOW + - TIMER2n_START_HIGH + */ + uint8_t CapEdge; /**< Set Capture Edge, should be: + - Falling Edge + - Rising Edge + - Both Edge + */ +} TIMER2n_PERIODICCFG_Type; + +/** Configuration structure in COUNTER mode */ +typedef struct +{ + uint32_t ADR; + uint32_t BDR; + uint8_t TCLK_SEL; /**< Timer Clock Source, should be: + - XSOSC + - PCLK + */ + uint16_t Prescaler; /**< Timer Prescaler(TnPRS), should be: + - 0~4095 value range + */ + uint8_t CkSel; /**< Counter clock source select, should be: + - Internal + - EXT : EXT, clock source + */ + uint8_t ExtCkEdge; /**< Set External Clock Edge Selection bit: + - Falling Edge + - Rising Edge + */ + uint8_t StartLevel; /**< set initial output value, should be: + - TIMER2n_START_LOW + - TIMER2n_START_HIGH + */ + uint8_t CapEdge; /**< Set Capture Edge, should be: + - Falling Edge + - Rising Edge + - Both Edge + */ +} TIMER2n_PWMCFG_Type, TIMER2n_ONESHOTCFG_Type; + +/** Capture Input configuration structure */ +typedef struct +{ + uint8_t TCLK_SEL; /**< Timer Clock Source, should be: + - XSOSC + - PCLK + */ + uint16_t Prescaler; /**< Timer Prescaler(TnPRS), should be: + - 0~4095 value range + */ + uint8_t ClrMode; /**< clear select when capture, should be: + - TIMER2n_RISING_EGDE + - TIMER2n_FALLING_EGDE + - TIMER2n_BOTH_EGDE + - TIMER2n_NONE + */ + uint8_t CkSel; /**< Counter clock source select, should be: + - PCLK_2: PCLK / 2 + - PCLK_4: PCLK / 4 + - PCLK_16: PCLK / 16 + - PCLK_64: PCLK / 64 + - EXT = 4: EXT, clock source from MCCR3(TEXT) clock + - TnC + */ + uint8_t CAPCkSel; /**< Capture clock source select, should be: + - External Capture Signal + - XSOSC + - WDTRC + */ + uint8_t Reserved[2]; /**< Reserved */ +} TIMER2n_CAPTURECFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief TIMER2n Counter and Prescaler clear + * @param[in] TIMER2x + * - TIMER20 ~ TIMER21 + * @details This macro clears Counter and Prescalrer of TIMER2n + *//*-------------------------------------------------------------------------*/ +#define TIMER2n_ClrCnt( TIMER2x ) (TIMER2x->CR_b.T2nCLR = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER2n Counter Register + * @param[in] TIMER2x + * - TIMER20 ~ TIMER21 + * @details This macro gets TIMER2n Counter Register + *//*-------------------------------------------------------------------------*/ +#define TIMER2n_GetCnt( TIMER2x ) (TIMER2x->CNT) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER2n A Data Register + * @param[in] TIMER2x + * - TIMER20 ~ TIMER21 + * @param[in] u32AData + * A Data of TIMER2n + * @details This macro sets TIMER2n A Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER2n_SetAData( TIMER2x, u32AData ) (TIMER2x->ADR = u32AData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER2n B Data Register + * @param[in] TIMER2x + * - TIMER20 ~ TIMER21 + * @param[in] u32BData + * B Data of TIMER2n + * @details This macro sets TIMER2n B Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER2n_SetBData( TIMER2x, u32BData ) (TIMER2x->BDR = u32BData) + +/** counter clock select bits */ +#define TIMER2n_CR_CLK_SET( n ) (n << TIMER2n_CR_T2nCLK_Pos) + +/** External Clock Edge Selection bit */ +#define TIMER2n_CR_ECE_SET( n ) (n << TIMER2n_CR_T2nECE_Pos) + +/** T2nCAP signal selection bit */ +#define TIMER2n_CR_CAPSEL_SET( n ) (n << 9) + +/** T2nOUT Polarity Selection bit */ +#define TIMER2n_CR_OPOL_SET( n ) (n << TIMER2n_CR_T2nOPOL_Pos) + +/** TIMER2n Capture Polarity Selection bit */ +#define TIMER2n_CR_CPOL_SET( n ) (n << TIMER2n_CR_T2nCPOL_Pos) + +/** TIMER2n Match Interrupt Enable bit */ +#define TIMER2n_CR_MIEN_SET( n ) (n << TIMER2n_CR_T2nMIEN_Pos) + +/** TIMER2n Capture Interrupt Enable bit */ +#define TIMER2n_CR_CIEN_SET( n ) (n << TIMER2n_CR_T2nCIEN_Pos) + +/** TIMER2n Counter Temporary Pause Control bit */ +#define TIMER2n_CR_PAU_SET( n ) (n << TIMER2n_CR_T2nPAU_Pos) + +#define TIMER2n_PREDR_SET( n ) (n << 0) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_TIMER2n_Init( TIMER2n_Type* TIMER2x, TIMER2n_MODE_OPT TimerCounterMode, void* TIMER2n_Config ); +HAL_Status_Type HAL_TIMER2n_DeInit( TIMER2n_Type* TIMER2x ); + +HAL_Status_Type HAL_TIMER2n_ConfigInterrupt( TIMER2n_Type* TIMER2x, TIMER2n_INT_Type TIMER2n_IntCfg, FunctionalState NewState ); +HAL_Status_Type HAL_TIMER2n_Cmd( TIMER2n_Type* TIMER2x, FunctionalState NewState ); +HAL_Status_Type HAL_TIMER2n_ClearCounter( TIMER2n_Type* TIMER2x ); +HAL_Status_Type HAL_TIMER2n_UpdateCountValue( TIMER2n_Type* TIMER2x, uint8_t CountCh, uint16_t Value ); +HAL_Status_Type HAL_TIMER2n_ClearStatus( TIMER2n_Type* TIMER2x, uint8_t value ); +uint8_t HAL_TIMER2n_GetStatus( TIMER2n_Type* TIMER2x ); + +uint32_t HAL_TIMER2n_GetCaptureData( TIMER2n_Type* TIMER2x ); + +#ifdef __cplusplus +} +#endif + +#endif /* _TIMER2n_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer3n.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer3n.h new file mode 100644 index 0000000..3a4da8b --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_timer3n.h @@ -0,0 +1,490 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer3n.h +* @brief Contains all macro definitions and function prototypes +* support for timer3n firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _TIMER3n_H_ +#define _TIMER3n_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//========== TIMER3n_CR ======================================== + +//---------- TIMER3n Enable/Disable Definition ---------- +#define TIMER3n_DISABLE (0x0uL << TIMER3n_CR_T3nEN_Pos) +#define TIMER3n_ENABLE (0x1uL << TIMER3n_CR_T3nEN_Pos) + +//---------- TIMER3n Clock Selection Definition ---------- +#define TIMER3n_CLKINT (0x0uL << TIMER3n_CR_T3nCLK_Pos) +#define TIMER3n_CLKEXT (0x1uL << TIMER3n_CR_T3nCLK_Pos) + +//---------- TIMER3n Mode Selection Definition ---------- +#define TIMER3n_INVM (0x0uL << TIMER3n_CR_T3nMS_Pos) +#define TIMER3n_CAPM (0x1uL << TIMER3n_CR_T3nMS_Pos) +#define TIMER3n_BTOB (0x2uL << TIMER3n_CR_T3nMS_Pos) + +//---------- TIMER3n External Clock Edge Selection Definition ---------- +#define TIMER3n_FEDGE (0x0uL << TIMER3n_CR_T3nECE_Pos) +#define TIMER3n_REDGE (0x1uL << TIMER3n_CR_T3nECE_Pos) + +//---------- TIMER3n Output Mode Selection Definition ---------- +#define TIMER3n_6CHMOD (0x0uL << TIMER3n_CR_FORCA_Pos) +#define TIMER3n_FORAMOD (0x1uL << TIMER3n_CR_FORCA_Pos) + +//---------- TIMER3n Delay Time Insert En/Disable Definition ---------- +#define TIMER3n_DLYINSDIS (0x0uL << TIMER3n_CR_DLYEN_Pos) +#define TIMER3n_DLYINSEN (0x1uL << TIMER3n_CR_DLYEN_Pos) + +//---------- TIMER3n Delay Timer Insertion Position Definition ---------- +#define TIMER3n_INSFRONT (0x0uL << TIMER3n_CR_DLYPOS_Pos) +#define TIMER3n_INSBACK (0x1uL << TIMER3n_CR_DLYPOS_Pos) + +//---------- TIMER3n Capture Polarity Selection Definition ---------- +#define TIMER3n_CAPFALL (0x0uL << TIMER3n_CR_T3nCPOL_Pos) +#define TIMER3n_CAPRISE (0x1uL << TIMER3n_CR_T3nCPOL_Pos) +#define TIMER3n_CAPBOTH (0x2uL << TIMER3n_CR_T3nCPOL_Pos) + +//---------- TIMER3n Data Reload Time Selection Definition ---------- +#define TIMER3n_UPWRITE (0x0uL << TIMER3n_CR_UPDT_Pos) +#define TIMER3n_UPMATCH (0x1uL << TIMER3n_CR_UPDT_Pos) +#define TIMER3n_UPBOTTOM (0x2uL << TIMER3n_CR_UPDT_Pos) + +//---------- TIMER3n Period Match Interrupt Occurrence Selection Definition ---------- +#define TIMER3n_E1PERIOD (0x00uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E2PERIOD (0x01uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E3PERIOD (0x02uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E4PERIOD (0x03uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E5PERIOD (0x04uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E6PERIOD (0x05uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E7PERIOD (0x06uL << TIMER3n_CR_PMOC_Pos) +#define TIMER3n_E8PERIOD (0x07uL << TIMER3n_CR_PMOC_Pos) + +//========== TIMER3n_OUTCR ======================================== + +//---------- TIMER3n PWM30xB Output Polarity Selection Definition ---------- +#define TIMER3n_OUT_BPOLOW (0x0uL << TIMER3n_OUTCR_POLB_Pos) +#define TIMER3n_OUT_BPOHIGH (0x1uL << TIMER3n_OUTCR_POLB_Pos) + +//---------- TIMER3n PWM30xA Output Polarity Selection Definition ---------- +#define TIMER3n_OUT_APOLOW (0x0uL << TIMER3n_OUTCR_POLA_Pos) +#define TIMER3n_OUT_APOHIGH (0x1uL << TIMER3n_OUTCR_POLA_Pos) + +//---------- TIMER3n PWM30AB Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMABDIS (0x0uL << TIMER3n_OUTCR_PABOE_Pos) +#define TIMER3n_OUT_PWMABEN (0x1uL << TIMER3n_OUTCR_PABOE_Pos) + +//---------- TIMER3n PWM30BB Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMBBDIS (0x0uL << TIMER3n_OUTCR_PBBOE_Pos) +#define TIMER3n_OUT_PWMBBEN (0x1uL << TIMER3n_OUTCR_PBBOE_Pos) + +//---------- TIMER3n PWM30CB Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMCBDIS (0x0uL << TIMER3n_OUTCR_PCBOE_Pos) +#define TIMER3n_OUT_PWMCBEN (0x1uL << TIMER3n_OUTCR_PCBOE_Pos) + +//---------- TIMER3n PWM30AA Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMAADIS (0x0uL << TIMER3n_OUTCR_PAAOE_Pos) +#define TIMER3n_OUT_PWMAAEN (0x1uL << TIMER3n_OUTCR_PAAOE_Pos) + +//---------- TIMER3n PWM30BA Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMBADIS (0x0uL << TIMER3n_OUTCR_PBAOE_Pos) +#define TIMER3n_OUT_PWMBAEN (0x1uL << TIMER3n_OUTCR_PBAOE_Pos) + +//---------- TIMER3n PWM30CA Output En/Disable Definition ---------- +#define TIMER3n_OUT_PWMCADIS (0x0uL << TIMER3n_OUTCR_PCAOE_Pos) +#define TIMER3n_OUT_PWMCAEN (0x1uL << TIMER3n_OUTCR_PCAOE_Pos) + +//---------- TIMER3n PWM30AB Output When Disable ---------- +#define TIMER3n_OUT_ABLOW (0x0uL << TIMER3n_OUTCR_LVLAB_Pos) +#define TIMER3n_OUT_ABHIGH (0x1uL << TIMER3n_OUTCR_LVLAB_Pos) + +//---------- TIMER3n PWM30BB Output When Disable ---------- +#define TIMER3n_OUT_BBLOW (0x0uL << TIMER3n_OUTCR_LVLBB_Pos) +#define TIMER3n_OUT_BBHIGH (0x1uL << TIMER3n_OUTCR_LVLBB_Pos) + +//---------- TIMER3n PWM30CB Output When Disable ---------- +#define TIMER3n_OUT_CBLOW (0x0uL << TIMER3n_OUTCR_LVLCB_Pos) +#define TIMER3n_OUT_CBHIGH (0x1uL << TIMER3n_OUTCR_LVLCB_Pos) + +//---------- TIMER3n PWM30AA Output When Disable ---------- +#define TIMER3n_OUT_AALOW (0x0uL << TIMER3n_OUTCR_LVLAA_Pos) +#define TIMER3n_OUT_AAHIGH (0x1uL << TIMER3n_OUTCR_LVLAA_Pos) + +//---------- TIMER3n PWM30BA Output When Disable ---------- +#define TIMER3n_OUT_BALOW (0x0uL << TIMER3n_OUTCR_LVLBA_Pos) +#define TIMER3n_OUT_BAHIGH (0x1uL << TIMER3n_OUTCR_LVLBA_Pos) + +//---------- TIMER3n PWM30CA Output When Disable ---------- +#define TIMER3n_OUT_CALOW (0x0uL << TIMER3n_OUTCR_LVLCA_Pos) +#define TIMER3n_OUT_CAHIGH (0x1uL << TIMER3n_OUTCR_LVLCA_Pos) + +//========== TIMER3n_INTCR ======================================== + +//---------- TIMER3n High-Impedance Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_HIZDIS (0x0uL << TIMER3n_INTCR_HIZIEN_Pos) +#define TIMER3n_INT_HIZEN (0x1uL << TIMER3n_INTCR_HIZIEN_Pos) + +//---------- TIMER3n Capture Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_CAPDIS (0x0uL << TIMER3n_INTCR_T3nCIEN_Pos) +#define TIMER3n_INT_CAPEN (0x1uL << TIMER3n_INTCR_T3nCIEN_Pos) + +//---------- TIMER3n Bottom Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_BOTDIS (0x0uL << TIMER3n_INTCR_T3nBTIEN_Pos) +#define TIMER3n_INT_BOTEN (0x1uL << TIMER3n_INTCR_T3nBTIEN_Pos) + +//---------- TIMER3n Period Match Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_PMATDIS (0x0uL << TIMER3n_INTCR_T3nPMIEN_Pos) +#define TIMER3n_INT_PMATEN (0x1uL << TIMER3n_INTCR_T3nPMIEN_Pos) + +//---------- TIMER3n A Match Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_AMATDIS (0x0uL << TIMER3n_INTCR_T3nAMIEN_Pos) +#define TIMER3n_INT_AMATEN (0x1uL << TIMER3n_INTCR_T3nAMIEN_Pos) + +//---------- TIMER3n B Match Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_BMATDIS (0x0uL << TIMER3n_INTCR_T3nBMIEN_Pos) +#define TIMER3n_INT_BMATEN (0x1uL << TIMER3n_INTCR_T3nBMIEN_Pos) + +//---------- TIMER3n C Match Interrupt EN/Disable Definition ---------- +#define TIMER3n_INT_CMATDIS (0x0uL << TIMER3n_INTCR_T3nCMIEN_Pos) +#define TIMER3n_INT_CMATEN (0x1uL << TIMER3n_INTCR_T3nCMIEN_Pos) + +//========== TIMER3n_HIZCR ======================================== + +//---------- TIMER3n PWM Output High-Impedance En/Disable Definition ---------- +#define TIMER3n_HIZ_DISABLE (0x0uL << TIMER3n_HIZCR_HIZEN_Pos) +#define TIMER3n_HIZ_ENABLE (0x1uL << TIMER3n_HIZCR_HIZEN_Pos) + +//---------- TIMER3n High-Impedance(BLNK) Edge Definition ---------- +#define TIMER3n_HIZ_BLNKFALL (0x0uL << TIMER3n_HIZCR_HEDGE_Pos) +#define TIMER3n_HIZ_BLNKRISE (0x1uL << TIMER3n_HIZCR_HEDGE_Pos) + +//========== TIMER3n_ADTCR ======================================== + +//---------- TIMER3n Bottom for A/DC Trigger Signal Generator EN/Disable Definition ---------- +#define TIMER3n_ADT_BTTGDIS (0x0uL << TIMER3n_ADTCR_T3nBTTG_Pos) +#define TIMER3n_ADT_BTTGEN (0x1uL << TIMER3n_ADTCR_T3nBTTG_Pos) + +//---------- TIMER3n Period Match for A/DC Trigger Signal Generator EN/Disable Definition ---------- +#define TIMER3n_ADT_PMTGDIS (0x0uL << TIMER3n_ADTCR_T3nPMTG_Pos) +#define TIMER3n_ADT_PMTGEN (0x1uL << TIMER3n_ADTCR_T3nPMTG_Pos) + +//---------- TIMER3n A-ch Match for A/DC Trigger Signal Generator EN/Disable Definition ---------- +#define TIMER3n_ADT_AMTGDIS (0x0uL << TIMER3n_ADTCR_T3nAMTG_Pos) +#define TIMER3n_ADT_AMTGEN (0x1uL << TIMER3n_ADTCR_T3nAMTG_Pos) + +//---------- TIMER3n B-ch Match for A/DC Trigger Signal Generator EN/Disable Definition ---------- +#define TIMER3n_ADT_BMTGDIS (0x0uL << TIMER3n_ADTCR_T3nBMTG_Pos) +#define TIMER3n_ADT_BMTGEN (0x1uL << TIMER3n_ADTCR_T3nBMTG_Pos) + +//---------- TIMER3n C-ch Match for A/DC Trigger Signal Generator EN/Disable Definition ---------- +#define TIMER3n_ADT_CMTGDIS (0x0uL << TIMER3n_ADTCR_T3nCMTG_Pos) +#define TIMER3n_ADT_CMTGEN (0x1uL << TIMER3n_ADTCR_T3nCMTG_Pos) + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + // TIMER3n.CR + TIMER3n_CR_T3nMS_Enum T3nMS; // TIMER3n Operation Mode Selection + TIMER3n_CR_T3nCLK_Enum T3nCLK; // TIMER3n Clock Selection + TIMER3n_CR_T3nECE_Enum T3nECE; // TIMER3n External Clock Edge Selection + TIMER3n_CR_T3nCPOL_Enum T3nCPOL; // TIMER3n Capture Polarity Selection + + // TIMER3n.PDR + uint16_t PDR; + + // TIMER3n.ADR + uint16_t ADR; + + // TIMER3n.BDR + uint16_t BDR; + + // TIMER3n.CDR + uint16_t CDR; + + // TIMER3n.PREDR + uint16_t Prescaler; +} TIMER3n_CFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +// Control +/*-------------------------------------------------------------------------*//** + * @brief TIMER3n Enable/Disable + * @details This macro Enable TIMER3n Block + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_EN() (TIMER30->CR_b.T3nEN = 1) +#define TIMER3n_DIS() (TIMER30->CR_b.T3nEN = 0) +#define TIMER3n_EnableTimer( TIMER3x ) (TIMER3x->CR_b.T3nEN = 1) +#define TIMER3n_DisableTimer( TIMER3x ) (TIMER3x->CR_b.T3nEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief TIMER3n Counter and Prescaler clear + * @details This macro clears Counter and Prescalrer of TIMER3n + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_ClrCnt() (TIMER30->CR_b.T3nCLR = 1) +#define TIMER3n_ClearCounter( TIMER3x ) (TIMER3x->CR_b.T3nCLR = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER3n Counter Register + * @details This macro gets TIMER3n Counter Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_GetCnt() (TIMER30->CNT) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n Period Match Interrupt Occurrence + * @details This macro sets Period Match Interrupt Occurrence + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetPMOC( u32PMOC ) (TIMER30->CR_b.PMOC = u32PMOC) + +/*-------------------------------------------------------------------------*//** + * @brief TIMER3n PWM Output High-Impedance Enable/Disable + * @details This macro Enable TIMER3n PWM Output High-Impedance + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_HIZEN() (TIMER30->HIZCR_b.T3nEN = 1) +#define TIMER3n_HIZDIS() (TIMER30->HIZCR_b.T3nEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n PWM Output High-Impedance by Software + * @details This macro sets TIMER3n PWM Output High-Impedance by Software + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetHIZSW() (TIMER30->HIZCR_b.HIZSW = 1) + +/*-------------------------------------------------------------------------*//** + * @brief TIMER3n High-Impedance Output Clear + * @details This macro clears TIMER3n High-Impedance Output + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_ClrHIZ() (TIMER30->HIZCR_b.HIZCLR = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER3n High-Impedance Status + * @details This macro gets TIMER3n High-Impedance Status + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_GetHIZStaus() (TIMER30->HIZCR_b.HIZSTA) + +// Set & Get Data Register +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n Period Data Register + * @param[in] u32PData + * Period Data of TIMER3n + * @details This macro sets TIMER3n Period Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetPeData( u32PData ) (TIMER30->PDR = u32PData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n A Data Register + * @param[in] u32AData + * A Data of TIMER3n + * @details This macro sets TIMER3n A Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetAData( u32AData ) (TIMER30->ADR = u32AData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n B Data Register + * @param[in] u32BData + * B Data of TIMER3n + * @details This macro sets TIMER3n B Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetBData( u32BData ) (TIMER30->BDR = u32BData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n C Data Register + * @param[in] u32CData + * C Data of TIMER3n + * @details This macro sets TIMER3n C Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetCData( u32CData ) (TIMER30->CDR = u32CData) + +/*-------------------------------------------------------------------------*//** + * @brief Get TIMER3n Capture Data Register + * @details This macro gets TIMER3n Capture Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_GetCapData() (TIMER30->CAPDR) +#define TIMER3n_GetCaptureData( TIMER3x ) (TIMER3x->CAPDR) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n PWM Output Delay Data Register + * @param[in] u32DelayData + * PWM Output Delay Data of TIMER3n + * @details This macro sets TIMER3n PWM Output Delay Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetDelayData( u32DelayData ) (TIMER30->DLY = u32DelayData) + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER3n A/DC Trigger Generator Data Register + * @param[in] u32ADTData + * A/DC Trigger Generator Data of TIMER3n + * @details This macro sets TIMER3n A/DC Trigger Generator Data Register + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_SetADTData( u32ADTData ) (TIMER30->ADTDR = u32ADTData) + +// Get & Clear Interrupt Flag +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n All Interrupt + * @details This macro gets interrupt flag of TIMER3n All Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_AllInt_GetFg() (TIMER30->INTFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n C-ch Match Interrupt + * @details This macro gets interrupt flag of TIMER3n C-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_CMaInt_GetFg() (TIMER30->INTFLAG_b.T30CMIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n B-ch Match Interrupt + * @details This macro gets interrupt flag of TIMER3n B-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_BMaInt_GetFg() (TIMER30->INTFLAG_b.T30BMIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n A-ch Match Interrupt + * @details This macro gets interrupt flag of TIMER3n A-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_AchMaInt_GetFg() (TIMER30->INTFLAG_b.T30AMIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n Period Match Interrupt + * @details This macro gets interrupt flag of TIMER3n Period Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_PeMaInt_GetFg() (TIMER30->INTFLAG_b.T30PMIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n Bottom Interrupt + * @details This macro gets interrupt flag of TIMER3n Bottom Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_BotMaInt_GetFg() (TIMER30->INTFLAG_b.T30BTIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n Capture Interrupt + * @details This macro gets interrupt flag of TIMER3n Capture Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_CapInt_GetFg() (TIMER30->INTFLAG_b.T30CIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of TIMER3n High-Impedance Interrupt + * @details This macro gets interrupt flag of TIMER3n High-Impedance Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_HIZInt_GetFg() (TIMER30->INTFLAG_b.HIZIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n All Interrupt + * @details This macro Clears interrupt flag of TIMER3n All Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_AllInt_ClrFg() (TIMER30->INTFLAG = 0x7F) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n C-ch Match Interrupt + * @details This macro clears interrupt flag of TIMER3n C-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_CchMaInt_ClrFg() (TIMER30->INTFLAG_b.T30CMIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n B-ch Match Interrupt + * @details This macro clears interrupt flag of TIMER3n B-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_BchMaInt_ClrFg() (TIMER30->INTFLAG_b.T30BMIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n A-ch Match Interrupt + * @details This macro clears interrupt flag of TIMER3n A-ch Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_AchMaInt_ClrFg() (TIMER30->INTFLAG_b.T30AMIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n Period Match Interrupt + * @details This macro clears interrupt flag of TIMER3n Period Match Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_PeMaInt_ClrFg() (TIMER30->INTFLAG_b.T30PMIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n Bottom Interrupt + * @details This macro clears interrupt flag of TIMER3n Bottom Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_BotMaInt_ClrFg() (TIMER30->INTFLAG_b.T30BTIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n Capture Interrupt + * @details This macro clears interrupt flag of TIMER3n Capture Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_CapInt_ClrFg() (TIMER30->INTFLAG_b.T30CIFLAG = 1) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of TIMER3n High-Impedance Interrupt + * @details This macro clears interrupt flag of TIMER3n High-Impedance Interrupt + *//*-------------------------------------------------------------------------*/ +#define TIMER3n_HIZInt_ClrFg() (TIMER30->INTFLAG_b.HIZIFLAG = 1) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_TIMER3n_Init( TIMER3n_Type* TIMER3x, TIMER3n_CFG_Type* TIMER3n_Config ); +HAL_Status_Type HAL_TIMER3n_DeInit( TIMER3n_Type* TIMER3x ); + +HAL_Status_Type HAL_TIMER3n_ConfigInterrupt( TIMER3n_Type* TIMER3x, uint32_t NewState, uint32_t USART3n_IntCfg ); +HAL_Status_Type HAL_TIMER3n_MPWMCmd( TIMER3n_Type* TIMER3x, uint32_t updatedata, uint32_t intcount ); +HAL_Status_Type HAL_TIMER3n_Start( TIMER3n_Type* TIMER3x, uint32_t NewState ); +HAL_Status_Type HAL_TIMER3n_OutputCtrl( TIMER3n_Type* TIMER3x, uint32_t NewState, uint32_t pwmApol, uint32_t pwmBpol ); +HAL_Status_Type HAL_TIMER3n_ClockPrescaler( TIMER3n_Type* TIMER3x, uint32_t prescale ); +HAL_Status_Type HAL_TIMER3n_SetPeriod( TIMER3n_Type* TIMER3x, uint32_t period ); +HAL_Status_Type HAL_TIMER3n_SetADuty( TIMER3n_Type* TIMER3x, uint32_t aduty ); +HAL_Status_Type HAL_TIMER3n_SetBDuty( TIMER3n_Type* TIMER3x, uint32_t bduty ); +HAL_Status_Type HAL_TIMER3n_SetCDuty( TIMER3n_Type* TIMER3x, uint32_t cduty ); +HAL_Status_Type HAL_TIMER3n_SetDelayTime( TIMER3n_Type* TIMER3x, uint32_t dten, uint32_t dtpos, uint32_t clkdata ); +HAL_Status_Type HAL_TIMER3n_SetHizReg( TIMER3n_Type* TIMER3x, uint32_t u32T30HizSet ); +HAL_Status_Type HAL_TIMER3n_SetADCTrigger( TIMER3n_Type* TIMER3x, uint32_t u32triggerpoint, uint32_t u32triggertime ); +HAL_Status_Type HAL_TIMER3n_ClearStatus_IT( TIMER3n_Type* TIMER3x, uint32_t USART3n_IntCfg ); +uint32_t HAL_TIMER3n_GetStatus_IT( TIMER3n_Type* TIMER3x ); + +#ifdef __cplusplus +} +#endif + +#endif /* _TIMER3n_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_uartn.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_uartn.h new file mode 100644 index 0000000..e314b6a --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_uartn.h @@ -0,0 +1,223 @@ +/***************************************************************************//** +* @file A31G12x_hal_uartn.h +* @brief Contains all macro definitions and function prototypes +* support for uartn firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _UARTn_H_ +#define _UARTn_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * UARTn time-out definitions in case of using Read() and Write function + * with Blocking Flag mode + *//*-------------------------------------------------------------------------*/ +#define UARTn_BLOCKING_TIMEOUT 0xffffuL // (0xFFFFFFFFuL) + +//-------------------------------------- +// Macro defines for UARTn interrupt enable register +//-------------------------------------- +#define UARTn_IER_RBRINT_EN ((uint8_t)(1 << 0)) /**< RBR Interrupt enable */ +#define UARTn_IER_THREINT_EN ((uint8_t)(1 << 1)) /**< THR Interrupt enable */ +#define UARTn_IER_RLSINT_EN ((uint8_t)(1 << 2)) /**< RX line status interrupt enable */ +#define UARTn_IER_TXE_EN ((uint8_t)(1 << 3)) /**< TXE interrupt enable */ +#define UARTn_IER_BITMASK ((uint8_t)(0x0F)) /**< UART interrupt enable register bit mask */ + +//-------------------------------------- +// Macro defines for UARTn interrupt identification register +//-------------------------------------- +#define UARTn_IIR_INTSTAT_PEND ((uint8_t)(1 << 0)) /**< Interrupt Status - Active low */ +#define UARTn_IIR_INTID_RLS ((uint8_t)(3 << 1)) /**< Interrupt identification: Receive line status */ +#define UARTn_IIR_INTID_RDA ((uint8_t)(2 << 1)) /**< Interrupt identification: Receive data available */ +#define UARTn_IIR_INTID_THRE ((uint8_t)(1 << 1)) /**< Interrupt identification: THRE interrupt */ +#define UARTn_IIR_INTID_TXE ((uint8_t)(1 << 4)) /**< Interrupt identification: TXE interrupt */ +#define UARTn_IIR_INTID_MASK ((uint8_t)(7 << 1)) /**< Interrupt identification: Interrupt ID mask */ +#define UARTn_IIR_BITMASK ((uint8_t)(0x1F)) /**< UART interrupt identification register bit mask */ + +//-------------------------------------- +// Macro defines for UARTn line control register +//-------------------------------------- +#define UARTn_LCR_WLEN5 ((uint8_t)(0)) /**< UART 5 bit data mode */ +#define UARTn_LCR_WLEN6 ((uint8_t)(1 << 0)) /**< UART 6 bit data mode */ +#define UARTn_LCR_WLEN7 ((uint8_t)(2 << 0)) /**< UART 7 bit data mode */ +#define UARTn_LCR_WLEN8 ((uint8_t)(3 << 0)) /**< UART 8 bit data mode */ +#define UARTn_LCR_STOPBIT_SEL ((uint8_t)(1 << 2)) /**< UART Two Stop Bits Select */ +#define UARTn_LCR_PARITY_EN ((uint8_t)(1 << 3)) /**< UART Parity Enable */ +#define UARTn_LCR_PARITY_ODD ((uint8_t)(0 << 4)) /**< UART Odd Parity Select */ +#define UARTn_LCR_PARITY_EVEN ((uint8_t)(1 << 4)) /**< UART Even Parity Select */ +#define UARTn_LCR_PARITY_F_1 ((uint8_t)(2 << 4)) /**< UART force 1 stick parity */ +#define UARTn_LCR_PARITY_F_0 ((uint8_t)(3 << 4)) /**< UART force 0 stick parity */ +#define UARTn_LCR_BREAK_EN ((uint8_t)(1 << 6)) /**< UART Transmission Break enable */ +#define UARTn_LCR_BITMASK ((uint8_t)(0x7F)) /**< UART line control bit mask */ + +//-------------------------------------- +// Macro defines for UARTn data control register +//-------------------------------------- +#define UARTn_DCR_TXINV ((uint8_t)(1 << 2)) /**< data control register: Tx Data Inversion */ +#define UARTn_DCR_RXINV ((uint8_t)(1 << 3)) /**< data control register: Rx Data Inversion */ +#define UARTn_DCR_LBON ((uint8_t)(1 << 4)) /**< data control register: Local loopback test mode */ +#define UARTn_DCR_BITMASK ((uint8_t)(0x7 << 2)) /**< UART data control bit mask */ + +//-------------------------------------- +// Macro defines for UARTn line status register +//-------------------------------------- +#define UARTn_LSR_RDR ((uint8_t)(1 << 0)) /**< Line status register: Receive data ready */ +#define UARTn_LSR_OE ((uint8_t)(1 << 1)) /**< Line status register: Overrun error */ +#define UARTn_LSR_PE ((uint8_t)(1 << 2)) /**< Line status register: Parity error */ +#define UARTn_LSR_FE ((uint8_t)(1 << 3)) /**< Line status register: Framing error */ +#define UARTn_LSR_BI ((uint8_t)(1 << 4)) /**< Line status register: Break interrupt */ +#define UARTn_LSR_THRE ((uint8_t)(1 << 5)) /**< Line status register: Transmit holding register empty */ +#define UARTn_LSR_TEMT ((uint8_t)(1 << 6)) /**< Line status register: Transmitter empty */ +#define UARTn_LSR_BITMASK ((uint8_t)(0x7F)) /**< UART Line status bit mask */ + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +/** UARTn Data Bit type definitions */ +typedef enum +{ + UARTn_DATA_BIT_5 = 0, /**< 5 Data Bits */ + UARTn_DATA_BIT_6, /**< 6 Data Bits */ + UARTn_DATA_BIT_7, /**< 7 Data Bits */ + UARTn_DATA_BIT_8 /**< 8 Data Bits */ +} UARTn_DATA_BIT_Type; + +/** UARTn Stop Bit type definitions */ +typedef enum +{ + UARTn_STOP_BIT_1 = 0, /**< 1 Stop Bits */ + UARTn_STOP_BIT_2 /**< 2 Stop Bits */ +} UARTn_STOP_BIT_Type; + +/** UARTn Parity Bit type definitions */ +typedef enum +{ + UARTn_PARITY_BIT_NONE = 0, /**< No parity */ + UARTn_PARITY_BIT_ODD, /**< Odd parity */ + UARTn_PARITY_BIT_EVEN, /**< Even parity */ + UARTn_PARITY_BIT_SP_1, /**< Forced "1" stick parity */ + UARTn_PARITY_BIT_SP_0 /**< Forced "0" stick parity */ +} UARTn_PARITY_BIT_Type; + +/** UARTn Interrupt Type definitions */ +typedef enum +{ + UARTn_INTCFG_RBR = 0, /**< RBR Interrupt enable */ + UARTn_INTCFG_THRE, /**< THR Interrupt enable */ + UARTn_INTCFG_RLS, /**< RX line status interrupt enable */ + UARTn_INTCFG_TXE /**< TXE interrupt */ +} UARTn_INT_Type; + +/** UARTn Data Control type definition */ +typedef enum +{ + UARTn_DATA_CONTROL_LOOPBACK = 0, /**< Loop back mode select */ + UARTn_DATA_CONTROL_RXINV, + UARTn_DATA_CONTROL_TXINV, + UARTn_DATA_CONTROL_RTXINV, +} UARTn_DATA_CONTROL_Type; + +//============================================================================== +// Structure +//============================================================================== + +/** UARTn Configuration Structure definition */ +typedef struct +{ + uint32_t Baudrate; /**< Baud Rate */ + UARTn_DATA_BIT_Type Databits; /**< Number of Data Bits, should be: + - UARTn_DATA_BIT_5: 5 Data Bits + - UARTn_DATA_BIT_6: 6 Data Bits + - UARTn_DATA_BIT_7: 7 Data Bits + - UARTn_DATA_BIT_8: 8 Data Bits + */ + UARTn_PARITY_BIT_Type Parity; /**< Number of Parity Bits, should be: + - UARTn_PARITY_BIT_NONE: No Parity + - UARTn_PARITY_BIT_ODD: Odd Parity + - UARTn_PARITY_BIT_EVEN: Even Parity + - UARTn_PARITY_BIT_SP_1: Forced "1" Stick Parity + - UARTn_PARITY_BIT_SP_0: Forced "0" Stick Parity + */ + UARTn_STOP_BIT_Type Stopbits; /**< Number of Stop Bits, should be: + - UARTn_STOP_BIT_1: 1 Stop Bits + - UARTn_STOP_BIT_2: 2 Stop Bits + */ +} UARTn_CFG_Type; + +//****************************************************************************** +// Variable +//****************************************************************************** + +extern char InData[80]; +extern int InFlag; +extern int InCount; + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_UART_Init( UARTn_Type* UARTx, UARTn_CFG_Type* UARTn_Config ); +HAL_Status_Type HAL_UART_DeInit( UARTn_Type* UARTx ); + +HAL_Status_Type HAL_UART_ConfigStructInit( UARTn_CFG_Type* UARTn_Config ); +HAL_Status_Type HAL_UART_ConfigInterrupt( UARTn_Type* UARTx, UARTn_INT_Type UARTn_IntCfg, FunctionalState NewState ); +HAL_Status_Type HAL_UART_DataControlConfig( UARTn_Type* UARTx, UARTn_DATA_CONTROL_Type Mode, FunctionalState NewState ); +HAL_Status_Type HAL_UART_IFDelayConfig( UARTn_Type* UARTx, uint8_t waitval ); +HAL_Status_Type HAL_UART_ForceBreak( UARTn_Type* UARTx ); +uint8_t HAL_UART_GetLineStatus( UARTn_Type* UARTx ); +FlagStatus HAL_UART_CheckBusy( UARTn_Type* UARTx ); + +HAL_Status_Type HAL_UART_TransmitByte( UARTn_Type* UARTx, uint8_t Data ); +uint8_t HAL_UART_ReceiveByte( UARTn_Type* UARTx ); +uint32_t HAL_UART_Transmit( UARTn_Type* UARTx, uint8_t* txbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ); +uint32_t HAL_UART_Receive( UARTn_Type* UARTx, uint8_t* rxbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ); + +#ifdef __cplusplus +} +#endif + +#endif /* _UARTn_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_usart1n.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_usart1n.h new file mode 100644 index 0000000..a659629 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_usart1n.h @@ -0,0 +1,241 @@ +/***************************************************************************//** +* @file A31G12x_hal_usart1n.h +* @brief Contains all macro definitions and function prototypes +* support for usart1n firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _USART1n_H_ +#define _USART1n_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * USART1n time-out definitions in case of using Read() and Write function + * with Blocking Flag mode + *//*-------------------------------------------------------------------------*/ +#define USART1n_BLOCKING_TIMEOUT (0xFFFFFFFFuL) + +//-------------------------------------- +// Macro defines for USART1n interrupt enable register +//-------------------------------------- + +#define USART1n_IER_WAKEINT_EN ((uint16_t)(1 << 2)) /**< WAKE Interrupt enable */ +#define USART1n_IER_RXCINT_EN ((uint16_t)(1 << 3)) /**< RXC Interrupt enable */ +#define USART1n_IER_TXCINT_EN ((uint16_t)(1 << 4)) /**< TXC interrupt enable */ +#define USART1n_IER_DR_EN ((uint16_t)(1 << 5)) /**< DR interrupt enable */ +#define USART1n_IER_BITMASK ((uint16_t)(0x3C)) /**< USART interrupt enable register bit mask */ + + +//-------------------------------------- +// Macro defines for USART1n interrupt status register +//-------------------------------------- +#define USART1n_SR_DRE ((uint16_t)(1 << 7)) /**< Interrupt identification: Tx Buffer Busy */ +#define USART1n_SR_TXC ((uint16_t)(1 << 6)) /**< Interrupt identification: Tx Complete */ +#define USART1n_SR_RXC ((uint16_t)(1 << 5)) /**< Interrupt identification: Rx Complete */ +#define USART1n_SR_WAKE ((uint16_t)(1 << 4)) /**< Interrupt identification: Wake */ +#define USART1n_SR_DOR ((uint16_t)(1 << 2)) /**< Interrupt identification: Data OverRun */ +#define USART1n_SR_FE ((uint16_t)(1 << 1)) /**< Interrupt identification: Frame Error */ +#define USART1n_SR_PE ((uint16_t)(1 << 0)) /**< Interrupt identification: Parity Error */ +#define USART1n_SR_BITMASK ((uint16_t)(0xFF)) /**< USART interrupt identification register bit mask */ + +#define USART1n_CR2_USTnRX8 ((uint16_t)(1 << 0)) /**< */ +#define USART1n_CR2_USTnTX8 ((uint16_t)(1 << 1)) /**< */ +#define USART1n_CR2_USTnSB ((uint16_t)(1 << 2)) /**< */ +#define USART1n_CR2_FXCHn ((uint16_t)(1 << 3)) /**< */ +#define USART1n_CR2_USTnSSEN ((uint16_t)(1 << 4)) /**< */ +#define USART1n_CR2_DISSCKn ((uint16_t)(1 << 5)) /**< */ +#define USART1n_CR2_LOOPSn ((uint16_t)(1 << 6)) /**< */ +#define USART1n_CR2_MASTERn ((uint16_t)(1 << 7)) /**< */ +#define USART1n_CR2_DBLSn ((uint16_t)(1 << 8)) /**< */ +#define USART1n_CR2_USTnEN ((uint16_t)(1 << 9)) /**< */ +#define USART1n_CR2_BITMASK ((uint16_t)(0x3FF)) /**< */ + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +typedef enum +{ + USART1n_UART_MODE = 0, /**< UART Mode */ + USART1n_USRT_MODE, /**< USRT Mode (Syncronous) */ + USART1n_SPI_MODE = 3 /**< SPI Mode */ +} USART1n_OPMODE_Type; + +typedef enum +{ + USART1n_SPI_LSB = 0, /**< SPI LSB First */ + USART1n_SPI_MSB, /**< SPI MSB First */ +} USART1n_SPI_ORDER_Type; + +typedef enum +{ + USART1n_SPI_TX_RISING = 0, /**< Txd Change : Rising / Rxd Change : Falling */ + USART1n_SPI_TX_FALLING, /**< Txd Change : Falling / Rxd Change : Rising */ +} USART1n_ACK_Type; + +typedef enum +{ + USART1n_SPI_TX_LEADEDGE_SAMPLE = 0, /**< Leading edge : Sample / Trailing edge : Setup */ + USART1n_SPI_TX_LEADEDGE_SETUP, /**< Leading edge : Setup / Trailing edge : Sample */ +} USART1n_EDGE_Type; + +/** USART1n Data Bit type definitions */ +typedef enum +{ + USART1n_DATA_BIT_5 = 0, /**< 5 Data Bits */ + USART1n_DATA_BIT_6, /**< 6 Data Bits */ + USART1n_DATA_BIT_7, /**< 7 Data Bits */ + USART1n_DATA_BIT_8, /**< 8 Data Bits */ + USART1n_DATA_BIT_9 = 7 /**< 9 Data Bits */ +} USART1n_DATA_BIT_Type; + +/** USART1n Stop Bit type definitions */ +typedef enum +{ + USART1n_STOP_BIT_1 = 0, /**< 1 Stop Bits */ + USART1n_STOP_BIT_2 /**< 2 Stop Bits */ +} USART1n_STOP_BIT_Type; + +/** USART1n Parity Bit type definitions */ +typedef enum +{ + USART1n_PARITY_BIT_NONE = 0, /**< No parity */ + USART1n_PARITY_BIT_EVEN = 2, /**< Even parity */ + USART1n_PARITY_BIT_ODD = 3 /**< Odd parity */ +} USART1n_PARITY_BIT_Type; + +/** USART Data Control type definition */ +typedef enum +{ + USART1n_CONTROL_USTRX8 = 0, + USART1n_CONTROL_USTTX8, + USART1n_CONTROL_USTSB, + USART1n_CONTROL_FXCH, + USART1n_CONTROL_USTSSEN, + USART1n_CONTROL_DISSCK, + USART1n_CONTROL_LOOPS, + USART1n_CONTROL_MASTER, + USART1n_CONTROL_DBLS, + USART1n_CONTROL_USTEN +} USART1n_CONTROL_Type; + +typedef enum +{ + USART1n_STATUS_PE = 0, + USART1n_STATUS_FE, + USART1n_STATUS_DOR, + USART1n_STATUS_WAKE, + USART1n_STATUS_RXC, + USART1n_STATUS_TXC, + USART1n_STATUS_DRE, +} USART1n_STATUS_Type; + +typedef enum +{ + USART1n_INTCFG_WAKE = 0, /**< Wake-Up Interrupt enable*/ + USART1n_INTCFG_RXC, /**< Receive Complete Interrupt enable*/ + USART1n_INTCFG_TXC, /**< Transmit Complete line status interrupt enable*/ + USART1n_INTCFG_DR /**< Data Register Empty interrupt */ +} USART1n_INT_Type; + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + uint32_t Baudrate; + USART1n_OPMODE_Type Mode; + USART1n_SPI_ORDER_Type Order; + USART1n_ACK_Type ACK; + USART1n_EDGE_Type Edge; + USART1n_DATA_BIT_Type Databits; /**< Number of Data Bits, should be: + - USART_DATABIT_5: 5 Data Bits + - USART_DATABIT_6: 6 Data Bits + - USART_DATABIT_7: 7 Data Bits + - USART_DATABIT_8: 8 Data Bits + - USART_DATABIT_9: 9 Data Bits + */ + USART1n_PARITY_BIT_Type Parity; /**< Number of Parity Bits, should be: + - USART_PARITY_NONE: No Parity + - USART_PARITY_ODD: Odd Parity + - USART_PARITY_EVEN: Even Parity + - USART_PARITY_SP_1: Forced "1" Stick Parity + - USART_PARITY_SP_0: Forced "0" Stick Parity + */ + USART1n_STOP_BIT_Type Stopbits; /**< Number of Stop Bits, should be: + - USART_STOPBIT_1: 1 Stop Bits + - USART_STOPBIT_2: 2 Stop Bits + */ +} USART1n_CFG_Type; + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_USART_Init( USART1n_Type* USART1x, USART1n_CFG_Type* USART1n_Config ); +HAL_Status_Type HAL_USART_DeInit( USART1n_Type* USART1x ); + +HAL_Status_Type HAL_USART_UART_Mode_Config( USART1n_CFG_Type* USART1n_Config ); +HAL_Status_Type HAL_USART_USRT_Mode_Config( USART1n_CFG_Type* USART1n_Config ); +HAL_Status_Type HAL_USART_SPI_Mode_Config( USART1n_CFG_Type* USART1n_Config ); +HAL_Status_Type HAL_USART_ConfigInterrupt( USART1n_Type* USART1x, USART1n_INT_Type USART1n_IntCfg, FunctionalState NewState ); +HAL_Status_Type HAL_USART_DataControlConfig( USART1n_Type* USART1x, USART1n_CONTROL_Type Mode, FunctionalState NewState ); +HAL_Status_Type HAL_USART_Enable( USART1n_Type* USART1x, FunctionalState state ); +HAL_Status_Type HAL_USART_ClearStatus( USART1n_Type* USART1x, USART1n_STATUS_Type Status ); +uint8_t HAL_USART_GetStatus( USART1n_Type* USART1x ); + +FlagStatus HAL_USART_CheckBusy( USART1n_Type* USART1x ); + +HAL_Status_Type HAL_USART_TransmitByte( USART1n_Type* USART1x, uint8_t Data ); +uint8_t HAL_USART_ReceiveByte( USART1n_Type* USART1x ); +uint32_t HAL_USART_Transmit( USART1n_Type* USART1x, uint8_t* txbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ); +uint32_t HAL_USART_Receive( USART1n_Type* USART1x, uint8_t* rxbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ); + +#ifdef __cplusplus +} +#endif + +#endif /* _USART1n_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wdt.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wdt.h new file mode 100644 index 0000000..5a7d41e --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wdt.h @@ -0,0 +1,116 @@ +/***************************************************************************//** +* @file A31G12x_hal_wdt.h +* @brief Contains all macro definitions and function prototypes +* support for wdt firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _WDT_H_ +#define _WDT_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// WDT_CR interrupt enable bit +/* Deprecated +#define WDT_CR_UNFIEN ((uint32_t)(1 << 2)) // WDT Underflow Interrupt Enable bit +#define WDT_CR_WINMIEN ((uint32_t)(1 << 3)) // WDT Window Match Interrupt Enable bit +#define WDT_INTERRUPT_BITMASK 0x000c +*/ + +/** WDT_SR interrupt enable bit */ +#define WDT_SR_UNFIFLAG ((uint32_t)(1 << 0)) // WDT Underflow Interrupt Flag bit +#define WDT_SR_WINMIFLAG ((uint32_t)(1 << 1)) // WDT Window Match Interrupt Flag bit + +#define WDT_STATUS_BITMASK 0x0003 + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +enum +{ + WDT_DIV_4 = 0, + WDT_DIV_16, + WDT_DIV_64, + WDT_DIV_256 +}; + +typedef enum +{ + WDT_INTCFG_UNFIEN = 0, /**< UNFIEN Interrupt enable */ + WDT_INTCFG_WINMIEN, /**< WINMIEN Interrupt enable */ +} WDT_INT_Type; + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + uint8_t wdtResetEn; /**< if ENABLE -> the Reset bit is enabled */ + uint16_t wdtClkDiv; /**< wdtClkDiv */ + uint32_t wdtTmrConst; /**< Set Watch-Dog Timer Data Register */ + uint32_t wdtWTmrConst; /**< Set Watch-Dog Timer Window Data Register */ +} WDT_CFG_Type; + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_WDT_Init( WDT_CFG_Type* WDT_Config ); +HAL_Status_Type HAL_WDT_DeInit( void ); + +HAL_Status_Type HAL_WDT_ConfigInterrupt( WDT_INT_Type WDT_IntCfg, FunctionalState NewState ); +HAL_Status_Type HAL_WDT_ReloadTimeCounter( void ); +HAL_Status_Type HAL_WDT_Start( FunctionalState ctrl ); +HAL_Status_Type HAL_WDT_ClearStatus( uint32_t clrbit ); +uint32_t HAL_WDT_GetStatus( void ); + +uint32_t HAL_WDT_GetCurrentCount( void ); + +#ifdef __cplusplus +} +#endif + +#endif /* _WDT_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wt.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wt.h new file mode 100644 index 0000000..d4117ec --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wt.h @@ -0,0 +1,154 @@ +/***************************************************************************//** +* @file A31G12x_hal_wt.h +* @brief Contains all macro definitions and function prototypes +* support for wt firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _WT_H_ +#define _WT_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +//========== WT_CR ======================================== + +//---------- WT Interval Selection ---------- +#define WT_DIV2E7 (0x0uL << 4) +#define WT_DIV2E13 (0x1uL << 4) +#define WT_DIV2E14 (0x2uL << 4) +#define WT_DIV2E14DR (0x3uL << 4) + +//---------- WT Interrupt En/Disable ---------- +#define WT_INTDIS (0x0uL << 3) +#define WT_INTEN (0x1uL << 3) + +/** WT_CR interrupt enable bit */ +#define WT_CR_WTIEN ((uint32_t)(1 << 3)) // WT Interrupt Enable bit +#define WT_INTERRUPT_BITMASK 0x0008 + +/** WT_CR interrupt status flag bit */ +#define WT_CR_WTIFLAG ((uint32_t)(1 << 1)) // WT Interrupt Flag bit +#define WT_STATUS_BITMASK 0x0002 + +#define WT_CR_WTCLR ((uint32_t)(1 << 0)) // WT counter and divider clear bit + +//****************************************************************************** +// Type +//****************************************************************************** + +//============================================================================== +// Enumeration +//============================================================================== + +enum +{ + WT_DIV_2_7 = 0, + WT_DIV_2_13, + WT_DIV_2_14, + WT_DIV_2_14_MUL_DR +}; + +//============================================================================== +// Structure +//============================================================================== + +typedef struct +{ + uint32_t wtClkDiv; /**< wtClkDiv */ + uint32_t wtTmrConst; /**< Set Watch Timer Data Register */ +} WT_CFG_Type; + +//****************************************************************************** +// Macro +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Watch Timer Enable/Disable + * @details This macro Enable Watch Timer Block + *//*-------------------------------------------------------------------------*/ +#define WT_EN() (WT->CR_b.WTEN = 1) +#define WT_DIS() (WT->CR_b.WTEN = 0) + +/*-------------------------------------------------------------------------*//** + * @brief Get Watch Timer Counter Register + * @details This macro gets Watch Timer Counter Register + *//*-------------------------------------------------------------------------*/ +#define WT_GetCnt() (WT->CNT) + +/*-------------------------------------------------------------------------*//** + * @brief Set Watch Timer Data Register + * @param[in] u32WTData + * Data of WT_DR + * @details This macro sets Watch Timer Data Register + *//*-------------------------------------------------------------------------*/ +#define WT_SetWT_DR( u32WTData ) (WT->DR = u32WTData) + +/*-------------------------------------------------------------------------*//** + * @brief Get flags of Watch Timer Interrupt + * @details This macro gets interrupt flag of Watch Timer Interrupt + *//*-------------------------------------------------------------------------*/ +#define WTInt_GetFg() (WT->CR_b.WTIFLAG) + +/*-------------------------------------------------------------------------*//** + * @brief Clear flags of Watch Timer Interrupt + * @details This macro clears interrupt flag of Watch Timer Interrupt + *//*-------------------------------------------------------------------------*/ +#define WTInt_ClrFg() (WT->CR_b.WTIFLAG = 1) + +//****************************************************************************** +// Function +//****************************************************************************** + +HAL_Status_Type HAL_WT_Init( WT_CFG_Type* WT_Config ); +HAL_Status_Type HAL_WT_DeInit( void ); + +HAL_Status_Type HAL_WT_ConfigInterrupt( FunctionalState NewState ); +HAL_Status_Type HAL_WT_Start( FunctionalState ctrl ); +HAL_Status_Type HAL_WT_SetRegister( uint32_t u32WTSet ); +HAL_Status_Type HAL_WT_ClearStatus( void ); +uint32_t HAL_WT_GetStatus( void ); + +uint32_t HAL_WT_GetCurrentCount( void ); + +#ifdef __cplusplus +} +#endif + +#endif /*_WT_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wtidky.h b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wtidky.h new file mode 100644 index 0000000..c1dedf8 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Include/A31G12x_hal_wtidky.h @@ -0,0 +1,68 @@ +/***************************************************************************//** +* @file A31G12x_hal_wtidky.h +* @brief Contains all macro definitions and function prototypes +* support for wtidky firmware library on A31G12x +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +#ifndef _WTIDKY_H_ +#define _WTIDKY_H_ + +#include "A31G12x.h" +#include "A31G12x_hal_aa_types.h" + +#ifdef __cplusplus +extern "C" { +#endif + +//****************************************************************************** +// Constant +//****************************************************************************** + +// Write ID Key Definition +#define SCU_SCCRWTIDKY 0x570AuL // 0 SCUCG_SCCR_WTIDKY_Value +#define SCU_CLKSRCRWTIDKY 0xA507uL // 1 SCUCG_CLKSRCR_WTIDKY_Value +#define XTFWTIDKY 0x9B37uL // 2 SCUCG_XTFLSR_WTIDKY_Value +#define HIRCWTIDKY 0xA6B5uL // 3 SCUCC_HIRCTRM_WTIDKY_Value +#define WDTRCWTIDKY 0x4C3DuL // 4 SCUCC_WDTRCTRM_WTIDKY_Value +#define REMWTIDKY 0xE2F1uL // 5 SCUCC_PMREMAP_WTIDKY_Value +#define WDTWTIDKY 0x5A69uL // 6 WDT_CR_WTIDKY_Value +#define T30OUTWTIDKY 0xE06CuL // 7 TIMER30_T30_OUTCR_WTIDKY_Value +#define FMC_BCRWTIDKY 0xC1BEuL // 8 FMC_BCR_WTIDKY_Value +#define FMC_CRWTIDKY 0x6C93uL // 9 FMC_CR_WTIDKY_Value +#define SWRSTWTIDKY 0x9EB3uL // 10 SCUCC_SWRSTR_WTIDKY_Value +#define VECTWTIDKY 0x05FAuL // 11 + +#ifdef __cplusplus +} +#endif + +#endif /* _WTIDKY_H_ */ + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_adc.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_adc.c new file mode 100644 index 0000000..d7b2921 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_adc.c @@ -0,0 +1,264 @@ +/***************************************************************************//** +* @file A31G12x_hal_adc.c +* @brief Contains all functions support for adc firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_adc.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the ADC peripheral with the specified parameters. + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @param[in] ADC_Config + * Pointer to a ADC_CFG_Type structure + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_Init( ADC_Type* ADCx, ADC_CFG_Type* ADC_Config ) +{ + uint32_t tempreg; + + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_ADCLKE, PPxCLKE_Enable ); + + ADCx->CR = ( 1 << ADC_CR_ADCEN_Pos ); // ADCEN; + + tempreg = 0 + | ( 1 << ADC_CR_ADCEN_Pos ) // ADCEN + | ( ( ( ADC_Config->TrgSel ) & 7 ) << ADC_CR_TRIG_Pos ) // TRGSRC + | ( ( ( ADC_Config->RefSel ) & 1 ) << ADC_CR_REFSEL_Pos ) + | ( 1 << ADC_CR_ADCIFLAG_Pos ) // clear flag + ; + ADCx->CR = tempreg; + + ADCx->PREDR = ( ADC_Config->InClkDiv & ADC_PREDR_PRED_Msk ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Close ADC + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_DeInit( ADC_Type* ADCx ) +{ + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + ADCx->CR = 0; + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_ADCLKE, PPxCLKE_Disable ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief ADC interrupt configuration + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_ConfigInterrupt( ADC_Type* ADCx, FunctionalState NewState ) +{ + uint32_t tempreg; + + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + tempreg = ADCx->CR; + tempreg &= ~( 1 << ADC_CR_ADCIEN_Pos ); + + if( NewState ) + { + tempreg |= ( 1 << ADC_CR_ADCIEN_Pos ); + } + ADCx->CR = tempreg; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Select ADC Channel Number + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @param[in] Channel + * Channel Number + * - ADC_CR_ADSEL_AN0 ~ ADC_CR_ADSEL_AN13 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_ChannelSel( ADC_Type* ADCx, uint32_t Channel ) +{ + uint32_t temp_reg; + + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + temp_reg = ADCx->CR & ( uint32_t )( ~ADC_CR_ADSEL_Msk ); + temp_reg |= ( uint32_t )( Channel & ADC_CR_ADSEL_Msk ); + ADCx->CR = temp_reg; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Start A/D conversion + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_Start( ADC_Type* ADCx ) +{ + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + ADCx->CR |= ( 1 << ADC_CR_ADST_Pos ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Stop A/D conversion + * If this function called after a conversion cycle starts, + * the current conversion is completed + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_Stop( ADC_Type* ADCx ) +{ + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + ADCx->CR &= ~( 1 << ADC_CR_ADST_Pos ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear ADC channel status + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_ADC_ClearStatus( ADC_Type* ADCx ) +{ + uint32_t tempreg; + + /* Check ADC handle */ + if( ADCx == NULL ) + { + return HAL_ERROR; + } + + tempreg = ADCx->CR; + tempreg |= ( 1 << ADC_CR_ADCIFLAG_Pos ); + + ADCx->CR = tempreg; // clear flag; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get ADC channel status + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return ADC status register + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_ADC_GetStatus( ADC_Type* ADCx ) +{ + uint32_t tempreg; + + tempreg = ADCx->CR; + tempreg &= ( 1 << ADC_CR_ADCIFLAG_Pos ); + + return tempreg; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get Result conversion from A/D data register + * @param[in] ADCx + * Pointer to the target ADC + * - ADC + * @return Result of conversion + *//*-------------------------------------------------------------------------*/ +uint16_t HAL_ADC_GetData( ADC_Type* ADCx ) +{ + uint16_t adc_value; + + adc_value = ADCx->DR; + + return ADC_DR_RESULT( adc_value ); +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_crc.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_crc.c new file mode 100644 index 0000000..849de94 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_crc.c @@ -0,0 +1,212 @@ +/***************************************************************************//** +* @file A31G12x_hal_crc.c +* @brief Contains all functions support for crc firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_crc.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize CRC/Checksum peripheral + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_CRC_Init( void ) +{ + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_CRCLKE, PPxCLKE_Enable ); + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief DeInitialize CRC peripheral + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_CRC_DeInit( void ) +{ + // reset peripheral and disable peripheral clock + HAL_SCU_Peripheral_SetReset2( PPRST2_CRRST ); + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_CRCLKE, PPxCLKE_Disable ); + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set CRC/Checksum Address + * @param[in] u32SAdr + * CRC/Checksum Start Address + * - 0x10000000 ~ 0x10007FFF + * @param[in] u32EAdr + * CRC/Checksum End Address + * - 0x10000000 ~ 0x10007FFF + * @param[in] u32IniD + * CRC/Checksum Initial Data + * @return @ref HAL_Status_Type + * @details This function sets the start/end address for range and initial data for calculation + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_CRC_SetAddress( uint32_t u32SAdr, uint32_t u32EAdr, uint32_t u32IniD ) +{ + SCUCG->PPCLKEN2_b.CRCLKE = 1; // CRC/Checksum Clock Enable + + CRC->SADR = u32SAdr; // Set start address + CRC->EADR = u32EAdr; // Set end address + CRC->INIT = u32IniD; // Set initial data for CRC/Checksum + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum Auto Mode Start and Result + * @param[in] u32SEL + * CRC/Checksum Selection + * - CRC_CRC + * - CRC_CHECKSUM + * @param[in] u32POLY + * CRC Polynomial Selection + * - CRC_CCITT + * - CRC_16 + * @param[in] u32FirstBit + * CRC 1st Shifted-in bit + * - CRC_MSB + * - CRC_LSB + * @return CRC/Checksum Result + * @details This function starts CRC/Checksum calculation and gets result + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_CRC_ConfigAutoMode( uint32_t u32SEL, uint32_t u32POLY, uint32_t u32FirstBit ) +{ + uint32_t imgPRIMASK; + + if( SystemCoreClock > 20000000uL ) + { + SCUCG->SCDIVR1_b.HDIV = 3; // HCLK should be less than or equal to 20MHz during CRC/Checksum auto mode + } + + CRC->CR = 0 + | ( 0x1uL << CRC_CR_RLTCLR_Pos ) // CRC/Checksum Result Data Register (CRC_RLT) Initialization + | MODS_AutoMode // User/Auto Mode Selection + | u32SEL // CRC/Checksum Selection + | u32POLY // Polynomial Selection (CRC only) + | CRC_NOINC // CRC/Checksum Start Address Auto Increment Control (User mode only) + | u32FirstBit; // First Shifted-in Selection (CRC only) + + imgPRIMASK = __get_PRIMASK(); // backup PRIMASK (current global interrupt configuration) + DI(); // disable global interrupt + CRCRun(); + while( ChkCRCFinish() ) {} // Check if CRC/Checksum finishes or not + __set_PRIMASK( imgPRIMASK ); // restore PRIMASK + + SCUCG->PPCLKEN2_b.CRCLKE = 0; // CRC/Checksum Clock Disable + + if( SystemCoreClock > 20000000uL ) + { + SCUCG->SCDIVR1_b.HDIV = 4; // HCLK should be set with original frequency + } + + return ( CRC->RLT & 0xffff ); +} + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum User Mode Start + * @param[in] u32SEL + * CRC/Checksum Selection + * - CRC_CRC + * - CRC_CHECKSUM + * @param[in] u32POLY + * CRC Polynomial Selection + * - CRC_CCITT + * - CRC_16 + * @param[in] u32AdrInc + * Auto Increment of Start Address + * - CRC_NOINC + * - CRC_AUTOINC + * @param[in] u32FirstBit + * CRC 1st Shifted-in bit + * - CRC_MSB + * - CRC_LSB + * @return @ref HAL_Status_Type + * @details This function sets the control register for CRC/Checksum User mode + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_CRC_ConfigUserMode( uint32_t u32SEL, uint32_t u32POLY, uint32_t u32AdrInc, uint32_t u32FirstBit ) +{ + CRC->CR = 0 + | ( 0x1uL << CRC_CR_RLTCLR_Pos ) // CRC/Checksum Result Data Register (CRC_RLT) Initialization + | MODS_UserMode // User/Auto Mode Selection + | u32SEL // CRC/Checksum Selection + | u32POLY // Polynomial Selection (CRC only) + | u32AdrInc // CRC/Checksum Start Address Auto Increment Control (User mode only) + | u32FirstBit; // First Shifted-in Selection (CRC only) + CRCRun(); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief CRC/Checksum Input on User Mode + * @param[in] u32Input + * CRC/Checksum Input + * @return CRC/Checksum Result with finish Indicator + * - 0x8a29xxxx if on run + * - 0x0000xxxx if Finish + * @details This function calculates up to finish. + * The CRC_SADR register should be incremented by 4 every input on CRC_NOINC + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_CRC_UserInput( uint32_t u32Input ) +{ + uint32_t u32Result = 0x8a290000uL; + uint32_t CRC_EADR; + + CRC_InData( u32Input ); + CRC_EADR = CRC->EADR; + if( ( !ChkCRCFinish() ) || ( CRC->SADR > CRC_EADR ) ) // "Auto"/"User" Increment of Start Address + { + CRCStop(); // Stop forcingly on User Increment of Start Address + SCUCG->PPCLKEN2_b.CRCLKE = 0; // CRC/Checksum Clock Disable + u32Result = ( CRC->RLT & 0xffff ); + } + + return u32Result; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_debug_frmwrk.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_debug_frmwrk.c new file mode 100644 index 0000000..102740e --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_debug_frmwrk.c @@ -0,0 +1,438 @@ +/***************************************************************************//** +* @file A31G12x_hal_debug_frmwrk.c +* @brief Contains all functions support for debug_frmwrk firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include +#include +#include "A31G12x_hal_debug_frmwrk.h" +#include "A31G12x_hal_pcu.h" + +//****************************************************************************** +// Constant +//****************************************************************************** + +#define ASCII_BACKSPACE (0x08) +#define ASCII_LINEFEED (0x0A) +#define ASCII_CARRIAGE_RETURN (0x0D) + +#ifdef _DEBUG_MSG + +//****************************************************************************** +// Variable +//****************************************************************************** + +void ( *_db_msg )( UARTn_Type* UARTx, const void* s ); +void ( *_db_msg_ )( UARTn_Type* UARTx, const void* s ); +void ( *_db_char )( UARTn_Type* UARTx, uint8_t ch ); +void ( *_db_dec )( UARTn_Type* UARTx, uint8_t decn ); +void ( *_db_dec_16 )( UARTn_Type* UARTx, uint16_t decn ); +void ( *_db_dec_32 )( UARTn_Type* UARTx, uint32_t decn ); +void ( *_db_hex )( UARTn_Type* UARTx, uint8_t hexn ); +void ( *_db_hex_16 )( UARTn_Type* UARTx, uint16_t hexn ); +void ( *_db_hex_32 )( UARTn_Type* UARTx, uint32_t hexn ); +uint8_t ( *_db_get_char )( UARTn_Type* UARTx ); +uint8_t ( *_db_get_ch )( UARTn_Type* UARTx, uint8_t* ch ); + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +#if 0 +/*-------------------------------------------------------------------------*//** + * @brief Puts a character to file + * @param[in] ch + * Character to put + * @param[in] f + * Pointer to file + * @return character + * @note if you use IAR EWARM, select Full as Options/General Options/Library Configuration/Library. + *//*-------------------------------------------------------------------------*/ +int fputc( int ch, FILE* f ) +{ + while( HAL_UART_CheckBusy( ( UARTn_Type* )DEBUG_UART_PORT ) ); + HAL_UART_TransmitByte( ( UARTn_Type* )DEBUG_UART_PORT, ch ); + + return( ch ); +} +#endif + +/*-------------------------------------------------------------------------*//** + * @brief Puts a character to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] ch + * Character to put + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutChar( UARTn_Type* UARTx, uint8_t ch ) +{ + HAL_UART_Transmit( UARTx, &ch, 1, BLOCKING ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Get a character to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return character value that returned + *//*-------------------------------------------------------------------------*/ +uint8_t UARTGetChar( UARTn_Type* UARTx ) +{ + uint8_t tmp = 0; + + HAL_UART_Receive( UARTx, &tmp, 1, BLOCKING ); + + return( tmp ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Get a character to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] ch + * Character to get + * @return if getting value, return '1'. if not, return '0' + *//*-------------------------------------------------------------------------*/ +uint8_t UARTGetCh( UARTn_Type* UARTx, uint8_t* ch ) +{ + if( !( UARTx->LSR & UARTn_LSR_RDR ) ) + { + *ch = 0; + return( 0 ); + } + else + { + *ch = HAL_UART_ReceiveByte( UARTx ); + return( 1 ); + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a string to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] str + * String to put + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPuts( UARTn_Type* UARTx, const void* str ) +{ + uint8_t* s = ( uint8_t* )str; + + while( *s ) + { + UARTPutChar( UARTx, *s++ ); + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a string to UART port and print new line + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] str + * String to put + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPuts_( UARTn_Type* UARTx, const void* str ) +{ + UARTPuts( UARTx, str ); + UARTPuts( UARTx, "\n\r" ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a decimal number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] decnum + * Decimal number (8-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutDec( UARTn_Type* UARTx, uint8_t decnum ) +{ + uint8_t c1 = decnum % 10; + uint8_t c2 = ( decnum / 10 ) % 10; + uint8_t c3 = ( decnum / 100 ) % 10; + + UARTPutChar( UARTx, '0' + c3 ); + UARTPutChar( UARTx, '0' + c2 ); + UARTPutChar( UARTx, '0' + c1 ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a decimal number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] decnum + * Decimal number (8-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutDec16( UARTn_Type* UARTx, uint16_t decnum ) +{ + uint8_t c1 = decnum % 10; + uint8_t c2 = ( decnum / 10 ) % 10; + uint8_t c3 = ( decnum / 100 ) % 10; + uint8_t c4 = ( decnum / 1000 ) % 10; + uint8_t c5 = ( decnum / 10000 ) % 10; + + UARTPutChar( UARTx, '0' + c5 ); + UARTPutChar( UARTx, '0' + c4 ); + UARTPutChar( UARTx, '0' + c3 ); + UARTPutChar( UARTx, '0' + c2 ); + UARTPutChar( UARTx, '0' + c1 ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a decimal number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] decnum + * Decimal number (8-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutDec32( UARTn_Type* UARTx, uint32_t decnum ) +{ + uint8_t c1 = decnum % 10; + uint8_t c2 = ( decnum / 10 ) % 10; + uint8_t c3 = ( decnum / 100 ) % 10; + uint8_t c4 = ( decnum / 1000 ) % 10; + uint8_t c5 = ( decnum / 10000 ) % 10; + uint8_t c6 = ( decnum / 100000 ) % 10; + uint8_t c7 = ( decnum / 1000000 ) % 10; + uint8_t c8 = ( decnum / 10000000 ) % 10; + uint8_t c9 = ( decnum / 100000000 ) % 10; + uint8_t c10 = ( decnum / 1000000000 ) % 10; + + UARTPutChar( UARTx, '0' + c10 ); + UARTPutChar( UARTx, '0' + c9 ); + UARTPutChar( UARTx, '0' + c8 ); + UARTPutChar( UARTx, '0' + c7 ); + UARTPutChar( UARTx, '0' + c6 ); + UARTPutChar( UARTx, '0' + c5 ); + UARTPutChar( UARTx, '0' + c4 ); + UARTPutChar( UARTx, '0' + c3 ); + UARTPutChar( UARTx, '0' + c2 ); + UARTPutChar( UARTx, '0' + c1 ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a hex number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] hexnum + * Hex number (8-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutHex( UARTn_Type* UARTx, uint8_t hexnum ) +{ + uint8_t nibble, i; + + i = 1; + do + { + nibble = ( hexnum >> ( 4 * i ) ) & 0x0F; + UARTPutChar( UARTx, ( nibble > 9 ) ? ( 'A' + nibble - 10 ) : ( '0' + nibble ) ); + } while( i-- ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a hex number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] hexnum + * Hex number (16-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutHex16( UARTn_Type* UARTx, uint16_t hexnum ) +{ + uint8_t nibble, i; + + i = 3; + do + { + nibble = ( hexnum >> ( 4 * i ) ) & 0x0F; + UARTPutChar( UARTx, ( nibble > 9 ) ? ( 'A' + nibble - 10 ) : ( '0' + nibble ) ); + } while( i-- ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Puts a hex number to UART port + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] hexnum + * Hex number (32-bit long) + * @return None + *//*-------------------------------------------------------------------------*/ +void UARTPutHex32( UARTn_Type* UARTx, uint32_t hexnum ) +{ + uint8_t nibble, i; + + i = 7; + do + { + nibble = ( hexnum >> ( 4 * i ) ) & 0x0F; + UARTPutChar( UARTx, ( nibble > 9 ) ? ( 'A' + nibble - 10 ) : ( '0' + nibble ) ); + } while( i-- ); +} + +/*-------------------------------------------------------------------------*//** + * @brief print function that supports format as same as printf() function of library + * @param[in] format + * formatted string to be print + * @return None + *//*-------------------------------------------------------------------------*/ +void cprintf( const char* format, ... ) +{ + char buffer[512 + 1]; + va_list vArgs; + + va_start( vArgs, format ); + vsprintf( ( char* )buffer, ( char const* )format, vArgs ); + va_end( vArgs ); + + _DBG( buffer ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Initializes Debug Framework through initializing UARTn + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void debug_frmwrk_init( void ) +{ + UARTn_CFG_Type UARTn_Config; + +#if (USED_UART_DEBUG_PORT == 0) + + // Initialize UART0 pin connect + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 5, ALTERN_FUNC ); // PB5 SWDIO 0: SEG36 1: RXD0 2: SWDIO 3: ---- 4: ---- + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 5, AFSRx_AF1 ); + + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 4, ALTERN_FUNC ); // PB4 SWCLK 0: SEG37 1: TXD0 2: SWCLK 3: ---- 4: ---- + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 4, AFSRx_AF1 ); + +#elif (USED_UART_DEBUG_PORT == 1) + + // Initialize UART1 pin connect + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 7, ALTERN_FUNC ); // PB7 0: SEG34 1: RXD1 2: ---- 3: ---- 4: ---- + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 7, AFSRx_AF1 ); + + HAL_GPIO_ConfigOutput( ( Pn_Type* )PB, 6, ALTERN_FUNC ); // PB6 0: SEG35 1: TXD1 2: ---- 3: ---- 4: ---- + HAL_GPIO_ConfigFunction( ( Pn_Type* )PB, 6, AFSRx_AF1 ); + +#endif + + /* Initialize UART Configuration parameter structure to default state: + * Baudrate = 38400bps + * 8 data bit + * no parity + * 1 stop bit + */ + HAL_UART_ConfigStructInit( &UARTn_Config ); + UARTn_Config.Baudrate = 38400; + + // Initialize DEBUG_UART_PORT peripheral with given to corresponding parameter + HAL_UART_Init( ( UARTn_Type* )DEBUG_UART_PORT, &UARTn_Config ); + + _db_msg = UARTPuts; + _db_msg_ = UARTPuts_; + _db_char = UARTPutChar; + _db_hex = UARTPutHex; + _db_hex_16 = UARTPutHex16; + _db_hex_32 = UARTPutHex32; + _db_dec = UARTPutDec; + _db_dec_16 = UARTPutDec16; + _db_dec_32 = UARTPutDec32; + _db_get_char = UARTGetChar; + _db_get_ch = UARTGetCh; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get a character to UART port + * @param None + * @return character value that returned + *//*-------------------------------------------------------------------------*/ +uint8_t getstring( void ) +{ + uint8_t ch; + + ch = UARTGetChar( ( UARTn_Type* )UART1 ); + + if( ch > 0 ) + { + if( InCount < 80 ) + { + if( InCount == 0 && ch < 0x20 ) + { + InData[0] = 0; + return ch; + } + + UARTPutChar( ( UARTn_Type* )UART1, ch ); + if( ch == ASCII_BACKSPACE ) + { + InCount--; + return ch; + } + + if( ch == ASCII_CARRIAGE_RETURN ) + { + InData[InCount] = 0; + InFlag = 1; + return ch; + } + + InData[InCount++] = ch; + } + } + + return 0; +} + +#endif /* _DEBUG_MSG */ + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_fmc.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_fmc.c new file mode 100644 index 0000000..b0ba493 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_fmc.c @@ -0,0 +1,320 @@ +/***************************************************************************//** +* @file A31G12x_hal_fmc.c +* @brief Contains all functions support for fmc firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_fmc.h" + +//****************************************************************************** +// Variable +//****************************************************************************** + +uint32_t flash_id1_reg; +uint32_t flash_id2_reg; +uint32_t flash_addr_code0; +uint32_t flash_addr_code1; + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Entry of Flash Memory Control + * @return None + * @details This function sets flash IDs for erase/write + * The HIRC should be enabled before flash functions. So, Do enable the HIRC if disabled + * The LVR should be enabled and set over 2.28V level before flash functions. So, Do enable the LVR and Set 2.28V level over if disabled + * The global interrupt should be diabled by s/w. So, Diable global interrupt + *//*-------------------------------------------------------------------------*/ +void HAL_FMC_FlashEntry( void ) +{ + uint32_t WDT_WINDR; + + flash_id1_reg = FLASH_ID1 ^ FLASH_IDXOR; + flash_id2_reg = FLASH_ID2 ^ FLASH_IDXOR; + flash_addr_code0 = FLASH_ADDR_CD0 ^ FLASH_ADDR_CDXOR; + SCUCG->PPCLKEN2_b.FMCLKE = 1; // Enable Flash Memory Control Clock +#if 0 + SCUCG->CLKSRCR_b.HIRCEN = 1; // Enable HIRC + NOP(); + NOP(); + NOP(); + + SCULV->LVRCR_b.LVREN = 0x00; // Enable LVR, the Level should be set 2.28V over in CONF_LVRCNFIG register of configure option page 1 + SCUCC->NMISRCR_b.NMICON = 0; // Disable NMI +#endif + WDT_WINDR = WDT->WINDR; + if( WDT->CNT < WDT_WINDR ) + { + WDT->CNTR_b.CNTR = 0x6a; // Reload WDT Counter if WDT->CNT < WDT_WINDR + } + DI(); // Disable global interrupt +} + +/*-------------------------------------------------------------------------*//** + * @brief Exit of Flash Memory Control + * @return None + * @details This function clears flash IDs for erase/write + *//*-------------------------------------------------------------------------*/ +void HAL_FMC_FlashExit( void ) +{ + flash_id1_reg = 0; + flash_id2_reg = 0; + flash_addr_code0 = 0; + flash_addr_code1 = 0; + SCUCG->PPCLKEN2_b.FMCLKE = 0; // Disable Flash Memory Control Clock + EI(); // Enable global interrupt +} + +/*-------------------------------------------------------------------------*//** + * @brief Flash Page Erase/Write and Bulk(Chip) Erase + * @param[in] u32FncSel + * Select Flash Function + * - FLASH_BULK_ERASE + * - FLASH_PAGE_ERASE + * - FLASH_PAGE_WRITE + * @param[in] u32Addr + * Address of erase/write + * - Flash Memory: 0x00000000 ~ 0x0000FFFF + * - Configuration Option Area Page 1 ~ 3 + * @param[in] u32Buf + * Write Data + * @return None + * @details This function erases/writes flash memory and configure option area 1 to 3 + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_FMC_FlashFunction( uint32_t u32FncSel, uint32_t u32Addr, uint32_t* u32Buf ) +{ + uint32_t i; + volatile uint32_t* pagebuffer; + + pagebuffer = &FMC->PAGEBUF; + FMC->ADR = flash_addr_code0 ^ FLASH_ADDR_CDXOR; // Write 0x5FFFFFFF to FMC_ADR during the register is equal to 0x5FFFFF80; + FMC->IDR1 = flash_id1_reg ^ FLASH_IDXOR; // Identification Value 0 + FMC->IDR2 = flash_id2_reg ^ FLASH_IDXOR; // Identification Value 1 + + FMC->CR = FLASH_CLR_PAGEBUF; // Clear page buffer + for( i = 0 ; i < SECTOR_SIZE_BYTE / 4 ; i++ ) + { + if( u32FncSel == FLASH_PAGE_WRITE ) + { + *pagebuffer++ = *u32Buf++; // To page write + } + else if( ( u32FncSel == FLASH_PAGE_ERASE ) || ( u32FncSel == FLASH_BULK_ERASE ) ) + { + *pagebuffer++ = 0xFFFFFFFF; // To page or bulk erase + } + else + { + return FLASH_PGM_FAIL; + } + } + + if( ( u32FncSel == FLASH_PAGE_WRITE ) || ( u32FncSel == FLASH_PAGE_ERASE ) ) // Page Erase/Write + { + if( u32Addr < FLASH_START_ADDR ) + { + FMC->ADR = u32Addr + FLASH_START_ADDR; // Flash Page Address to be erased or written + } + else + { + FMC->ADR = u32Addr; + } + } + else if( u32FncSel == FLASH_BULK_ERASE ) // Bulk(Chip) Erase + { + FMC->BCR = FLASH_CHIPER_WOPT; // For bulk erase including Configure Option Page 1/2/3 + FMC->ADR = flash_addr_code1 ^ FLASH_ADDR_CDXOR; // Identification Address for bulk erase + } + else + { + return FLASH_PGM_FAIL; + } + + if( FMC->IDR1 != FLASH_ID1 ) + { + return FLASH_PGM_FAIL; // Check whether ID0 is ok or not + } + if( FMC->IDR2 != FLASH_ID2 ) + { + return FLASH_PGM_FAIL; // Check whether ID1 is ok or not + } + + if( ( u32FncSel == FLASH_PAGE_WRITE ) || ( u32FncSel == FLASH_PAGE_ERASE ) ) // Page Erase/Write + { + if( ( FMC->ADR >= FLASH_START_ADDR ) && ( FMC->ADR <= FLASH_END_ADDR ) ) + { + FMC->CR = FLASH_MEM_PGM_CODE | ( u32FncSel & 0x0000000F ); // Start flash page erase/write from here + } + else if( ( FMC->ADR >= CFG_OPT_SADDR ) && ( FMC->ADR <= CFG_OPT_EADDR ) ) + { + FMC->CR = FLASH_OPT_PGM_CODE | ( u32FncSel & 0x0000000F ); // Start configure page erase/write from here + } + else + { + return FLASH_PGM_FAIL; + } + } + else if( u32FncSel == FLASH_BULK_ERASE ) // Bulk(Chip) Erase + { + if( FMC->ADR != FLASH_ADDR_CD1 ) + { + return FLASH_PGM_FAIL; + } + FMC->CR = FLASH_BULK_CODE; // Start bulk(chip) erase from here + } + else + { + return FLASH_PGM_FAIL; + } + + while( FMC->CR_b.FMBUSY ) {} // Check whether the busy bit. If time over, goes out with error. + if( !FMC->ERFLAG_b.FMOPFLAG ) + { + return FLASH_PGM_GOOD; // Success + } + + return FLASH_PGM_FAIL; +} + +/*-------------------------------------------------------------------------*//** + * @brief Flash Bulk(Chip) Erase Function + * @param[in] u32UserId + * Check value for real chip erase User ID to check flash memory bulk erase + * @return Result of FMC + * - Success: 0 + * - Fail: 9 + * @details This function erases flash memory area with configure option area 1 to 3 + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_FMC_BulkErase( uint32_t u32UserId ) +{ + uint32_t result; + + HAL_FMC_FlashEntry(); + flash_addr_code1 = FLASH_ADDR_CD1 ^ FLASH_ADDR_CDXOR; + if( u32UserId == 0x90E832CF ) // Ex) 0x90E832CF, The user ID may be changed by programmer + { + result = HAL_FMC_FlashFunction( FLASH_BULK_ERASE, 0, 0 ); + } + else + { + result = FLASH_PGM_FAIL; + } + + if( result ) // If fail + { + FMC->ERFLAG = 0x03uL; // Clear FMC related flag + } + HAL_FMC_FlashExit(); + + return result; +} + +/*-------------------------------------------------------------------------*//** + * @brief Flash Page Erase Function + * @param[in] u32UserId + * Check value for real page erase User ID to check flash memory page erase + * @param[in] u32Addr + * Target Address + * - Flash Memory: 0x00000000 ~ 0x0000FFFF + * - Configuration Option Area Page 1 ~ 3 + * @return Result of FMC + * - Success: 0 + * - Fail: 9 + * @details This function erases flash memory area and configure option area + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_FMC_PageErase( uint32_t u32UserId, uint32_t u32Addr ) +{ + uint32_t result; + + HAL_FMC_FlashEntry(); + if( u32UserId == 0xA901358F ) // Ex) 0xA901358F, The user ID may be changed by programmer + { + result = HAL_FMC_FlashFunction( FLASH_PAGE_ERASE, u32Addr, 0 ); + } + else + { + result = FLASH_PGM_FAIL; + } + + if( result ) // If fail + { + FMC->ERFLAG = 0x03uL; // Clear FMC related flag + } + HAL_FMC_FlashExit(); + + return result; +} + +/*-------------------------------------------------------------------------*//** + * @brief Flash Page Write Function + * @param[in] u32UserId + * Check value for real page write User ID to check flash memory page write + * @param[in] u32Addr + * Target Address + * - Flash Memory: 0x00000000 ~ 0x0000FFFF + * - Configuration Option Area Page 1 ~ 3 + * @param[in] u32Buf + * Write Data + * @return Result of FMC + * - Success: 0 + * - Fail: 9 + * @details This function writes flash memory area and configure option area + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_FMC_PageWrite( uint32_t u32UserId, uint32_t u32Addr, uint32_t* u32Buf ) +{ + uint32_t result; + + HAL_FMC_FlashEntry(); + if( u32UserId == 0x4F17DC86 ) // Ex) 0x4F17DC86, The user ID may be changed by programmer + { + result = HAL_FMC_FlashFunction( FLASH_PAGE_WRITE, u32Addr, u32Buf ); + } + else + { + result = FLASH_PGM_FAIL; + } + + if( result ) // If fail + { + FMC->ERFLAG = 0x03uL; // Clear FMC related flag + } + HAL_FMC_FlashExit(); + + return result; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_i2cn.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_i2cn.c new file mode 100644 index 0000000..0af1551 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_i2cn.c @@ -0,0 +1,1110 @@ +/***************************************************************************//** +* @file A31G12x_hal_i2cn.c +* @brief Contains all functions support for i2cn firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + + +#include "A31G12x_hal_i2cn.h" +#include "A31G12x_hal_scu.h" + +//****************************************************************************** +// Constant +//****************************************************************************** + +#define I2Cn_BLOCKING_TIMEOUT (0x000FFFFFUL) +#define I2Cn_MAX 3 + +//****************************************************************************** +// Type +//****************************************************************************** + +/** I2Cn device configuration structure type */ +typedef struct +{ + union + { + I2Cn_M_SETUP_Type txrx_setup_master; /**< Transmission setup */ + I2Cn_S_SETUP_Type txrx_setup_slave; /**< Transmission setup */ + }; + int32_t dir; /* Current direction phase, 0 - write, 1 - read */ +} I2Cn_CFG_Type; + +//****************************************************************************** +// Variable +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief I2Cn driver data + *//*-------------------------------------------------------------------------*/ + +static I2Cn_CFG_Type i2cdat[I2Cn_MAX]; +static Bool I2Cn_MasterComplete[I2Cn_MAX]; +static Bool I2Cn_SlaveComplete[I2Cn_MAX]; + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Convert from I2C peripheral to number + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return I2C number or error code, could be: + * - 0: I2C0 + * - 1: I2C1 + * - 2: I2C2 + * - (-1): Error + *//*-------------------------------------------------------------------------*/ +int32_t I2Cn_getNum( I2Cn_Type* I2Cx ) +{ +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C0 ) + { + return 0; + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C1 ) + { + return 1; + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C2 ) + { + return 2; + } +#endif + + return -1; +} + +/*-------------------------------------------------------------------------*//** + * @brief wait and return status in master mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return Status + *//*-------------------------------------------------------------------------*/ +int32_t I2Cn_MWait( I2Cn_Type* I2Cx ) +{ + uint32_t tmp; + int32_t ret = 0; + + while( 1 ) // Interrupt Status Check + { + if( ( I2Cx->CR & I2Cn_CR_I2CnIFLAG_Msk ) != 0 ) + { + break; + } + } + + tmp = I2Cx->ST; + I2Cx->ST = 0xFF; + + switch( tmp ) + { + // Transmitter mode + case 0x87: + ret = TRANS_MODE; + break; + + // Receive mode + case 0x85: + ret = RECEIVE_MODE; + break; + + // Transed Data + case 0x47: + ret = TRANS_DATA; + break; + + // Received Data + case 0x44: + case 0x45: + ret = RECEIVE_DATA; + break; + + default: + if( ( tmp ) & 0x08 ) + { + ret = LOST_BUS; // lost + } + else if( ( tmp ) & 0x20 ) + { + ret = STOP_DECT; // stop + } + else + { + ret = -1; + } + break; + } + + return ret; +} + +/*-------------------------------------------------------------------------*//** + * @brief wait and return status in slave mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return Status + *//*-------------------------------------------------------------------------*/ +int32_t I2Cn_SWait( I2Cn_Type* I2Cx ) +{ + uint32_t tmp; + int32_t ret = 0; + + while( 1 ) // Interrupt Status Check + { + if( ( I2Cx->CR & I2Cn_CR_I2CnIFLAG_Msk ) != 0 ) + { + break; + } + } + + tmp = I2Cx->ST; + I2Cx->ST = 0xFF; + + switch( tmp ) + { + // Receive mode + case 0x15: + case 0x95: + ret = RECEIVE_MODE; + break; + + // Transmitter mode + case 0x17: + case 0x97: + ret = TRANS_MODE; + break; + + // Received Data + case 0x45: + ret = RECEIVE_DATA; + break; + + // Transed Data + case 0x47: + ret = TRANS_DATA; + break; + default: + if( tmp & 0x08 ) + { + ret = LOST_BUS; // lost + } + else if( tmp & 0x20 ) + { + ret = STOP_DECT; // stop + } + else + { + ret = -1; + } + break; + } + + return ret; +} + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the I2Cn peripheral with the specified parameters. + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] clockrate + * Target Clock Rate (Hz) + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_Init( I2Cn_Type* I2Cx, uint32_t clockrate ) +{ + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C0 ) + { + /* Set up clock for I2C0 module */ + SCUCG->PPCLKEN2_b.I2C0CLKE = 1; + HAL_SCU_Peripheral_SetReset2( 1 << 6 ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C1 ) + { + /* Set up clock for I2C1 module */ + SCUCG->PPCLKEN2_b.I2C1CLKE = 1; + HAL_SCU_Peripheral_SetReset2( 1 << 7 ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C2 ) + { + /* Set up clock for I2C2 module */ + SCUCG->PPCLKEN2_b.I2C2CLKE = 1; + HAL_SCU_Peripheral_SetReset2( 1 << 8 ); + } +#endif + + I2Cx->CR_b.I2CnEN = 1; // I2C Block Active + I2Cx->CR_b.I2CnIEN = 1; // I2C Interrupt Enable + + + I2Cx->SCLR = ( SystemPeriClock / clockrate - 4 ) / 8; // freq = PCLK / ((4*SCLL+2) + (4*SCLH+2)) + I2Cx->SCHR = ( SystemPeriClock / clockrate - 4 ) / 8; // ex) 100k = 10M / ((4*12+2) + (4*12+2)), if PCLK : 10MHz + + + + + I2Cx->SDHR = 1; // default value 1 + + I2Cx->CR_b.ACKnEN = 1; // ACK Signal Enable + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Deinitialize the I2Cn peripheral registers to their default reset values. + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_DeInit( I2Cn_Type* I2Cx ) +{ + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + /* Disable I2C control */ + I2Cx->CR = 0; // I2C Block Disable + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C0 ) + { + /* Set up clock for I2C0 module */ + SCUCG->PPCLKEN2_b.I2C0CLKE = 0; + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C1 ) + { + /* Set up clock for I2C1 module */ + SCUCG->PPCLKEN2_b.I2C1CLKE = 0; + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C2 ) + { + /* Set up clock for I2C2 module */ + SCUCG->PPCLKEN2_b.I2C2CLKE = 0; + } +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable/Disable interrupt for I2C peripheral + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_ConfigInterrupt( I2Cn_Type* I2Cx, Bool NewState ) +{ + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + if( NewState ) + { +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C0 ) + { + NVIC_ClearPendingIRQ( I2C0_IRQn ); + NVIC_EnableIRQ( I2C0_IRQn ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C1 ) + { + NVIC_ClearPendingIRQ( I2C1_IRQn ); + NVIC_EnableIRQ( I2C1_IRQn ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C2 ) + { + NVIC_ClearPendingIRQ( I2C2_IRQn ); + NVIC_EnableIRQ( I2C2_IRQn ); + } +#endif + } + else + { +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C0 ) + { + NVIC_DisableIRQ( I2C0_IRQn ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C1 ) + { + NVIC_DisableIRQ( I2C1_IRQn ); + } +#endif + +#if 1 // supported + if( I2Cx == ( I2Cn_Type* )I2C2 ) + { + NVIC_DisableIRQ( I2C2_IRQn ); + } +#endif + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Own slave address in I2C peripheral + * corresponding to parameter specified in OwnSlaveAddrConfigStruct. + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] SlaveAddr_7bit + * own slave address + * @param[in] GeneralCallState + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_Slave_SetAddress1( I2Cn_Type* I2Cx, uint8_t SlaveAddr_7bit, uint8_t GeneralCallState ) +{ + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + I2Cx->SAR1 = ( ( ( uint32_t )( SlaveAddr_7bit << 1 ) ) | ( ( GeneralCallState == ENABLE ) ? 0x01 : 0x00 ) ) & I2Cn_SLA_BITMASK; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Own slave address in I2C peripheral + * corresponding to parameter specified in OwnSlaveAddrConfigStruct. + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] SlaveAddr_7bit + * own slave address + * @param[in] GeneralCallState + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_Slave_SetAddress2( I2Cn_Type* I2Cx, uint8_t SlaveAddr_7bit, uint8_t GeneralCallState ) +{ + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + I2Cx->SAR2 = ( ( ( uint32_t )( SlaveAddr_7bit << 1 ) ) | ( ( GeneralCallState == ENABLE ) ? 0x01 : 0x00 ) ) & I2Cn_SLA_BITMASK; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get Status of Master Transfer + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return Status of Master Transfer + * - TRUE, FALSE + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_I2C_Master_GetState( I2Cn_Type* I2Cx ) +{ + uint32_t retval, tmp; + + tmp = I2Cn_getNum( I2Cx ); + retval = I2Cn_MasterComplete[tmp]; + I2Cn_MasterComplete[tmp] = FALSE; + + return retval; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get Status of Slave Transfer + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return Status of Slave Transfer + * - TRUE, FALSE + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_I2C_Slave_GetState( I2Cn_Type* I2Cx ) +{ + uint32_t retval, tmp; + + tmp = I2Cn_getNum( I2Cx ); + retval = I2Cn_SlaveComplete[tmp]; + I2Cn_SlaveComplete[tmp] = FALSE; + + return retval; +} + +/*-------------------------------------------------------------------------*//** + * @brief General Master Interrupt handler for I2C peripheral + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_Master_IRQHandler_IT( I2Cn_Type* I2Cx ) +{ + int32_t tmp; + I2Cn_M_SETUP_Type* txrx_setup; + uint32_t status; + + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + tmp = I2Cn_getNum( I2Cx ); + txrx_setup = ( I2Cn_M_SETUP_Type* )&i2cdat[tmp].txrx_setup_master; + + status = I2Cx->ST; + + switch( status ) + { + case 0x87: // transmit mode - addr ACK + if( txrx_setup->tx_count < txrx_setup->tx_length ) + { + I2Cx->DR = txrx_setup->tx_data[txrx_setup->tx_count]; + txrx_setup->tx_count++; + } + else + { + I2Cx->CR = 0 + | ( 1 << 7 ) // Enable I2C Block + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 1 ); // STOP + } + break; + + case 0x47: // transmit mode - data ACK + if( txrx_setup->tx_count < txrx_setup->tx_length ) + { + I2Cx->DR = txrx_setup->tx_data[txrx_setup->tx_count]; + txrx_setup->tx_count++; + } + else + { + if( txrx_setup->rx_count < txrx_setup->rx_length ) + { + // load slave address and rw flag (SLA+RnW) + I2Cx->DR = ( ( txrx_setup->sl_addr7bit << 1 ) | 0x01 ); + + // generate start condition + I2Cx->CR |= ( 1 << 0 ); // reSTART + } + else + { + I2Cx->CR = 0 + | ( 1 << 7 ) // Enable I2C Block + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 1 ); // STOP + } + } + break; + + case 0x85: // receive mode - addr ACK + if( txrx_setup->rx_count < txrx_setup->rx_length ) + { + if( ( txrx_setup->rx_length > 1 ) && ( txrx_setup->rx_count < ( txrx_setup->rx_length - 1 ) ) ) + { + NOP(); + } + else + { + I2Cx->CR_b.ACKnEN = 0; // disable ACKEN + } + } + else + { + I2Cx->CR = 0 + | ( 1 << 7 ) // Enable I2C Block + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 1 ); // STOP + } + break; + + case 0x45: // receive mode - data ACK + if( txrx_setup->rx_count < txrx_setup->rx_length ) + { + txrx_setup->rx_data[txrx_setup->rx_count] = I2Cx->DR; + txrx_setup->rx_count++; + + if( ( txrx_setup->rx_length > 1 ) && ( txrx_setup->rx_count < ( txrx_setup->rx_length - 1 ) ) ) + { + NOP(); + } + else + { + I2Cx->CR_b.ACKnEN = 0; // disable ACKEN + } + } + break; + + case 0x44: // receive mode - data NOACK + if( txrx_setup->rx_count < txrx_setup->rx_length ) + { + txrx_setup->rx_data[txrx_setup->rx_count] = I2Cx->DR; + txrx_setup->rx_count++; + + I2Cx->CR = 0 + | ( 1 << 7 ) // Enable I2C Block + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 1 ); // STOP + } + break; + + case 0x20: // receive mode + case 0x22: // transmit mode - stop receive + I2Cx->CR = 0 + | ( 1 << 7 ) // Enable I2C Block + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + goto s_int_end; + + default: + if( status & 0x08 ) // mastership lost + { + + } + break; + } + + I2Cx->ST = 0xff; // flag clear and SCL go to HIGH + return HAL_OK; + +s_int_end: + + I2Cx->ST = 0xff; // flag clear and SCL go to HIGH + + // Disable interrupt + HAL_I2C_ConfigInterrupt( I2Cx, FALSE ); + + I2Cn_MasterComplete[tmp] = TRUE; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief General Slave Interrupt handler for I2C peripheral + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_I2C_Slave_IRQHandler_IT( I2Cn_Type* I2Cx ) +{ + int32_t tmp; + I2Cn_S_SETUP_Type* txrx_setup; + uint32_t status; + + /* Check I2C handle */ + if( I2Cx == NULL ) + { + return HAL_ERROR; + } + + tmp = I2Cn_getNum( I2Cx ); + txrx_setup = ( I2Cn_S_SETUP_Type* )&i2cdat[tmp].txrx_setup_slave; + + status = I2Cx->ST; + switch( status ) + { + case 0x15: // receive mode - slave select + ACK + case 0x45: // receive mode - data ACK + if( ( txrx_setup->rx_count < txrx_setup->rx_length ) && ( txrx_setup->rx_data != NULL ) ) + { + txrx_setup->rx_data[txrx_setup->rx_count] = I2Cx->DR; + txrx_setup->rx_count++; + } + break; + + case 0x20: // receive mode + case 0x22: // transmit mode - stop receive + goto s_int_end; + + case 0x17: // transmit mode - slave select + ACK + case 0x46: // transmit mode - data NOACK + case 0x47: // transmit mode - data ACK + if( ( txrx_setup->tx_count < txrx_setup->tx_length ) && ( txrx_setup->tx_data != NULL ) ) + { + I2Cx->DR = txrx_setup->tx_data[txrx_setup->tx_count]; + txrx_setup->tx_count++; + } + break; + } + + I2Cx->ST = 0xff; // flag clear and SCL go to HIGH + return HAL_OK; + +s_int_end: + + I2Cx->ST = 0xff; // flag clear and SCL go to HIGH + + // Disable interrupt + HAL_I2C_ConfigInterrupt( I2Cx, FALSE ); + + I2Cn_SlaveComplete[tmp] = TRUE; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Transmit and Receive data in master mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] TransferCfg + * Pointer to a I2Cn_M_SETUP_Type structure + * that contains specified information about the configuration for master transfer. + * @param[in] Opt + * I2Cn_TRANSFER_OPT_Type type that selected for interrupt or polling mode. + * @return Result + * - SUCCESS, ERROR + *//*-------------------------------------------------------------------------*/ +Status HAL_I2C_MasterTransferData( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ) +{ + int32_t tmp; + uint32_t exitflag; + int32_t Ret; + + // Reset I2C setup value to default state + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + while( I2Cx->ST & 0x04 ); // busy check + + if( Opt == I2Cn_TRANSFER_POLLING ) + { + // init count + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + // tx transfer + if( TransferCfg->tx_count < TransferCfg->tx_length ) + { + // generate start condition + I2Cx->DR = ( TransferCfg->sl_addr7bit << 1 ); // load slave address and write flag (SLA+RnW) + I2Cx->CR |= ( 1 << 0 ); // START + Ret = I2Cn_MWait( I2Cx ); + if( ( Ret != TRANS_MODE ) ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return ERROR; + } + + // tx data + exitflag = 1; + while( exitflag ) + { + if( TransferCfg->tx_count < TransferCfg->tx_length ) + { + // tx byte + I2Cx->DR = TransferCfg->tx_data[TransferCfg->tx_count]; + TransferCfg->tx_count++; + I2Cx->ST = 0xFF; + Ret = I2Cn_MWait( I2Cx ); + if( ( Ret != TRANS_DATA ) ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return ERROR; + } + } + else + { + if( TransferCfg->rx_count >= TransferCfg->rx_length ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cx->ST = 0xFF; + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return SUCCESS; + } + else + { + exitflag = 0; + } + } + } + } + + // rx transfer + if( TransferCfg->rx_count < TransferCfg->rx_length ) + { + // generate start condition + I2Cx->DR = ( ( TransferCfg->sl_addr7bit << 1 ) | 0x01 ); // load slave address and read flag (SLA+RnW) + I2Cx->CR |= ( 1 << 0 ); // START + I2Cx->ST = 0xFF; + Ret = I2Cn_MWait( I2Cx ); + if( ( Ret != RECEIVE_MODE ) ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return ERROR; + } + + // rx data + exitflag = 1; + while( exitflag ) + { + if( ( TransferCfg->rx_length > 1 ) && ( TransferCfg->rx_count < ( TransferCfg->rx_length - 1 ) ) ) + { + // rx byte + I2Cx->ST = 0xFF; + Ret = I2Cn_MWait( I2Cx ); + if( ( Ret != RECEIVE_DATA ) ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return ERROR; + } + } + else // the next byte is the last byte, send NACK instead. + { + // generate nack + I2Cx->CR &= ~( 1 << 3 ); // ACK Signal Disable + + // rx byte + I2Cx->ST = 0xFF; + Ret = I2Cn_MWait( I2Cx ); + if( ( Ret != RECEIVE_DATA ) ) + { + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cn_MWait( I2Cx ); + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return ERROR; + } + } + TransferCfg->rx_data[TransferCfg->rx_count] = I2Cx->DR; + TransferCfg->rx_count++; + if( TransferCfg->rx_count == TransferCfg->rx_length ) + { + exitflag = 0; + // commented by kth return SUCCESS; + } + } + + // generate stop condition + I2Cx->CR |= ( 1 << 1 ); // STOP + I2Cx->ST = 0xFF; + I2Cn_MWait( I2Cx ); + I2Cx->ST = 0xFF; + I2Cx->CR = 0 + | ( 1 << 7 ) // I2C Block Enable + | ( 1 << 5 ) // Interrupt Enable + | ( 1 << 3 ); // ACK Signal Enable + + // return + return SUCCESS; + } + } + else if( Opt == I2Cn_TRANSFER_INTERRUPT ) + { + // clear flag + tmp = I2Cn_getNum( I2Cx ); + I2Cn_MasterComplete[tmp] = FALSE; + + // Setup tx_rx data, callback and interrupt handler + i2cdat[tmp].txrx_setup_master = *TransferCfg; + + // Set direction phase, write first + i2cdat[tmp].dir = 0; + + // enable interrupt + HAL_I2C_ConfigInterrupt( I2Cx, TRUE ); + + // generate start condition + if( TransferCfg->tx_count < TransferCfg->tx_length ) + { + I2Cx->DR = ( TransferCfg->sl_addr7bit << 1 ); // load slave address and write flag (SLA+RnW) + } + else if( TransferCfg->rx_count < TransferCfg->rx_length ) + { + I2Cx->DR = ( ( TransferCfg->sl_addr7bit << 1 ) | 0x01 ); // load slave address and read flag (SLA+RnW) + } + I2Cx->CR |= ( 1 << 0 ); // START + + // return + return SUCCESS; + } + + // return + return ERROR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive and Transmit data in slave mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] TransferCfg + * Pointer to a I2Cn_S_SETUP_Type structure + * that contains specified information about the configuration for master transfer. + * @param[in] Opt + * I2Cn_TRANSFER_OPT_Type type that selected for interrupt or polling mode. + * @return Result + * - SUCCESS, ERROR + *//*-------------------------------------------------------------------------*/ +Status HAL_I2C_SlaveTransferData( I2Cn_Type* I2Cx, I2Cn_S_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ) +{ + int32_t tmp; + int32_t Ret; + + // Reset I2C setup value to default state + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + // Polling option + if( Opt == I2Cn_TRANSFER_POLLING ) + { + while( 1 ) + { + Ret = I2Cn_SWait( I2Cx ); // Start + switch( Ret ) + { + case RECEIVE_MODE: + case RECEIVE_DATA: + if( ( TransferCfg->rx_count < TransferCfg->rx_length ) && ( TransferCfg->rx_data != NULL ) ) + { + TransferCfg->rx_data[TransferCfg->rx_count] = I2Cx->DR; + TransferCfg->rx_count++; + } + break; + case TRANS_MODE: + case TRANS_DATA: + if( ( TransferCfg->tx_count < TransferCfg->tx_length ) && ( TransferCfg->tx_data != NULL ) ) + { + I2Cx->DR = TransferCfg->tx_data[TransferCfg->tx_count]; + TransferCfg->tx_count++; + } + break; + case STOP_DECT: + goto s_end_stage; + case 0: + break; + default: + goto s_error; + } + } + +s_end_stage: + I2Cx->ST = 0xFF; + return SUCCESS; + +s_error: + I2Cx->ST = 0xFF; + return ERROR; + } + + else if( Opt == I2Cn_TRANSFER_INTERRUPT ) + { + tmp = I2Cn_getNum( I2Cx ); + I2Cn_SlaveComplete[tmp] = FALSE; + + // Setup tx_rx data, callback and interrupt handler + i2cdat[tmp].txrx_setup_slave = *TransferCfg; + + // Set direction phase, read first + i2cdat[tmp].dir = 1; + + HAL_I2C_ConfigInterrupt( I2Cx, TRUE ); + + return SUCCESS; + } + + // return + return ERROR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Transmit an array of bytes in Master mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] TransferCfg + * Pointer to a I2Cn_M_SETUP_Type structure + * that contains specified information about the configuration for master transfer. + * @param[in] Opt + * I2Cn_TRANSFER_OPT_Type type that selected for interrupt or polling mode. + * @return Result + * - SUCCESS, ERROR + *//*-------------------------------------------------------------------------*/ +Status HAL_I2C_Master_Transmit( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ) +{ + TransferCfg->rx_data = NULL; + TransferCfg->rx_length = 0; + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + return HAL_I2C_MasterTransferData( I2Cx, TransferCfg, Opt ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive an array of bytes in Master mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] TransferCfg + * Pointer to a I2Cn_M_SETUP_Type structure + * that contains specified information about the configuration for master transfer. + * @param[in] Opt + * I2Cn_TRANSFER_OPT_Type type that selected for interrupt or polling mode. + * @return Result + * - SUCCESS, ERROR + *//*-------------------------------------------------------------------------*/ +Status HAL_I2C_Master_Receive( I2Cn_Type* I2Cx, I2Cn_M_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ) +{ + TransferCfg->tx_data = NULL; + TransferCfg->tx_length = 0; + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + return HAL_I2C_MasterTransferData( I2Cx, TransferCfg, Opt ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive an array of bytes in Slave mode + * @param[in] I2Cx + * Pointer to the target I2C + * - I2C0 ~ I2C2 + * @param[in] TransferCfg + * Pointer to a I2Cn_S_SETUP_Type structure + * that contains specified information about the configuration for slave transfer. + * @param[in] Opt + * I2Cn_TRANSFER_OPT_Type type that selected for interrupt or polling mode. + * @return Result + * - SUCCESS, ERROR + *//*-------------------------------------------------------------------------*/ +Status HAL_I2C_Slave_Receive( I2Cn_Type* I2Cx, I2Cn_S_SETUP_Type* TransferCfg, I2Cn_TRANSFER_OPT_Type Opt ) +{ + TransferCfg->tx_data = NULL; + TransferCfg->tx_length = 0; + TransferCfg->tx_count = 0; + TransferCfg->rx_count = 0; + + return HAL_I2C_SlaveTransferData( I2Cx, TransferCfg, Opt ); +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_intc.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_intc.c new file mode 100644 index 0000000..1ac6aeb --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_intc.c @@ -0,0 +1,461 @@ +/***************************************************************************//** +* @file A31G12x_hal_intc.c +* @brief Contains all functions support for intc firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_intc.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Configure External Interrupt Trigger + * @param[in] u32Px + * Port Number + * - PORTB ~ PORTC, PORTE + * @param[in] u32pin + * Pin Number + * - 0 ~ 11 + * @param[in] u32Trig + * Trigger Mode + * - ITRIGx_Edge, ITRIGx_Level + * @param[in] u32Con + * Interrupt Mode + * - when Trigger Mode is ITRIGx_Edge + * - INTCTLx_Disable, INTCTLx_FallingEdge, INTCTLx_RisingEdge, INTCTLx_BothEdge + * - when Trigger Mode is ITRIGx_Level + * - INTCTLx_Disable, INTCTLx_LowLevel, INTCTLx_HighLevel + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + * @code + * [Example] + * + * // configure PB0 as a Falling Edge Trigger + * HAL_INT_EIntPx_SetReg( PORTB, 0, ITRIGx_Edge, INTCTLx_FallingEdge ); + * + * // configure PB1 as a Falling Edge Trigger + * HAL_INT_EIntPx_SetReg( PORTB, 1, ITRIGx_Edge, INTCTLx_RisingEdge ); + * + * // configure PB2 as a Falling Edge Trigger + * HAL_INT_EIntPx_SetReg( PORTB, 2, ITRIGx_Edge, INTCTLx_BothEdge ); + * + * // configure PB3 as a Falling Edge Trigger + * HAL_INT_EIntPx_SetReg( PORTB, 3, ITRIGx_Level, INTCTLx_LowLevel ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPx_SetReg( uint32_t u32Px, uint32_t u32pin, uint32_t u32Trig, uint32_t u32Con ) +{ + uint32_t temp_reg; + +#ifdef PORTA + if( u32Px == PORTA ) + { + temp_reg = INTC->PATRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PATRIG = temp_reg; + + temp_reg = INTC->PACR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PACR = temp_reg; + } +#endif + +#ifdef PORTB + if( u32Px == PORTB ) + { + temp_reg = INTC->PBTRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PBTRIG = temp_reg; + + temp_reg = INTC->PBCR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PBCR = temp_reg; + } +#endif + +#ifdef PORTC + if( u32Px == PORTC ) + { + temp_reg = INTC->PCTRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PCTRIG = temp_reg; + + temp_reg = INTC->PCCR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PCCR = temp_reg; + } +#endif + +#ifdef PORTD + if( u32Px == PORTD ) + { + temp_reg = INTC->PDTRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PDTRIG = temp_reg; + + temp_reg = INTC->PDCR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PDCR = temp_reg; + } +#endif + +#ifdef PORTE + if( u32Px == PORTE ) + { + temp_reg = INTC->PETRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PETRIG = temp_reg; + + temp_reg = INTC->PECR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PECR = temp_reg; + } +#endif + +#ifdef PORTF + if( u32Px == PORTF ) + { + temp_reg = INTC->PFTRIG; + temp_reg &= ~( 1 << u32pin ); + temp_reg |= ( u32Trig << u32pin ); + INTC->PFTRIG = temp_reg; + + temp_reg = INTC->PFCR; + temp_reg &= ~( 3 << ( u32pin << 1 ) ); + temp_reg |= ( u32Con << ( u32pin << 1 ) ); + INTC->PFCR = temp_reg; + } +#endif +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure External Interrupt Group + * @param[in] u32TarIntNum + * External Interrupt Number + * - EINT0 ~ EINT3 + * @param[in] u32SrcPort + * Port Number + * - CONFx_PB ~ CONFx_PC, CONFx_PE + * @param[in] u32SrcPin + * Pin Number + * - 0 ~ 11 + * @return None + * @details This function configures the external interrupt group 0 to 3 + * - If EINT0CONF1 == 0x01214211, The group 0 interrupts are [None:PB6:None:PB4:PE3:PC2:PB1:PB0] + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntCfg( uint32_t u32TarIntNum, uint32_t u32SrcPort, uint32_t u32SrcPin ) +{ + uint32_t temp_reg; + + if( u32SrcPin < 8 ) + { + if( u32TarIntNum == EINT0 ) + { + temp_reg = INTC->EINT0CONF1; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT0CONF1 = temp_reg; + } + else if( u32TarIntNum == EINT1 ) + { + temp_reg = INTC->EINT1CONF1; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT1CONF1 = temp_reg; + } + else if( u32TarIntNum == EINT2 ) + { + temp_reg = INTC->EINT2CONF1; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT2CONF1 = temp_reg; + } + else if( u32TarIntNum == EINT3 ) + { + temp_reg = INTC->EINT3CONF1; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT3CONF1 = temp_reg; + } + } +#if 1 + else + { + u32SrcPin -= 8; + if( u32TarIntNum == EINT0 ) + { + temp_reg = INTC->EINT0CONF2; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT0CONF2 = temp_reg; + } + else if( u32TarIntNum == EINT1 ) + { + temp_reg = INTC->EINT1CONF2; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT1CONF2 = temp_reg; + } + else if( u32TarIntNum == EINT2 ) + { + temp_reg = INTC->EINT2CONF2; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT2CONF2 = temp_reg; + } + else if( u32TarIntNum == EINT3 ) + { + temp_reg = INTC->EINT3CONF2; + temp_reg &= ~( 0x0F << ( u32SrcPin * 4 ) ); + temp_reg |= ( u32SrcPort << ( u32SrcPin * 4 ) ); + INTC->EINT3CONF2 = temp_reg; + } + } +#endif +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable Interrupt Source Mask + * @param[in] u32Src + * Interrupt Source Mask + * - MSK_LVI | MSK_WUT | MSK_WDT | MSK_EINT0 | ... + * @return None + * @code + * [Example] + * + * // mask LVI, WUT, WDT interrupt + * HAL_INT_EInt_MaskEnable( MSK_LVI | MSK_WUT | MSK_WDT ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EInt_MaskEnable( uint32_t u32Src ) +{ + INTC->MSK &= ~u32Src; +} + +/*-------------------------------------------------------------------------*//** + * @brief Disable Interrupt Source Mask + * @param[in] u32Src + * Interrupt Source Mask + * - MSK_LVI | MSK_WUT | MSK_WDT | MSK_EINT0 | ... + * @return None + * @code + * [Example] + * + * // unmask LVI, WUT, WDT interrupt + * HAL_INT_EInt_MaskDisable( MSK_LVI | MSK_WUT | MSK_WDT ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EInt_MaskDisable( uint32_t u32Src ) +{ + INTC->MSK |= u32Src; +} + +#ifdef PORTA +/*-------------------------------------------------------------------------*//** + * @brief Clear PA Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPA_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PAFLAG = u32Value; +} +#endif + +#ifdef PORTB +/*-------------------------------------------------------------------------*//** + * @brief Clear PB Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG11 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPB_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PBFLAG = u32Value; +} +#endif + +#ifdef PORTC +/*-------------------------------------------------------------------------*//** + * @brief Clear PC Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG3 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPC_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PCFLAG = u32Value; +} +#endif + +#ifdef PORTD +/*-------------------------------------------------------------------------*//** + * @brief Clear PD Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPD_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PDFLAG = u32Value; +} +#endif + +#ifdef PORTE +/*-------------------------------------------------------------------------*//** + * @brief Clear PE Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG3 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPE_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PEFLAG = u32Value; +} +#endif + +#ifdef PORTF +/*-------------------------------------------------------------------------*//** + * @brief Clear PF Interrupt Flag + * @param[in] u32Value + * Pn Interrupt Flag Mask + * - PnFLAG_FLAG0 ~ PnFLAG_FLAG0 + * @return None + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +void HAL_INT_EIntPF_ClearIntStatus( uint32_t u32Value ) +{ + INTC->PFFLAG = u32Value; +} +#endif + +#ifdef PORTA +/*-------------------------------------------------------------------------*//** + * @brief Get PA Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPA_GetIntStatus( void ) +{ + return INTC->PAFLAG; +} +#endif + +#ifdef PORTB +/*-------------------------------------------------------------------------*//** + * @brief Get PB Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPB_GetIntStatus( void ) +{ + return INTC->PBFLAG; +} +#endif + +#ifdef PORTC +/*-------------------------------------------------------------------------*//** + * @brief Get PC Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPC_GetIntStatus( void ) +{ + return INTC->PCFLAG; +} +#endif + +#ifdef PORTD +/*-------------------------------------------------------------------------*//** + * @brief Get PD Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPD_GetIntStatus( void ) +{ + return INTC->PDFLAG; +} +#endif + +#ifdef PORTE +/*-------------------------------------------------------------------------*//** + * @brief Get PE Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPE_GetIntStatus( void ) +{ + return INTC->PEFLAG; +} +#endif + +#ifdef PORTF +/*-------------------------------------------------------------------------*//** + * @brief Get PF Interrupt Flag + * @return Pn Interrput Flag + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_INT_EIntPF_GetIntStatus( void ) +{ + return INTC->PFFLAG; +} +#endif + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_lcd.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_lcd.c new file mode 100644 index 0000000..e97a961 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_lcd.c @@ -0,0 +1,151 @@ +/***************************************************************************//** +* @file A31G12x_hal_lcd.c +* @brief Contains all functions support for lcd firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_lcd.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the LCD peripheral with the specified parameters. + * @param[in] LCD_Config + * Pointer to LCD_CFG_Type + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + * @details This function sets the LCD Bias Resistor, LCD Duty, + * LCD Clock, Automatic Bias Control, and Contrast Control Data. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_LCD_Init( LCD_CFG_Type* LCD_Config ) +{ + /* Check LCD_Config */ + if( LCD_Config == NULL ) + { + return HAL_ERROR; + } + + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_LCDCLKE, PPxCLKE_Enable ); + + LCD->CR = 0 + | LCD_Config->Bias + | LCD_Config->Duty + | LCD_Config->Clk + ; + + LCD->BCCR = 0 + | LCD_Config->AutoBiasEn + | LCD_Config->BiasTime + | LCD_Config->Contrast + | LCD_Config->ContrastStep + ; + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set LCD LCD_CR/LCD_BCCR Registers + * @param[in] u32LCD_CR + * LCD Driver Control Register Setting Data + * @param[in] u32LCD_BCCR + * LCD Automatic bias and Contrast Control Register Setting Data + * @return @ref HAL_Status_Type + * @details This function sets the LCD Bias Resistor, LCD Duty, + * LCD Clock, Automatic Bias Control, and Contrast Control Data. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_LCD_SetRegister( uint32_t u32LCD_CR, uint32_t u32LCD_BCCR ) +{ + LCD->CR = u32LCD_CR; // Set LCD Driver Control Register + LCD->BCCR = u32LCD_BCCR; // Set LCD Driver Automatic Bias and Contrast Control Register + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear LCD Buffer + * @return @ref HAL_Status_Type + * @details This function clear Display Data RAM. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_LCD_ClearDspRam( void ) +{ + uint8_t u32Index; + volatile uint8_t* lcd_dsp_ram; + + lcd_dsp_ram = &LCD->DR0; + for( u32Index = 0; u32Index < LCDBufSize; u32Index ++ ) + { + lcd_dsp_ram[u32Index] = 0; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Write LCD Buffer + * @param[in] write_buf + * Font Data Buffer Address + * @param[in] u32Index + * Font Data Buffer Index + * @param[in] size + * Font Data Buffer Size + * @return @ref HAL_Status_Type + * @details This function writes display data to Display Data RAM. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_LCD_WriteDspRam( uint8_t* write_buf, uint32_t u32Index, uint32_t size ) +{ + volatile uint8_t* lcd_dsp_ram; + uint32_t i; + + if( ( u32Index + size ) > LCDBufSize ) // Check Buffer size + { + size = size - ( ( u32Index + size ) - LCDBufSize ); + } + + lcd_dsp_ram = &LCD->DR0; + for( i = 0; i < size; i ++ ) + { + lcd_dsp_ram[u32Index + i] = write_buf[i]; + } + + return HAL_OK; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pcu.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pcu.c new file mode 100644 index 0000000..13bc51c --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pcu.c @@ -0,0 +1,364 @@ +/***************************************************************************//** +* @file A31G12x_hal_pcu.c +* @brief Contains all functions support for pcu firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_pcu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Set PCU Pn_MOD/Pn_TYP/Pn_AFSR1/Pn_PUPD Registers + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] u32Mode + * Values for In/Out/Alternative mode + * @param[in] u32Type + * Values for Push-pull and Open-drain + * @param[in] u32Afsr1 + * Values for Alternative Function + * @param[in] u32Afsr2 + * Values for Alternative Function + * @param[in] u32PuPd + * Values for Pull-up/down resistor + * @return None + * @details This function sets the mode, type, alternative function, and pull-up/down resistor of port. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_Init( Pn_Type* Px, uint32_t u32Mode, uint32_t u32Type, uint32_t u32Afsr1, uint32_t u32Afsr2, uint32_t u32PuPd ) +{ + Px->MOD = u32Mode; // 00/01/10/11: Input/Output/"Alternative Function"/Reserved Mode + Px->TYP = u32Type; // 0/1: Push-pull/Open-drain Output + Px->AFSR1 = u32Afsr1; // 0 to 4: Alternative Function 0 to 4 + Px->AFSR2 = u32Afsr2; // 0 to 4: Alternative Function 0 to 4 + Px->PUPD = u32PuPd; // 00/01/10/11: "Disable Pull-up/down"/"Enable Pull-up"/"Enable Pull-down"/Reserved Resistor +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure pin function + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] pin_no + * Pin Number + * - 0 ~ 15 + * @param[in] func + * Alternative Function Number + * - AFSRx_AF0 ~ AFSRx_AF4 + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_ConfigFunction( Pn_Type* Px, uint8_t pin_no, uint32_t func ) +{ + uint8_t pin_offset; + uint32_t reg_val; + + if( pin_no < 8 ) // 0~7 + { + //-------------------------------------- + // pin_offset = pin_no * 4 + //-------------------------------------- + pin_offset = ( pin_no * 4 ); + + //-------------------------------------- + // MR + //-------------------------------------- + reg_val = Px->AFSR1; + reg_val &= ~( AFSRx_Msk << pin_offset ); + reg_val |= ( func << pin_offset ); + + Px->AFSR1 = reg_val; + } + else + { + pin_no -= 8; + //-------------------------------------- + // pin_offset = pin_no * 4 + //-------------------------------------- + pin_offset = ( pin_no * 4 ); + + //-------------------------------------- + // MR + //-------------------------------------- + reg_val = Px->AFSR2; + reg_val &= ~( AFSRx_Msk << pin_offset ); + reg_val |= ( func << pin_offset ); + + Px->AFSR2 = reg_val; + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure pin mode + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] pin_no + * Pin Number + * - 0 ~ 15 + * @param[in] dir_type + * Pin Mode + * - INPUT: 0 + * - PUSH_PULL_OUTPUT: 1 + * - ALTERN_FUNC: 2 + * - OPEN_DRAIN_OUTPUT: 3 + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_ConfigOutput( Pn_Type* Px, uint8_t pin_no, PCU_PORT_MODE dir_type ) +{ + uint8_t pin_offset; + uint32_t reg_val; + uint32_t dir_type_temp; + + dir_type_temp = dir_type; + if( dir_type_temp == OPEN_DRAIN_OUTPUT ) + { + dir_type = PUSH_PULL_OUTPUT; + } + //-------------------------------------- + // pin_offset = pin_no * 2 + //-------------------------------------- + pin_offset = ( pin_no << 1 ); + + //-------------------------------------- + // Pn_MOD + //-------------------------------------- + reg_val = Px->MOD; + reg_val &= ~( MODEx_Msk << pin_offset ); + reg_val |= ( dir_type << pin_offset ); + Px->MOD = reg_val; + + //-------------------------------------- + // Pn_TYP + //-------------------------------------- + if( ( dir_type_temp == PUSH_PULL_OUTPUT ) || ( dir_type_temp == OPEN_DRAIN_OUTPUT ) ) + { + reg_val = Px->TYP; + reg_val &= ~( 1 << pin_no ); + if( dir_type_temp == OPEN_DRAIN_OUTPUT ) + { + reg_val |= ( 1 << pin_no ); + } + Px->TYP = reg_val; + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure out data Mask + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] pin_no + * Pin Number + * - 0 ~ 15 + * @param[in] maskctrl + * state + * - OUTDMSKx_Unmask // The corresponding OUTDRx bit can be changed. + * - OUTDMSKx_Mask // The corresponding OUTDRx bit is protected. + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_ConfigOutDataMask( Pn_Type* Px, uint8_t pin_no, FunctionalState maskctrl ) +{ + uint32_t reg_val; + + reg_val = Px->OUTDMSK; + reg_val &= ~( 1 << pin_no ); + reg_val |= ( maskctrl << pin_no ); + Px->OUTDMSK = reg_val; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure Pin Pull-Up & Pull-Down + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] pin_no + * Pin Number + * - 0 ~ 15 + * @param[in] pullupdown + * Target Configuration + * - PUPDx_Disable + * - PUPDx_EnablePU + * - PUPDx_EnablePD + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_ConfigPullup( Pn_Type* Px, uint8_t pin_no, uint8_t pullupdown ) +{ + uint32_t reg_val; + uint8_t pin_offset; + + pin_offset = ( pin_no << 1 ); + + reg_val = Px->PUPD; + reg_val &= ~( 3 << pin_offset ); + reg_val |= ( pullupdown << pin_offset ); + Px->PUPD = reg_val; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set PCU Debounce + * @param[in] Px + * Pointer to the target PORT + * - PB ~ PC, PE + * @param[in] u32Pins + * Pin Number + * - 0 ~ 11 + * @param[in] u32Debnc + * Sampling Clock Selection + * - DBCLK_HCLK1, DBCLK_HCLK4, DBCLK_HCLK16, DBCLK_HCLK64, DBCLK_HCLK256, DBCLK_HCLK1024 + * @return None + * @details This function enables the debounce filter for the pin specified by u32Pins + * and selects the debounce filter sampling clock. + * @remark Available EINT Pin: PB[11:0], PC[3:0], PE[3:0] + * @code + * [Example] + * + * HAL_GPIO_SetDebouncePin( ( Pn_Type* )PB, 0, DBCLK_HCLK1024 ); + * HAL_GPIO_SetDebouncePin( ( Pn_Type* )PB, 1, DBCLK_HCLK1024 ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_SetDebouncePin( Pn_Type* Px, uint32_t u32Pins, uint32_t u32Debnc ) +{ +#if 0 // before bug fix + uint32_t reg_val; + + reg_val = ( 0x07ff & Px->DBCR ); + reg_val |= ( 0x01 << u32Pins ); + reg_val |= u32Debnc; + Px->DBCR = reg_val; +#else // after bug fix + Px->DBCR = Px->DBCR + & ~Pn_DBCR_DBCLK_Msk + | ( 1 << u32Pins ) + | u32Debnc + ; +#endif +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Value for bits that have output direction on GPIO port. + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] bitValue + * Value that contains all bits on GPIO to set. + * - 0x0000 ~ 0xffff + * - Example: Use the value of 0x0003 to set bit 0 and bit 1. + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_SetPin( Pn_Type* Px, uint16_t bitValue ) +{ + Px->BSR = bitValue; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear Value for bits that have output direction on GPIO port. + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] bitValue + * Value that contains all bits on GPIO to clear. + * - 0x0000 ~ 0xffff + * - Example: Use the value of 0x0003 to clear bit 0 and bit 1. + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_ClearPin( Pn_Type* Px, uint16_t bitValue ) +{ + Px->BCR = bitValue; +} + +/*-------------------------------------------------------------------------*//** + * @brief Toggle Value for bits that have output direction on GPIO port. + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] bitValue + * Value that contains all bits on GPIO to toggle. + * - 0x00 ~ 0xff + * @return None + * @remark Available GPIO Pin: PA[7:0], PB[7:0], PC[4:0], PD[7:0], PE[7:0], PF[7:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_TogglePin( Pn_Type* Px, uint16_t bitValue ) +{ + if((Px->OUTDR & bitValue) != 0) + { + HAL_GPIO_ClearPin(Px, bitValue); + } + else + { + HAL_GPIO_SetPin(Px, bitValue); + } +} +/*-------------------------------------------------------------------------*//** + * @brief Write Value on port that have output direction of GPIO + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @param[in] Value + * Value to write + * @return None + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + *//*-------------------------------------------------------------------------*/ +void HAL_GPIO_WritePin( Pn_Type* Px, uint16_t Value ) +{ + Px->OUTDR = Value; +} + +/*-------------------------------------------------------------------------*//** + * @brief Read Current state on port pin that have input direction of GPIO + * @param[in] Px + * Pointer to the target PORT + * - PA ~ PF + * @return Current value of GPIO port. + * @remark Available GPIO Pin: PA[11:0], PB[15:0], PC[12:0], PD[7:0], PE[15:0], PF[11:0] + * @note Return value contain state of each port pin (bit) on that GPIO regardless + * its direction is input or output. + *//*-------------------------------------------------------------------------*/ +uint16_t HAL_GPIO_ReadPin( Pn_Type* Px ) +{ + return Px->INDR; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pwr.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pwr.c new file mode 100644 index 0000000..e5d970f --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_pwr.c @@ -0,0 +1,82 @@ +/***************************************************************************//** +* @file A31G12x_hal_pwr.c +* @brief Contains all functions support for pwr firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_pwr.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Enter Sleep mode with co-operated instruction by the Cortex-M0+. + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void HAL_PWR_EnterSleepMode( void ) +{ + // Sleep Mode, clear SLEEPDEEP bit + SCB->SCR = 0; + + // Sleep Mode + WFI(); + NOP(); + NOP(); + NOP(); + NOP(); +} + +/*-------------------------------------------------------------------------*//** + * @brief Enter Deep Sleep mode with co-operated instruction by the Cortex-M0+. + * @param None + * @return None + *//*-------------------------------------------------------------------------*/ +void HAL_PWR_EnterPowerDownMode( void ) +{ + // Deep-Sleep Mode, set SLEEPDEEP bit + SCB->SCR = 0x4; + + // Deep Sleep Mode + WFI(); + NOP(); + NOP(); + NOP(); + NOP(); +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_scu.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_scu.c new file mode 100644 index 0000000..ab27804 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_scu.c @@ -0,0 +1,582 @@ +/***************************************************************************//** +* @file A31G12x_hal_scu.c +* @brief Contains all functions support for scu firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Get Reset Source Status + * @return Reset Source + * @details This function gets reset source status and clear the register. + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_SCU_ResetSourceStatus( void ) +{ + uint32_t tmp; + + tmp = SCUCC->RSTSSR; // Get reset source status + SCUCC->RSTSSR = 0x3FuL; // Clear all reset source status + return tmp; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Non-Maskable Interrupt(NMI) Source Selection Register + * @param[in] u32NmiCon + * Values for NMISRCR register + * @return None + * @details This function sets NMISRCR register. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SetNMI( uint32_t u32NmiCon ) +{ + SCUCC->NMISRCR = u32NmiCon; +} + +/*-------------------------------------------------------------------------*//** + * @brief Check whether system reset ok or not. Generate s/w reset if a weak reset + * @return None + * @details This function checks system reset validation and Generate s/w reset if a weak reset. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SoftwareReset_Config( void ) +{ + if( SCUCC->SRSTVR_b.VALID != 0x55 ) + { + SCUCC_GenSwRst(); // Generate S/W reset on invalid reset + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Wake-Up Timer Data + * @param[in] u32Data + * @return None + * @details This function sets wake-up timer data to wait for release of deep sleep mode. + * Its value should be set to be at least more than 150usec. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SetWakupData( uint32_t u32Data ) +{ + SCUCC->WUTDR = u32Data; // On HCLK=40MHz, (150us x 40)/32 = 187.5. So, the data should be more than 187 +} + +/*-------------------------------------------------------------------------*//** + * @brief Change fine trim value of HIRC by one step + * @param[in] u32Ind + * Indicator for +/- one step + * - HIRC_UP_ONESTEP, HIRC_DOWN_ONESTEP + * @return None + * @details This function changes fine trim value by one step. + * If the u32Ind is HIRC_UP_ONESTEP, HIRC frequency is changed up by about 140kHz. + * If the u32Ind is HIRC_DOWN_ONESTEP, HIRC frequency is changed down by about 140kHz. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_HIRCTRM_ClockConfig( uint32_t u32Ind ) +{ + uint32_t tmp, ntrim; + + tmp = ( SCUCC->HIRCTRM ) & 0x001fuL; // Read current fine trim value of HIRC + if( u32Ind == HIRC_UP_ONESTEP ) // Increment by one step(about 140kHz) + { + if( tmp != 0x0f ) + { + tmp++; + } + } + else // Decrement by one step(about 140kHz) + { + if( tmp != 0x10 ) + { + tmp--; + } + } + tmp &= 0x1f; // Fine trim value is only 5-bits + tmp |= ( ( SCUCC->HIRCTRM ) & 0x00E0uL ); // Read Coarse trim value + ntrim = ( tmp << 8 ) ^ 0x0000FF00; // Make write complement key + SCUCC->HIRCTRM = ( ( uint32_t )SCUCC_HIRCTRM_WTIDKY_Value << SCUCC_HIRCTRM_WTIDKY_Pos ) // Write new HIRC trim value with write ID and complement key + | ntrim + | tmp; +} + +/*-------------------------------------------------------------------------*//** + * @brief Change fine trim value of WDTRC by one step + * @param[in] u32Ind + * Indicator for +/- one step + * - WDTRC_UP_ONESTEP, WDTRC_DOWN_ONESTEP + * @return None + * @details This function changes fine trim value by one step. + * If the u32Ind is WDTRC_UP_ONESTEP, WDTRC frequency is changed up by about 1.1kHz. + * If the u32Ind is WDTRC_DOWN_ONESTEP, WDTRC frequency is changed down by about 1.1kHz. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_WDTRCTRM_ClockConfig( uint32_t u32Ind ) +{ + uint32_t ctmp, ftmp, ntrim; + + ftmp = ( SCUCC->WDTRCTRM ) & 0x0007uL; // Read current fine trim value of WDTRC + ctmp = ( SCUCC->WDTRCTRM ) & 0x00F0uL; // Read current coarse trim value of WDTRC + if( u32Ind == WDTRC_UP_ONESTEP ) // Increment by one step(about 1.1kHz) + { + ftmp++; + ftmp &= 0x07uL; // Fine trim value is only 3-bits + if( ftmp == 0x04 ) + { + if( ctmp != 0x70 ) + { + ctmp += 0x10uL; + } + else + { + ftmp = 0x03uL; + } + } + } + else // Decrement by one step(about 1.1kHz) + { + ftmp--; + ftmp &= 0x07uL; // Fine trim value is only 3-bits + if( ftmp == 0x03 ) + { + if( ctmp != 0x80 ) + { + ctmp -= 0x10uL; + } + else + { + ftmp = 0x04uL; + } + } + } + ctmp &= 0x00f0uL; // Coarse trim value is only 4-bits + ctmp |= ftmp; + ntrim = ( ctmp << 8 ) ^ 0x0000FF00; // Make write complement key + SCUCC->WDTRCTRM = ( SCUCC_WDTRCTRM_WTIDKY_Value << SCUCC_WDTRCTRM_WTIDKY_Pos ) // Write new WDTRC trim value with write ID and complement key + | ntrim + | ctmp; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure Clock Monitoring + * @param[in] u32Acts + * Clock Monitoring Action Selection + * - MACTS_FlagChk, MACTS_RstGen, MACTS_SysClkChg + * @param[in] u32Target + * Clock Monitoring Target Selection + * - MONCS_MCLK, MONCS_HIRC, MONCS_XMOSC, MONCS_XSOSC + * @return None + * @details This function checks whether the target clock oscillates. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockMonitoring( uint32_t u32Acts, uint32_t u32Target ) +{ + if( SCUCG->CLKSRCR_b.WDTRCEN == 0 ) + { + SCUCG->CLKSRCR = SCUCG->CLKSRCR + | ( ( uint32_t )SCUCG_CLKSRCR_WTIDKY_Value << SCUCG_CLKSRCR_WTIDKY_Pos ) + | CLKSRCR_WDTRCEN; // The WDTRC should be enabled to use clock monitoring + } + SCUCG->CMONCR = ( 0x3uL << 2 ) | u32Acts | u32Target; // Clear MONFLAG and NMINTFG, Set Monitoring Target and Monitoring Action + SCUCG->CMONCR_b.MONEN = 1; // Clock Monitoring Enable +} + +/*-------------------------------------------------------------------------*//** + * @brief Disable Clock Monitoring + * @return None + * @details This function disables clock monitoring. + Before disabling the clock monitoring function, you need to take step to clear + the MACTS[1:0] bits of SCU_CMONCR register to 00b. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockMonitoring_Disable( void ) +{ + SCUCG->CMONCR_b.MACTS = 0; // Clear MACTS bits first + SCUCG->CMONCR_b.MONEN = 0; // Disable clock monitoring function +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Clock Source, HIRC Frequency, and type of XMOSC + * @param[in] u32FreIRC + * HIRC Frequency Selection + * - HIRCSEL_HIRC1, HIRCSEL_HIRC2, HIRCSEL_HIRC4, HIRCSEL_HIRC8 + * @param[in] u32TypeXM + * Main Oscillator Type and Frequency Range Selection + * - XMFRNG_Xtal, XMFRNG_Clock + * @param[in] u32ClkSrc + * Clock Source + * - CLKSRCR_WDTRCEN | CLKSRCR_HIRCEN | CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN + * @return None + * @details This function sets clock source, HIRC frequency, and x-tal type of XMOSC. + * If target clock source is one of XMOSC and XSOSC, + * the x-tal pins should be set as alternative before this function call. + * To set alternative for x-tal, Use HAL_SCU_MainXtal_PinConfig() and HAL_SCU_SubXtal_PinConfig() functions. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockSource_Config( uint32_t u32FreIRC, uint32_t u32TypeXM, uint32_t u32ClkSrc ) +{ + uint32_t tmp; + + tmp = SCUCG->CLKSRCR & 0x0000000F; + tmp |= ( ( ( uint32_t )SCUCG_CLKSRCR_WTIDKY_Value << SCUCG_CLKSRCR_WTIDKY_Pos ) // Write ID + | u32FreIRC // HIRC Frequency + | u32TypeXM // XMOSC type: x-tal or external clock + | u32ClkSrc ); + SCUCG->CLKSRCR = tmp; +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable Clock Source + * @param[in] u32ClkSrc + * Clock Source + * - CLKSRCR_WDTRCEN | CLKSRCR_HIRCEN | CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN + * @param[in] u32HircDiv + * HIRC Frequency Selection + * - HIRCSEL_HIRC1, HIRCSEL_HIRC2, HIRCSEL_HIRC4, HIRCSEL_HIRC8 + * @return None + * @details This function is used to enable original source after system clock change. + * @code + * [Example] + * + * // eable HIRC, XMOSC, SXOSC, WDTRC and select HIRCSEL_HIRC1(40MHz HIRC) + * HAL_SCU_ClockSource_Enable( CLKSRCR_HIRCEN | CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN | CLKSRCR_WDTRCEN, HIRCSEL_HIRC1 ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockSource_Enable( uint32_t u32ClkSrc, uint32_t u32HircDiv ) +{ +#if 0 // before bug fix + uint32_t tmp; + + tmp = SCUCG->CLKSRCR & 0x0000FFFF; // 0x00000fff ... + tmp |= ( ( uint32_t )SCUCG_CLKSRCR_WTIDKY_Value << SCUCG_CLKSRCR_WTIDKY_Pos ); // Write ID + tmp |= u32ClkSrc; + tmp |= u32HircDiv; + SCUCG->CLKSRCR = tmp; +#else // after bug fix + SCUCG->CLKSRCR = SCUCG->CLKSRCR + & ~( SCUCG_CLKSRCR_WTIDKY_Msk | SCUCG_CLKSRCR_HIRCSEL_Msk ) + | ( ( uint32_t )SCUCG_CLKSRCR_WTIDKY_Value << SCUCG_CLKSRCR_WTIDKY_Pos ) // Write ID + | u32HircDiv + | u32ClkSrc + ; +#endif +} + +/*-------------------------------------------------------------------------*//** + * @brief Disable Clock Source + * @param[in] u32ClkSrc + * Clock Source + * - CLKSRCR_WDTRCEN | CLKSRCR_HIRCEN | CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN + * @return None + * @details This function is used to disable original source after system clock change. + * @code + * [Example] + * + * // disable XMOSC, SXOSC + * HAL_SCU_ClockSource_Disable( CLKSRCR_XMOSCEN | CLKSRCR_XSOSCEN ); + * @endcode + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockSource_Disable( uint32_t u32ClkSrc ) +{ + uint32_t tmp; + + tmp = SCUCG->CLKSRCR & 0x0000FFFF; + tmp |= ( ( uint32_t )SCUCG_CLKSRCR_WTIDKY_Value << SCUCG_CLKSRCR_WTIDKY_Pos ); // Write ID + tmp &= ~u32ClkSrc; + SCUCG->CLKSRCR = tmp; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set XMOSC Pins for x-tal + * @param[in] u32XtalFilter + * the filter of x-tal frequency + * - XRNS_LE4p5MHz, XRNS_LE6p5MHz, XRNS_LE8p5MHz + * - XRNS_LE10p5MHz, XRNS_LE12p5MHz, XRNS_LE16p5MHz + * @return None + * @details This function sets PF[1:0]'s alternative for x-tal of XMOSC. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_MainXtal_PinConfig( uint32_t u32XtalFilter ) +{ + PF->AFSR1 &= 0xFFFFFF00; // PF[1:0]: XIN/XOUT + PF->PUPD &= 0xFFFFF0uL; // PF[1:0]: Pull-up/down resistors Disable + PF->MOD &= 0xFFFFF0uL; + PF->MOD |= 0x000AuL; // PF[1:0]: Alternative Function + SCUCG->XTFLSR = ( ( uint32_t )SCUCG_XTFLSR_WTIDKY_Value << SCUCG_XTFLSR_WTIDKY_Pos ) // Write ID + | u32XtalFilter; // x-tal filter value +} + +/*-------------------------------------------------------------------------*//** + * @brief Set XSOSC Pins for x-tal + * @return None + * @details This function sets PF[3:2]'s alternative for x-tal of XSOSC. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SubXtal_PinConfig( void ) +{ + PF->AFSR1 &= 0xFFFF00FF; // PF[3:2]: SXIN/SXOUT + PF->PUPD &= 0xFFFF0FuL; // PF[3:2]: Pull-up/down resistors Disable + PF->MOD &= 0xFFFF0FuL; + PF->MOD |= 0x00A0uL; // PF[3:2]: Alternative Function +} + +/*-------------------------------------------------------------------------*//** + * @brief Change System Clock + * @param[in] u32Target + * Target Clock + * - MCLKSEL_HIRC, MCLKSEL_XMOSC, MCLKSEL_XSOSC, MCLKSEL_WDTRC + * @return None + * @details This function changes system clock to target source. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SystemClockChange( uint32_t u32Target ) +{ + SCUCG->SCCR = ( SCUCG_SCCR_WTIDKY_Value << SCUCG_SCCR_WTIDKY_Pos ) // Write ID + | u32Target; // Target Clock Source +} + +/*-------------------------------------------------------------------------*//** + * @brief Set System Clock Dividers, SCDIVR1 for WT and LCD Driver in case of using MCLK, SCDIVR2 for SysTick Timer and PCLK + * @param[in] u32Div02 + * Values for Divider 0 and 2 + * - Clock Divide for HCLK (Divider 0) + * - HDIV_MCLK16, HDIV_MCLK8, HDIV_MCLK4, HDIV_MCLK2, HDIV_MCLK1 + * - Clock Divide for Watch Timer and LCD Driver (Divider 2) + * - WLDIV_MCLK64, WLDIV_MCLK128, WLDIV_MCLK256, WLDIV_MCLK512, WLDIV_MCLK1024 + * @param[in] u32Div13 + * Values for Divider 1 and 3 + * - Clock Divide for PCLK (Divider 1) + * - PDIV_HCLK1, PDIV_HCLK2, PDIV_HCLK4, PDIV_HCLK8 + * - Clock Divide for SysTick Timer (Divider 3) + * - SYSTDIV_HCLK1, SYSTDIV_HCLK2, SYSTDIV_HCLK4, SYSTDIV_HCLK8 + * @return None + * @details This function changes system clock to target source. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_SystemClockDivider( uint32_t u32Div02, uint32_t u32Div13 ) +{ + // Divider 0 for HCLK: 000/001/010/011/100: MCLK is divided by 16/8/4/2/1 + // Divider 2 for WT and LCD Driver: 000/001/010/011/100: MCLK is divided by 64/128/256/512/1024 + SCUCG->SCDIVR1 = u32Div02; + + // Divider 1 for PCLK: 00/01/10/11: HCLK is divided by 1/2/4/8 + // Divider 3 for SysTick Timer: 00/01/10/11: HCLK is divided by 1/2/4/8 + SCUCG->SCDIVR2 = u32Div13; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set CLKO Pin for Clock Output + * @return None + * @details This function sets selected pin's alternative for CLKO. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_CLKO_PinConfig( void ) +{ + PF->AFSR1 &= 0xFFF0FFFF; // PF4 CLKO 0: CLKO 1: ---- 2: ---- 3: ---- 4: ---- + PF->PUPD &= 0xFFFCFFuL; // PF4 CLKO 0: Disable Pull-Up/Down 1: Enable Pull-Up 2: Enable Pull-Down + PF->MOD &= 0xFFFCFFuL; // PF4 CLKO 0: Input Mode 1: Output Mode 2: Alternative Function Mode + PF->MOD |= 0x0200uL; // PF4 CLKO 0: Input Mode 1: Output Mode 2: Alternative Function Mode +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Configuration for Clock Output + * @param[in] u32ClkSrc + * Clock to output + * - CLKOS_MCLK, CLKOS_WDTRC, CLKOS_HIRC, CLKOS_HCLK, CLKOS_PCLK + * @param[in] u32Level + * Clock Output Polarity when Disable + * - POLSEL_Low, POLSEL_High + * @param[in] u32Div + * Output Clock Divide + * - CLKODIV_SelectedClock1, CLKODIV_SelectedClock2 + * - CLKODIV_SelectedClock4, CLKODIV_SelectedClock8 + * - CLKODIV_SelectedClock16, CLKODIV_SelectedClock32 + * - CLKODIV_SelectedClock64, CLKODIV_SelectedClock128 + * @return None + * @details This function sets clock output related configuration. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_ClockOutput( uint32_t u32ClkSrc, uint32_t u32Level, uint32_t u32Div ) +{ + SCUCG->CLKOCR = 0 + | ( 1 << SCUCG_CLKOCR_CLKOEN_Pos ) // CLKO Enable + | u32Level // 0: Low Level 1: High Level + | u32Div // 0: div_1 1: div_2 2: div_4 3: div_8 4: div_16 5: div_32 6: div_64 7: div_128 + | u32ClkSrc // 0: MCLK 1: WDTRC 2: HIRC 3: HCLK 4: PCLK + ; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Peripheral Clock, The peripheral doesn't work if the corresponding bit is "0b". + * @param[in] u32PeriClk1 + * Values for TIMER20 ~ TIMER21, TIMER30, TIMER10 ~ TIMER16, PA ~ PF + * @param[in] u32PeriClk2 + * Values for the Others Peripheral + * @return None + * @details This function sets the peripheral clock. + * A peripheral works properly during the corresponding bit is set to "1b". + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_ClockConfig( uint32_t u32PeriClk1, uint32_t u32PeriClk2 ) +{ + SCUCG->PPCLKEN1 = u32PeriClk1; // Set peripheral clock of timers and ports + SCUCG->PPCLKEN2 = u32PeriClk2; // Set peripheral clock of the others +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Each Peripheral Clock + * @param[in] u32PeriClk1 + * PeriClk1 + * - PPCLKEN1_T20CLKE ~ PPCLKEN1_T21CLKE, PPCLKEN1_T30CLKE + * - PPCLKEN1_T10CLKE ~ PPCLKEN1_T16CLKE, PPCLKEN1_PACLKE ~ PPCLKEN1_PFCLKE + * @param[in] Ind + * Enable/Disable Peripheral Clock. + * - PPxCLKE_Disable, PPxCLKE_Enable + * @return None + * @details This function sets each peripheral clock of timers and Ports. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_EnableClock1( uint32_t u32PeriClk1, uint32_t Ind ) +{ + if( Ind ) + { + SCUCG->PPCLKEN1 |= u32PeriClk1; // Enable a peripheral clock of timers and ports + } + else + { + SCUCG->PPCLKEN1 &= ~u32PeriClk1; // Disable a peripheral clock of timers and ports + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Each Peripheral Clock + * @param[in] u32PeriClk2 + * PeriClk2 + * - PPCLKEN2_FMCLKE, PPCLKEN2_LVICLKE, PPCLKEN2_WDTCLKE, PPCLKEN2_WTCLKE + * - PPCLKEN2_LCDCLKE, PPCLKEN2_CRCLKE, PPCLKEN2_ADCLKE + * - PPCLKEN2_I2C0CLKE ~ PPCLKEN2_I2C2CLKE + * - PPCLKEN2_UT0CLKE ~ PPCLKEN2_UT1CLKE, PPCLKEN2_UST10CLKE ~ PPCLKEN2_UST13CLKE + * @param[in] u32Ind + * Enable/Disable Peripheral Clock. + * - PPxCLKE_Disable, PPxCLKE_Enable + * @return None + * @details This function sets each peripheral clock of the others. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_EnableClock2( uint32_t u32PeriClk2, uint32_t u32Ind ) +{ + if( u32Ind ) + { + SCUCG->PPCLKEN2 |= u32PeriClk2; // Enable a peripheral clock of others + } + else + { + SCUCG->PPCLKEN2 &= ~u32PeriClk2; // Disable a peripheral clock of others + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Reset Peripheral Block, The peripheral is reset if the corresponding bit is "1b". + * @param[in] u32PeriRst1 + * Values for TIMER20 ~ TIMER21, TIMER30, TIMER10 ~ TIMER16, PA ~ PF + * @param[in] u32PeriRst2 + * Values for the Others Peripheral + * @return None + * @details This function reset peripheral block during the corresponding bit is set to "1b". + After reset of a block, the corresponding bit should be cleared to "0b" for operation. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_ResetConfig( uint32_t u32PeriRst1, uint32_t u32PeriRst2 ) +{ + uint32_t i; + + SCUCG->PPRST1 = u32PeriRst1; // Reset peripheral block of timers and ports if the corresponding bit is "1b" + SCUCG->PPRST2 = u32PeriRst2; // Reset peripheral block of the others + for( i = 0 ; i < 10 ; i++ ) + { + NOP(); + } + SCUCG->PPRST1 = 0x0uL; // Clear the peripheral reset bits + SCUCG->PPRST2 = 0x0uL; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set/Reset Each Peripheral Block Reset of PPRST1 Register + * @param[in] u32EachPeri1 + * Peri1 + * - PPRST1_T20RST ~ PPRST1_T21RST, PPRST1_T30RST + * - PPRST1_T10RST ~ PPRST1_T16RST, PPRST1_PARST ~ PPRST1_PFRST + * @details This function resets each peripheral block. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_SetReset1( uint32_t u32EachPeri1 ) +{ + uint32_t i; + + SCUCG->PPRST1 = u32EachPeri1; // Reset a peripheral block + for( i = 0 ; i < 10 ; i++ ) + { + NOP(); + } + SCUCG->PPRST1 = 0; // Clear the peripheral reset bit +} + +/*-------------------------------------------------------------------------*//** + * @brief Set/Reset Each Peripheral Block Reset of PPRST2 Register + * @param[in] u32EachPeri2 + * Peri2 + * - PPRST2_FMCRST, PPRST2_LVIRST, PPRST2_WTRST, PPRST2_LCDRST + * - PPRST2_CRRST, PPRST2_ADRST, PPRST2_I2C0RST ~ PPRST2_I2C2RST + * - PPRST2_UT0RST ~ PPRST2_UT1RST, PPRST2_UST10RST ~ PPRST2_UST13RST + * @details This function resets each peripheral block. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_SetReset2( uint32_t u32EachPeri2 ) +{ + uint32_t i; + + SCUCG->PPRST2 = u32EachPeri2; // Reset a peripheral block + for( i = 0 ; i < 10 ; i++ ) + { + NOP(); + } + SCUCG->PPRST2 = 0; // Clear the peripheral reset bit +} + + +/*-------------------------------------------------------------------------*//** + * @brief Peripheral Clock Selection of PPCLKSR Register + * @param[in] u32Peri + * Peripheral Selection + * - PPCLKSR_T20CLK, PPCLKSR_T30CLK, PPCLKSR_LCDCLK, PPCLKSR_WTCLK, PPCLKSR_WDTCLK + * @param[in] u32ClkSrc + * Peripheral Clock Selection + * - PPCLKSR_T20CLK: T20CLK_XSOSC, T20CLK_PCLK + * - PPCLKSR_T30CLK: T30CLK_MCLK, T30CLK_PCLK + * - PPCLKSR_LCDCLK: LCDCLK_DividedMCLK, LCDCLK_XSOSC, LCDCLK_WDTRC + * - PPCLKSR_WTCLK: WTCLK_DividedMCLK, WTCLK_XSOSC, WTCLK_WDTRC + * - PPCLKSR_WDTCLK: WDTCLK_WDTRC, WDTCLK_PCLK + * @details This function resets each peripheral block. + *//*-------------------------------------------------------------------------*/ +void HAL_SCU_Peripheral_ClockSelection( uint32_t u32Peri, uint32_t u32ClkSrc ) +{ + SCUCG->PPCLKSR &= ~u32Peri; + SCUCG->PPCLKSR |= u32ClkSrc; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_sculv.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_sculv.c new file mode 100644 index 0000000..513d5f9 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_sculv.c @@ -0,0 +1,80 @@ +/***************************************************************************//** +* @file A31G12x_hal_sculv.c +* @brief Contains all functions support for sculv firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_sculv.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Set LVI Block Enable/Disable and Voltage Level + * @param[in] u32LviEnBit + * LVI Enable/Disable Control + * - LVIEN_Disable, LVIEN_Enable + * @param[in] u32LviIntEnBit + * LVI Interrupt Enable/Disable Control + * - LVINTEN_Disable, LVINTEN_Enable + * @param[in] u32LviLevel + * LVI Voltage Level + * - LVIVS_2p00V // 2.00V + * - LVIVS_2p13V // 2.13V + * - LVIVS_2p28V // 2.28V + * - LVIVS_2p46V // 2.46V + * - LVIVS_2p67V // 2.67V + * - LVIVS_3p04V // 3.04V + * - LVIVS_3p20V // 3.20V + * - LVIVS_3p55V // 3.55V + * - LVIVS_3p75V // 3.75V + * - LVIVS_3p99V // 3.99V + * - LVIVS_4p25V // 4.25V + * - LVIVS_4p55V // 4.55V + * @return None + * @details This function sets LVI voltage level. + *//*-------------------------------------------------------------------------*/ +void HAL_LVI_Init( uint32_t u32LviEnBit, uint32_t u32LviIntEnBit, uint32_t u32LviLevel ) +{ + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_LVICLKE, PPxCLKE_Enable ); + + SCULV->LVICR = ( u32LviEnBit | u32LviIntEnBit | u32LviLevel ); +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer1n.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer1n.c new file mode 100644 index 0000000..09997bf --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer1n.c @@ -0,0 +1,360 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer1n.c +* @brief Contains all functions support for timer1n firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_scu.h" +#include "A31G12x_hal_timer1n.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the TIMER1n peripheral with the specified parameters. + * - Set Clock frequency for Timer + * - Set initial configuration for Timer + * @param[in] TIMER1x + * Pointer to the target TIMER1 + * - TIMER10 ~ TIMER16 + * @param[in] TimerCounterMode + * Timer Counter Mode + * - TIMER1n_PERIODIC_MODE: Timer mode + * - TIMER1n_PWM_MODE: Counter rising mode + * - TIMER1n_ONESHOT_MODE: Counter falling mode + * - TIMER1n_CAPTURE_MODE: Counter on both edges + * @param[in] TIMER1n_Config + * Pointer to the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER1n_Init( TIMER1n_Type* TIMER1x, TIMER1n_MODE_OPT TimerCounterMode, void* TIMER1n_Config ) +{ + TIMER1n_PERIODICCFG_Type* pTimeCfg; + TIMER1n_PWMCFG_Type* pPwmOneshotCfg; + TIMER1n_CAPTURECFG_Type* pCaptureCfg; + uint16_t reg_val16; + + /* Check TIMER1 handle */ + if( TIMER1x == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER10 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T10CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER11 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T11CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER12 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T12CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER13 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T13CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER14 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T14CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER15 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T15CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER16 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T16CLKE, PPxCLKE_Enable ); + } +#endif + + if( TimerCounterMode == TIMER1n_PERIODIC_MODE ) + { + pTimeCfg = ( TIMER1n_PERIODICCFG_Type* )TIMER1n_Config; + + reg_val16 = 0 + | TIMER1n_CR_CKSEL_SET( pTimeCfg->CkSel ) + | TIMER1n_CR_MODE_SET( TimerCounterMode ) + | TIMER1n_CR_STARTLVL_SET( pTimeCfg->StartLevel ) + ; + if( pTimeCfg->CkSel == 1 ) + { + reg_val16 = reg_val16 | TIMER1n_CR_ECE_SET( pTimeCfg->ECE ); + } + TIMER1x->CR = reg_val16; + + TIMER1x->PREDR = ( ( pTimeCfg->Prescaler - 1 ) & TIMER1n_PRS_MASK ); + TIMER1x->ADR = pTimeCfg->ADR; + } + else if( ( TimerCounterMode == TIMER1n_PWM_MODE ) || ( TimerCounterMode == TIMER1n_ONESHOT_MODE ) ) + { + pPwmOneshotCfg = ( TIMER1n_PWMCFG_Type* )TIMER1n_Config; + + reg_val16 = 0 + | TIMER1n_CR_CKSEL_SET( pPwmOneshotCfg->CkSel ) + | TIMER1n_CR_MODE_SET( TimerCounterMode ) + | TIMER1n_CR_STARTLVL_SET( pPwmOneshotCfg->StartLevel ) + ; + if( pPwmOneshotCfg->CkSel == 1 ) + { + reg_val16 = reg_val16 | TIMER1n_CR_ECE_SET( pPwmOneshotCfg->ECE ); + } + TIMER1x->CR = reg_val16; + + TIMER1x->PREDR = ( ( pPwmOneshotCfg->Prescaler - 1 ) & TIMER1n_PRS_MASK ); + TIMER1x->ADR = pPwmOneshotCfg->ADR; + TIMER1x->BDR = pPwmOneshotCfg->BDR; + } + else if( TimerCounterMode == TIMER1n_CAPTURE_MODE ) + { + pCaptureCfg = ( TIMER1n_CAPTURECFG_Type* )TIMER1n_Config; + + reg_val16 = 0 + | TIMER1n_CR_CKSEL_SET( pCaptureCfg->CkSel ) + | TIMER1n_CR_MODE_SET( TimerCounterMode ) + | TIMER1n_CR_CPOL_SET( pCaptureCfg->ClrMode ) + ; + if( pCaptureCfg->CkSel == 1 ) + { + reg_val16 = reg_val16 | TIMER1n_CR_ECE_SET( pCaptureCfg->ECE ); + } + TIMER1x->CR = reg_val16; + + TIMER1x->PREDR = ( ( pCaptureCfg->Prescaler - 1 ) & TIMER1n_PRS_MASK ); + TIMER1x->ADR = pCaptureCfg->ADR; + } + TIMER1x->CR |= 0x1; // timer counter clear + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Close Timer/Counter device + * @param[in] TIMER1x + * Pointer to the target TIMER1 + * - TIMER10 ~ TIMER16 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER1n_DeInit( TIMER1n_Type* TIMER1x ) +{ + /* Check TIMER1 handle */ + if( TIMER1x == NULL ) + { + return HAL_ERROR; + } + + // Disable timer/counter + TIMER1x->CR = 0x00; + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER10 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T10CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER11 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T11CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER12 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T12CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER13 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T13CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER14 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T14CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER15 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T15CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( TIMER1x == ( TIMER1n_Type* )TIMER16 ) + { + HAL_SCU_Peripheral_EnableClock1( PPCLKEN1_T16CLKE, PPxCLKE_Disable ); + } +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] TIMER1x + * Pointer to the target TIMER1 + * - TIMER10 ~ TIMER16 + * @param[in] TIMER1n_IntCfg + * Specifies the interrupt flag + * - TIMER1n_INTCFG_MIE: OVIE Interrupt enable + * - TIMER1n_INTCFG_CIE: MBIE Interrupt enable + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER1n_ConfigInterrupt( TIMER1n_Type* TIMER1x, TIMER1n_INT_Type TIMER1n_IntCfg, FunctionalState NewState ) +{ + uint8_t tmp; + + /* Check TIMER1 handle */ + if( TIMER1x == NULL ) + { + return HAL_ERROR; + } + + switch( TIMER1n_IntCfg ) + { + case TIMER1n_INTCFG_MIE: + tmp = TIMER1n_MATINTEN; + break; + case TIMER1n_INTCFG_CIE: + tmp = TIMER1n_CAPINTEN; + break; + } + + if( NewState == ENABLE ) + { + TIMER1x->CR |= tmp; + } + else + { + TIMER1x->CR &= ( ~tmp ); + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Start/Stop Timer/Counter device + * @param[in] TIMER1x + * Pointer to the target TIMER1 + * - TIMER10 ~ TIMER16 + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER1n_Cmd( TIMER1n_Type* TIMER1x, FunctionalState NewState ) +{ + /* Check TIMER1 handle */ + if( TIMER1x == NULL ) + { + return HAL_ERROR; + } + + if( NewState == ENABLE ) + { + TIMER1x->CR |= TIMER1n_ENABLE; + } + else + { + TIMER1x->CR &= ~TIMER1n_ENABLE; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set TIMER1n CR/PREDR Registers + * @param[in] TIMER1x + * Pointer to the target TIMER1 + * - TIMER10 ~ TIMER16 + * @param[in] u32T1nSet + * TIMER1n Control Register Setting Data + * @param[in] u32T1nClk + * TIMER1n Prescaler Data + * @return @ref HAL_Status_Type + * @details This function sets the mode, external clock edge, Timer out polarity, + * Capture Polarity and Timer match/capture interrupt. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER1n_SetRegister( TIMER1n_Type* TIMER1x, uint32_t u32T1nSet, uint32_t u32T1nClk ) +{ + /* Check TIMER1 handle */ + if( TIMER1x == NULL ) + { + return HAL_ERROR; + } + + TIMER1x->CR = u32T1nSet; // Setting TIMER1n Control Register + + TIMER1x->PREDR = u32T1nClk; // Setting TIMER1n Prescaler data + + return HAL_OK; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer2n.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer2n.c new file mode 100644 index 0000000..fad6eea --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer2n.c @@ -0,0 +1,357 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer2n.c +* @brief Contains all functions support for timer2n firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_timer2n.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the TIMER2n peripheral with the specified parameters. + * - Set Clock frequency for Timer + * - Set initial configuration for Timer + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @param[in] TimerCounterMode + * Timer Counter Mode + * - TIMER2n_PERIODIC_MODE: Timer mode + * - TIMER2n_PWM_MODE: Counter rising mode + * - TIMER2n_ONESHOT_MODE: Counter falling mode + * - TIMER2n_CAPTURE_MODE: Counter on both edges + * @param[in] TIMER2n_Config + * Pointer to the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_Init( TIMER2n_Type* TIMER2x, TIMER2n_MODE_OPT TimerCounterMode, void* TIMER2n_Config ) +{ + TIMER2n_PERIODICCFG_Type* pTimeCfg; + TIMER2n_PWMCFG_Type* pPwmOneshotCfg; + TIMER2n_CAPTURECFG_Type* pCaptureCfg; + uint16_t reg_val16; + + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( TIMER2x == ( TIMER2n_Type* )TIMER20 ) + { + SCUCG->PPCLKEN1_b.T20CLKE = 1; + } +#endif + +#if 1 // supported + if( TIMER2x == ( TIMER2n_Type* )TIMER21 ) + { + SCUCG->PPCLKEN1_b.T21CLKE = 1; + } +#endif + + if( TimerCounterMode == TIMER2n_PERIODIC_MODE ) + { + pTimeCfg = ( TIMER2n_PERIODICCFG_Type* )TIMER2n_Config; + + SCUCG->PPCLKSR_b.T20CLK = pTimeCfg->TCLK_SEL; + reg_val16 = 0 + | ( TimerCounterMode << 12 ) + | TIMER2n_CR_CLK_SET( pTimeCfg->CkSel ) + | TIMER2n_CR_ECE_SET( pTimeCfg->ExtCkEdge ) + | TIMER2n_CR_OPOL_SET( pTimeCfg->StartLevel ) + | TIMER2n_CR_CPOL_SET( pTimeCfg->CapEdge ) + ; + TIMER2x->CR = reg_val16; + TIMER2x->PREDR = ( ( pTimeCfg->Prescaler - 1 ) & TIMER2n_PREDR_MASK ); + TIMER2x->ADR = pTimeCfg->ADR; + TIMER2x->BDR = pTimeCfg->BDR; + } + else if( ( TimerCounterMode == TIMER2n_PWM_MODE ) || ( TimerCounterMode == TIMER2n_ONESHOT_MODE ) ) + { + pPwmOneshotCfg = ( TIMER2n_PWMCFG_Type* )TIMER2n_Config; + + SCUCG->PPCLKSR_b.T20CLK = pPwmOneshotCfg->TCLK_SEL; + reg_val16 = 0 + | ( TimerCounterMode << 12 ) + | TIMER2n_CR_CLK_SET( pPwmOneshotCfg->CkSel ) + | TIMER2n_CR_ECE_SET( pPwmOneshotCfg->ExtCkEdge ) + | TIMER2n_CR_OPOL_SET( pPwmOneshotCfg->StartLevel ) + | TIMER2n_CR_CPOL_SET( pPwmOneshotCfg->CapEdge ) + ; + TIMER2x->CR = reg_val16; + TIMER2x->PREDR = ( ( pPwmOneshotCfg->Prescaler - 1 ) & TIMER2n_PREDR_MASK ); + TIMER2x->ADR = pPwmOneshotCfg->ADR; + TIMER2x->BDR = pPwmOneshotCfg->BDR; + } + else if( TimerCounterMode == TIMER2n_CAPTURE_MODE ) + { + pCaptureCfg = ( TIMER2n_CAPTURECFG_Type* )TIMER2n_Config; + + SCUCG->PPCLKSR_b.T20CLK = pCaptureCfg->TCLK_SEL; + reg_val16 = 0 + | ( TimerCounterMode << 12 ) + | TIMER2n_CR_CLK_SET( pCaptureCfg->CkSel ) + | TIMER2n_CR_CPOL_SET( pCaptureCfg->ClrMode ) + | TIMER2n_CR_CAPSEL_SET( pCaptureCfg->CAPCkSel ) + ; + TIMER2x->CR = reg_val16; + TIMER2x->PREDR = ( ( pCaptureCfg->Prescaler - 1 ) & TIMER2n_PREDR_MASK ); + } + + TIMER2x->CR_b.T2nCLR = TIMER2n_CLEAR; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Close Timer/Counter device + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_DeInit( TIMER2n_Type* TIMER2x ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + // Disable timer/counter + TIMER2x->CR_b.T2nEN = 0; + +#if 1 // supported + if( TIMER2x == ( TIMER2n_Type* )TIMER20 ) + { + SCUCG->PPCLKEN1_b.T20CLKE = 0; + } +#endif + +#if 1 // supported + if( TIMER2x == ( TIMER2n_Type* )TIMER21 ) + { + SCUCG->PPCLKEN1_b.T21CLKE = 0; + } +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @param[in] TIMER2n_IntCfg + * Specifies the interrupt flag + * - TIMER2n_CR_MATCH_INTR: Match interrupt enable + * - TIMER2n_CR_CAPTURE_INTR: Capture interrupt enable + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_ConfigInterrupt( TIMER2n_Type* TIMER2x, TIMER2n_INT_Type TIMER2n_IntCfg, FunctionalState NewState ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + switch( TIMER2n_IntCfg ) + { + case TIMER2n_CR_MATCH_INTR: + if( NewState == ENABLE ) + { + TIMER2x->CR_b.T2nMIEN = 1; + } + else if( NewState == DISABLE ) + { + TIMER2x->CR_b.T2nMIEN = 0; + } + break; + case TIMER2n_CR_CAPTURE_INTR: + if( NewState == ENABLE ) + { + TIMER2x->CR_b.T2nCIEN = 1; + } + else if( NewState == DISABLE ) + { + TIMER2x->CR_b.T2nCIEN = 0; + } + break; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Start/Stop Timer/Counter device + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_Cmd( TIMER2n_Type* TIMER2x, FunctionalState NewState ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + if( NewState == ENABLE ) + { + TIMER2x->CR_b.T2nEN = TIMER2n_ENABLE; + } + else + { + TIMER2x->CR_b.T2nEN = TIMER2n_DISABLE; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear Timer/Counter device, + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_ClearCounter( TIMER2n_Type* TIMER2x ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + TIMER2x->CR_b.T2nCLR |= TIMER2n_CLEAR; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Update value + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @param[in] CountCh + * - 0 = GRA + * - 1 = GRB + * @param[in] Value + * updated match value + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_UpdateCountValue( TIMER2n_Type* TIMER2x, uint8_t CountCh, uint16_t Value ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + switch( CountCh ) + { + case 0: + TIMER2x->ADR = Value; + break; + case 1: + TIMER2x->BDR = Value; + break; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear Timer Status + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @param[in] value + * clear value + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER2n_ClearStatus( TIMER2n_Type* TIMER2x, uint8_t value ) +{ + /* Check TIMER2 handle */ + if( TIMER2x == NULL ) + { + return HAL_ERROR; + } + + TIMER2x->CR |= ( 0xD & value ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get Timer Status + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @return Value of status register + *//*-------------------------------------------------------------------------*/ +uint8_t HAL_TIMER2n_GetStatus( TIMER2n_Type* TIMER2x ) +{ + return TIMER2x->CR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Read value of capture register in timer/counter device + * @param[in] TIMER2x + * Pointer to the target TIMER2 + * - TIMER20 ~ TIMER21 + * @return Value of count register + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_TIMER2n_GetCaptureData( TIMER2n_Type* TIMER2x ) +{ + return TIMER2x->CAPDR; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer3n.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer3n.c new file mode 100644 index 0000000..ef073e1 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_timer3n.c @@ -0,0 +1,498 @@ +/***************************************************************************//** +* @file A31G12x_hal_timer3n.c +* @brief Contains all functions support for timer3n firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_timer3n.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the TIMER3n peripheral with the specified parameters. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] TIMER3n_Config + * Pointer to the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_Init( TIMER3n_Type* TIMER3x, TIMER3n_CFG_Type* TIMER3n_Config ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( TIMER3x == ( TIMER3n_Type* )TIMER30 ) + { + // enable peripheral clock + SCUCG->PPCLKEN1_b.T30CLKE = 1; + } +#endif + +#if 0 // not supported + if( TIMER3x == ( TIMER3n_Type* )TIMER31 ) + { + // enable peripheral clock + SCUCG->PPCLKEN1_b.T31CLKE = 1; + } +#endif + + // CR + TIMER3x->CR = 0 + | ( TIMER3n_Config->T3nMS << TIMER3n_CR_T3nMS_Pos ) + | ( TIMER3n_Config->T3nCLK << TIMER3n_CR_T3nCLK_Pos ) + | ( TIMER3n_Config->T3nECE << TIMER3n_CR_T3nECE_Pos ) + | ( TIMER3n_Config->T3nCPOL << TIMER3n_CR_T3nCPOL_Pos ) + ; + + // PDR + TIMER3x->PDR = TIMER3n_Config->PDR; + + // ADR & BDR & CDR + TIMER3x->ADR = TIMER3n_Config->ADR; + TIMER3x->BDR = TIMER3n_Config->BDR; + TIMER3x->CDR = TIMER3n_Config->CDR; + + // PREDR + TIMER3x->PREDR = TIMER3n_Config->Prescaler; + + // clear counter and prescaler + TIMER3n_ClearCounter( TIMER3x ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Close Timer/Counter device + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_DeInit( TIMER3n_Type* TIMER3x ) +{ + /* Check TIMER2 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + // Disable timer/counter + TIMER3x->CR_b.T3nEN = 0; + +#if 1 // supported + if( TIMER3x == ( TIMER3n_Type* )TIMER30 ) + { + // disable peripheral clock + SCUCG->PPCLKEN1_b.T30CLKE = 0; + } +#endif + +#if 0 // not supported + if( TIMER3x == ( TIMER3n_Type* )TIMER31 ) + { + // disable peripheral clock + SCUCG->PPCLKEN1_b.T31CLKE = 0; + } +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Interrupt Control Register + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @param[in] USART3n_IntCfg + * Interrupt Sourtce Setting + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_ConfigInterrupt( TIMER3n_Type* TIMER3x, uint32_t NewState, uint32_t USART3n_IntCfg ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + if( NewState == ENABLE ) + { + TIMER3x->INTCR |= USART3n_IntCfg; + } + else + { + TIMER3x->INTCR &= ( ~USART3n_IntCfg ) & 0x7f; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief TIMER3n PWM Mode Setting (Initial : Back to Back Mode, Internal Clock, 6channel Mode) + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] updatedata + * PWM Duty Update Timing + * @param[in] intcount + * Period Match Interrupt Occurence Seletion + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_MPWMCmd( TIMER3n_Type* TIMER3x, uint32_t updatedata, uint32_t intcount ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->CR = 0 + | TIMER3n_CLKINT + | TIMER3n_BTOB + | TIMER3n_6CHMOD + | updatedata + | intcount + | 1 // Clear TIMER3n & Precaler + ; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable or Disable PWM start + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_Start( TIMER3n_Type* TIMER3x, uint32_t NewState ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + if( NewState == TIMER3n_ENABLE ) + { + TIMER3x->CR |= TIMER3n_ENABLE; + } + else + { + TIMER3x->CR &= ~TIMER3n_ENABLE; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief PWM Output Port Control Register (Initial : 6channel enable, output low) + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @param[in] pwmApol + * Timer 30xA Output Polarity Selection + * @param[in] pwmBpol + * Timer 30xB Output Polarity Selection + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_OutputCtrl( TIMER3n_Type* TIMER3x, uint32_t NewState, uint32_t pwmApol, uint32_t pwmBpol ) +{ + uint32_t temp; + + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + temp = 0 + | ( ( uint32_t )TIMER30_T30_OUTCR_WTIDKY_Value << TIMER30_OUTCR_WTIDKY_Pos ) + | ( pwmApol | pwmBpol ) + ; + if( NewState == ENABLE ) + { + temp |= ( 0x3f << 8 ); + } + + TIMER3x->OUTCR = temp; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set Prescaler data. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] prescale + * Timer30 Prescaler Value + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_ClockPrescaler( TIMER3n_Type* TIMER3x, uint32_t prescale ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->PREDR = ( prescale & 0x0fff ); // period. it sould be larger than 0x10 + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set period data. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] period + * MPWM period data + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetPeriod( TIMER3n_Type* TIMER3x, uint32_t period ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->PDR = ( period & 0xffff ); // period. it sould be larger than 0x10 + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set duty A data. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] aduty + * Timer30 Aduty data + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetADuty( TIMER3n_Type* TIMER3x, uint32_t aduty ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->ADR = ( ( aduty ) & 0xffff ); // if using I/O control function, set period data + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set duty B data. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] bduty + * Timer30 Bduty data + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetBDuty( TIMER3n_Type* TIMER3x, uint32_t bduty ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->BDR = ( ( bduty ) & 0xffff ); // if using I/O control function, set period data + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set duty C data. + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] cduty + * Timer30 Cduty data + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetCDuty( TIMER3n_Type* TIMER3x, uint32_t cduty ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->CDR = ( ( cduty ) & 0xffff ); // if using I/O control function, set period data + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set dead time (delay time) + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] dten + * dead time enable + * @param[in] dtpos + * dead timer position (front or back) + * @param[in] clkdata + * dead time + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetDelayTime( TIMER3n_Type* TIMER3x, uint32_t dten, uint32_t dtpos, uint32_t clkdata ) +{ + uint32_t temp; + + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + temp = TIMER3x->CR; + temp &= ~( 0x03 << 8 ); + temp |= dten | dtpos; + TIMER3x->CR = temp; + + TIMER3x->DLY = clkdata & 0x03ff; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set HIZCR Register + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] u32T30HizSet + * Timer 30 Output High-Impedance Setting Data + * @return @ref HAL_Status_Type + * @details This function sets the Timer 30 HIZ/ADT Control Register + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetHizReg( TIMER3n_Type* TIMER3x, uint32_t u32T30HizSet ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->HIZCR = u32T30HizSet; //Setting Timer 30 High-Impedance Control Register + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set ADC Tirgger Source & Timing + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] u32triggerpoint + * Timer 30 Output High-Impedance Setting Data + * @param[in] u32triggertime + * Timer 30 A/DC Trigger Setting Data + * @return @ref HAL_Status_Type + * @details This function sets the Timer 30 HIZ/ADT Control Register + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_SetADCTrigger( TIMER3n_Type* TIMER3x, uint32_t u32triggerpoint, uint32_t u32triggertime ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->ADTCR = u32triggerpoint; //Setting Timer 30 A/DC Trigger Control Register + TIMER3x->ADTDR = u32triggertime; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Interrupt Flag Clear + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @param[in] USART3n_IntCfg + * Select clear interrupt + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_TIMER3n_ClearStatus_IT( TIMER3n_Type* TIMER3x, uint32_t USART3n_IntCfg ) +{ + /* Check TIMER3 handle */ + if( TIMER3x == NULL ) + { + return HAL_ERROR; + } + + TIMER3x->INTFLAG = USART3n_IntCfg; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get Interrupt Flag + * @param[in] TIMER3x + * Pointer to the target TIMER3 + * - TIMER30 + * @return Interrupt Flag + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_TIMER3n_GetStatus_IT( TIMER3n_Type* TIMER3x ) +{ + return TIMER3x->INTFLAG; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_uartn.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_uartn.c new file mode 100644 index 0000000..b9acd64 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_uartn.c @@ -0,0 +1,671 @@ +/***************************************************************************//** +* @file A31G12x_hal_uartn.c +* @brief Contains all functions support for uartn firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_scu.h" +#include "A31G12x_hal_uartn.h" + +//****************************************************************************** +// Variable +//****************************************************************************** + +static uint32_t UARTn_BaseClock; + +char InData[80]; +int InFlag; +int InCount; + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Determines best dividers to get a target clock rate + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] baudrate + * Desired baudrate + * @return None + *//*-------------------------------------------------------------------------*/ +static void uart_set_divisors( UARTn_Type* UARTx, uint32_t baudrate ) +{ + uint32_t numerator; + uint32_t denominator; + uint32_t bdr, bfr; + uint32_t fd; + + //-------------------------------------- + // bdr = UARTn_BaseClock / (16 * baudrate * 2) + //-------------------------------------- + numerator = UARTn_BaseClock; + denominator = 16 * baudrate * 2; + bdr = numerator / denominator; + + //-------------------------------------- + // fd = numerator - bdr * denominator + // bfr = (numerator / denominator - bdr) * 256 + // = (numerator - bdr * denominator) * 256 / denominator + // = fd * 256 / denominator + //-------------------------------------- + fd = numerator - bdr * denominator; + bfr = ( fd * 256 ) / denominator; + UARTx->BDR = ( uint16_t )( bdr & 0xffff ); + UARTx->BFR = ( uint8_t )( bfr & 0xff ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the UARTn peripheral with the specified parameters. + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] UARTn_Config + * Pointer to a UARTn_CFG_Type structure + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_Init( UARTn_Type* UARTx, UARTn_CFG_Type* UARTn_Config ) +{ + uint8_t tmp; + + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( UARTx == ( UARTn_Type* )UART0 ) + { + /* Set up peripheral clock for UART0 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UT0CLKE, PPxCLKE_Enable ); + } +#endif + +#if 1 // supported + if( UARTx == ( UARTn_Type* )UART1 ) + { + /* Set up peripheral clock for UART1 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UT1CLKE, PPxCLKE_Enable ); + } +#endif + + // Dummy reading + while( UARTx->LSR & UARTn_LSR_RDR ) + { + tmp = UARTx->RBR; + } + // Wait for current transmit complete + while( !( UARTx->LSR & UARTn_LSR_THRE ) ); + + // Disable interrupt + UARTx->IER = 0; + // Set LCR, DCR to default state + UARTx->LCR = 0; + UARTx->DCR = 0; + // Dummy reading + tmp = UARTx->LSR; + tmp = UARTx->IIR; + + // uart clock set + // SCU->MCCR7 &=0xffff0000; + // SCU->MCCR7 |=((4<<8)|(2)); // MCLK + UARTn_BaseClock = SystemPeriClock; + + // Set Line Control register ---------------------------- + uart_set_divisors( UARTx, ( UARTn_Config->Baudrate ) ); + + tmp = ( UARTx->LCR & UARTn_LCR_BREAK_EN ) & UARTn_LCR_BITMASK; + + switch( UARTn_Config->Databits ) + { + case UARTn_DATA_BIT_5: + tmp |= UARTn_LCR_WLEN5; + break; + case UARTn_DATA_BIT_6: + tmp |= UARTn_LCR_WLEN6; + break; + case UARTn_DATA_BIT_7: + tmp |= UARTn_LCR_WLEN7; + break; + case UARTn_DATA_BIT_8: + default: + tmp |= UARTn_LCR_WLEN8; + break; + } + + if( UARTn_Config->Parity == UARTn_PARITY_BIT_NONE ) + { + // Do nothing... + } + else + { + tmp |= UARTn_LCR_PARITY_EN; + switch( UARTn_Config->Parity ) + { + case UARTn_PARITY_BIT_ODD: + tmp |= UARTn_LCR_PARITY_ODD; + break; + + case UARTn_PARITY_BIT_EVEN: + tmp |= UARTn_LCR_PARITY_EVEN; + break; + + case UARTn_PARITY_BIT_SP_1: + tmp |= UARTn_LCR_PARITY_F_1; + break; + + case UARTn_PARITY_BIT_SP_0: + tmp |= UARTn_LCR_PARITY_F_0; + break; + default: + break; + } + } + + switch( UARTn_Config->Stopbits ) + { + case UARTn_STOP_BIT_2: + tmp |= UARTn_LCR_STOPBIT_SEL; + break; + case UARTn_STOP_BIT_1: + default: + // Do no thing + break; + } + + UARTx->LCR = ( uint8_t )( tmp & UARTn_LCR_BITMASK ); + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Deinitialize the UARTn peripheral registers to their default reset values. + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_DeInit( UARTn_Type* UARTx ) +{ + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( UARTx == ( UARTn_Type* )UART0 ) + { + /* Set up peripheral clock for UART0 module */ + HAL_SCU_Peripheral_SetReset2( 1 << 2 ); + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UT0CLKE, PPxCLKE_Disable ); + } +#endif + +#if 1 // supported + if( UARTx == ( UARTn_Type* )UART1 ) + { + /* Set up peripheral clock for UART1 module */ + HAL_SCU_Peripheral_SetReset2( 1 << 3 ); + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UT1CLKE, PPxCLKE_Disable ); + } +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Fills each UARTn_Config member with its default value: + * - 38400 bps + * - 8 Data Bit + * - No Parity Bit + * - 1 Stop Bit + * @param[out] UARTn_Config + * Pointer to a UARTn_CFG_Type structure which will be initialized. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_ConfigStructInit( UARTn_CFG_Type* UARTn_Config ) +{ + /* Check UARTn_Config */ + if( UARTn_Config == NULL ) + { + return HAL_ERROR; + } + + UARTn_Config->Baudrate = 38400; + UARTn_Config->Databits = UARTn_DATA_BIT_8; + UARTn_Config->Parity = UARTn_PARITY_BIT_NONE; + UARTn_Config->Stopbits = UARTn_STOP_BIT_1; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] UARTn_IntCfg + * Specifies the interrupt flag + * - UARTn_INTCFG_RBR: RBR Interrupt enable + * - UARTn_INTCFG_THRE: THR Interrupt enable + * - UARTn_INTCFG_RLS: RX line status interrupt enable + * - UARTn_INTCFG_DRX: THR Interrupt enable + * - UARTn_INTCFG_DTX: RX line status interrupt enable + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_ConfigInterrupt( UARTn_Type* UARTx, UARTn_INT_Type UARTn_IntCfg, FunctionalState NewState ) +{ + uint32_t tmp; + + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + + switch( UARTn_IntCfg ) + { + case UARTn_INTCFG_RBR: + tmp = UARTn_IER_RBRINT_EN; + break; + case UARTn_INTCFG_THRE: + tmp = UARTn_IER_THREINT_EN; + break; + case UARTn_INTCFG_RLS: + tmp = UARTn_IER_RLSINT_EN; + break; + case UARTn_INTCFG_TXE: + tmp = UARTn_IER_TXE_EN; + break; + } + + if( NewState == ENABLE ) + { + UARTx->IER |= tmp; + } + else + { + UARTx->IER &= ( ~tmp ) & UARTn_IER_BITMASK; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure Data Control mode for UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * @param[in] Mode + * Data Control Mode + * - UARTn_DATA_CONTROL_LOOPBACK: Loop back mode. + * - UARTn_DATA_CONTROL_RXINV: RX Data inversion mode. + * - UARTn_DATA_CONTROL_TXINV: TX Data inversion mode. + * - UARTn_DATA_CONTROL_RTXINV: TX RX Data inversion mode. + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_DataControlConfig( UARTn_Type* UARTx, UARTn_DATA_CONTROL_Type Mode, FunctionalState NewState ) +{ + uint8_t tmp; + + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + + switch( Mode ) + { + case UARTn_DATA_CONTROL_LOOPBACK: + tmp = UARTn_DCR_LBON; + break; + case UARTn_DATA_CONTROL_RXINV: + tmp = UARTn_DCR_RXINV; + break; + case UARTn_DATA_CONTROL_TXINV: + tmp = UARTn_DCR_TXINV; + break; + case UARTn_DATA_CONTROL_RTXINV: + tmp = UARTn_DCR_RXINV | UARTn_DCR_TXINV; + break; + default: + break; + } + + if( NewState == ENABLE ) + { + UARTx->DCR |= tmp; + } + else + { + UARTx->DCR &= ( ~tmp ) & UARTn_DCR_BITMASK; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure inter-frame delay time for UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * @param[in] waitval + * inter-frame delay time: 1 bit time unit (0~7) + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_IFDelayConfig( UARTn_Type* UARTx, uint8_t waitval ) +{ + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + + if( waitval < 8 ) + { + UARTx->IDTR = waitval; + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Force BREAK character on UART line, output pin UARTn TXD is + * forced to logic 0. + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_ForceBreak( UARTn_Type* UARTx ) +{ + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + + UARTx->LCR |= UARTn_LCR_BREAK_EN; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief This function returns the current value of Line Status Register. + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return Current value of Line Status register in UART peripheral. + * @note The return value of this function must be ANDed with each member in + * UART_LS_Type enumeration to determine current flag status + * corresponding to each Line status type. Because some flags in + * Line Status register will be cleared after reading, the next reading + * Line Status register could not be correct. So this function used to + * read Line status register in one time only, then the return value + * used to check all flags. + *//*-------------------------------------------------------------------------*/ +uint8_t HAL_UART_GetLineStatus( UARTn_Type* UARTx ) +{ + return ( ( UARTx->LSR ) & UARTn_LSR_BITMASK ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Check whether if UART is busy or not + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return RESET if UART is not busy, otherwise return SET. + *//*-------------------------------------------------------------------------*/ +FlagStatus HAL_UART_CheckBusy( UARTn_Type* UARTx ) +{ + if( UARTx->LSR & UARTn_LSR_TEMT ) + { + return RESET; + } + else + { + return SET; + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Transmit a single data through UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] Data + * Data to transmit (must be 8-bit long) + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_UART_TransmitByte( UARTn_Type* UARTx, uint8_t Data ) +{ + /* Check UART handle */ + if( UARTx == NULL ) + { + return HAL_ERROR; + } + + UARTx->THR = Data; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive a single data from UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @return Data received + *//*-------------------------------------------------------------------------*/ +uint8_t HAL_UART_ReceiveByte( UARTn_Type* UARTx ) +{ + return UARTx->RBR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Send a block of data via UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[in] txbuf + * Pointer to Transmit buffer + * @param[in] buflen + * Length of Transmit buffer + * @param[in] flag + * Flag used in UART transfer + * - NONE_BLOCKING + * - BLOCKING + * @return Number of bytes sent. + * @note when using UART in BLOCKING mode, + * a time-out condition is used via defined symbol UARTn_BLOCKING_TIMEOUT. + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_UART_Transmit( UARTn_Type* UARTx, uint8_t* txbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ) +{ + uint32_t bToSend, bSent, timeOut; + uint8_t* pChar = txbuf; + + // init counter + bToSend = buflen; + bSent = 0; + + // Blocking Mode + if( flag == BLOCKING ) + { + while( bToSend ) + { + // wait until tx data register is empty with timeout + timeOut = UARTn_BLOCKING_TIMEOUT; + while( !( UARTx->LSR & UARTn_LSR_THRE ) ) + { + if( timeOut == 0 ) + { + break; + } + timeOut--; + } + + // if timeout + if( timeOut == 0 ) + { + break; + } + + // send byte + HAL_UART_TransmitByte( UARTx, ( *pChar++ ) ); + + // update counter + bToSend--; + bSent++; + } + + // wait until previous transmission is complete + while( UARTx->LSR_b.TEMT == 0 ); // Polling Only + } + + // Non-Blocking Mode + else + { + while( bToSend ) + { + // if tx data register is not empty + if( !( UARTx->LSR & UARTn_LSR_THRE ) ) + { + break; + } + + // send byte + HAL_UART_TransmitByte( UARTx, ( *pChar++ ) ); + + // update counter + bToSend--; + bSent++; + } + } + + // return + return bSent; +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive a block of data via UART peripheral + * @param[in] UARTx + * Pointer to the target UART + * - UART0 ~ UART1 + * @param[out] rxbuf + * Pointer to Received buffer + * @param[in] buflen + * Length of Received buffer + * @param[in] flag + * Flag mode + * - NONE_BLOCKING + * - BLOCKING + * @return Number of bytes received + * @note when using UART in BLOCKING mode, + * a time-out condition is used via defined symbol UARTn_BLOCKING_TIMEOUT. + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_UART_Receive( UARTn_Type* UARTx, uint8_t* rxbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ) +{ + uint32_t bToRecv, bRecv, timeOut; + uint8_t* pChar = rxbuf; + + // init counter + bToRecv = buflen; + bRecv = 0; + + // Blocking Mode + if( flag == BLOCKING ) + { + while( bToRecv ) + { + // wait until data are received with timeout + timeOut = UARTn_BLOCKING_TIMEOUT; + while( !( UARTx->LSR & UARTn_LSR_RDR ) ) + { + if( timeOut == 0 ) + { + break; + } + timeOut--; + } + + // if timeout + if( timeOut == 0 ) + { + break; + } + + // receive byte + ( *pChar++ ) = HAL_UART_ReceiveByte( UARTx ); + + // update counter + bToRecv--; + bRecv++; + } + } + + // Non-Blocking Mode + else + { + while( bToRecv ) + { + // if no data were received + if( !( UARTx->LSR & UARTn_LSR_RDR ) ) + { + break; + } + + // receive byte + ( *pChar++ ) = HAL_UART_ReceiveByte( UARTx ); + + // update counter + bToRecv--; + bRecv++; + } + } + + // return + return bRecv; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_usart1n.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_usart1n.c new file mode 100644 index 0000000..e44a338 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_usart1n.c @@ -0,0 +1,781 @@ +/***************************************************************************//** +* @file A31G12x_hal_usart1n.c +* @brief Contains all functions support for usart1n firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_scu.h" +#include "A31G12x_hal_usart1n.h" + +//****************************************************************************** +// Variable +//****************************************************************************** + +uint32_t USART1n_BaseClock; + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Determines best dividers to get a target clock rate + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] mode + * - USART1n_UART_MODE + * - USART1n_USRT_MODE + * - USART1n_SPI_MODE + * @param[in] baudrate + * Desired baudrate + * @return None + *//*-------------------------------------------------------------------------*/ +static void usart_set_divisors( USART1n_Type* USART1x, uint32_t mode, uint32_t baudrate ) +{ + uint32_t numerator; + uint32_t denominator; + uint32_t n; + uint32_t bdr; + + if( mode == USART1n_UART_MODE ) + { + // baudrate = PCLK / (16 * (bdr + 1)) + // bdr = (PCLK / (16 * baudrate)) - 1 + n = 16; + } + else + { + // baudrate = PCLK / (2 * (bdr + 1)) + // bdr = (PCLK / (2 * baudrate)) - 1 + n = 2; + } + + //-------------------------------------- + // numerator & denominator + // + // bdr = USART1n_BaseClock / n / baudrate - 1 + //-------------------------------------- + numerator = USART1n_BaseClock; + denominator = baudrate; + + bdr = numerator / n / denominator - 1; + + USART1x->BDR = ( uint16_t )( bdr & 0xffff ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the USART1n peripheral with the specified parameters. + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] USART1n_Config + * Pointer to a USART1n_CFG_Type structure + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_Init( USART1n_Type* USART1x, USART1n_CFG_Type* USART1n_Config ) +{ + uint32_t tmp; + + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + +#if 1 // supported + if( USART1x == ( USART1n_Type* )USART10 ) + { + /* Set up peripheral clock for USART10 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UST10CLKE, PPxCLKE_Enable ); + HAL_SCU_Peripheral_SetReset2( 1 << 0 ); + } +#endif + +#if 1 // supported + if( USART1x == ( USART1n_Type* )USART11 ) + { + /* Set up peripheral clock for USART11 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UST11CLKE, PPxCLKE_Enable ); + HAL_SCU_Peripheral_SetReset2( 1 << 1 ); + } +#endif + +#if 1 // supported + if( USART1x == ( USART1n_Type* )USART12 ) + { + /* Set up peripheral clock for USART12 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UST12CLKE, PPxCLKE_Enable ); + HAL_SCU_Peripheral_SetReset2( 1 << 4 ); + } +#endif + +#if 1 // supported + if( USART1x == ( USART1n_Type* )USART13 ) + { + /* Set up peripheral clock for USART13 module */ + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_UST13CLKE, PPxCLKE_Enable ); + HAL_SCU_Peripheral_SetReset2( 1 << 5 ); + } +#endif + + USART1n_BaseClock = SystemPeriClock; + + usart_set_divisors( USART1x, USART1n_Config->Mode, USART1n_Config->Baudrate ); + + tmp = 0 + | ( ( USART1n_Config->Mode & 0x3 ) << USART1n_CR1_USTnMS_Pos ) + | ( ( USART1n_Config->Parity & 0x3 ) << USART1n_CR1_USTnP_Pos ) + | ( ( USART1n_Config->Databits & 0x7 ) << USART1n_CR1_USTnS_Pos ) + | ( ( USART1n_Config->Order & 0x1 ) << USART1n_CR1_ORDn_Pos ) + | ( ( USART1n_Config->ACK & 0x1 ) << USART1n_CR1_CPOLn_Pos ) + | ( ( USART1n_Config->Edge & 0x3 ) << USART1n_CR1_CPHAn_Pos ) + | ( 1 << USART1n_CR1_TXEn_Pos ) // Tx Enable + | ( 1 << USART1n_CR1_RXEn_Pos ) // Rx Enable + ; + + USART1x->CR1 = tmp; + + USART1x->CR2 &= ~( 1 << USART1n_CR2_USTnSB_Pos ); // USTnSB reset + USART1x->CR2 |= ( ( USART1n_Config->Stopbits & 0x1 ) << USART1n_CR2_USTnSB_Pos ); // USTnSB + USART1x->CR2 &= ~( 1 << USART1n_CR2_FXCHn_Pos ); // FXCHn reset + // USART1x->CR2 |= (1<Mode = USART1n_UART_MODE; + USART1n_Config->Baudrate = 38400; + USART1n_Config->Databits = USART1n_DATA_BIT_8; + USART1n_Config->Parity = USART1n_PARITY_BIT_NONE; + USART1n_Config->Stopbits = USART1n_STOP_BIT_1; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Fills each USART_InitStruct member with its default value: + * - 38400 bps + * - 8 Data Bit + * - No Parity Bit + * - 1 Stop Bit + * @param[out] USART1n_Config + * Pointer to a USART1n_CFG_Type structure which will be initialized. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_USRT_Mode_Config( USART1n_CFG_Type* USART1n_Config ) +{ + /* Check USART1n_Config */ + if( USART1n_Config == NULL ) + { + return HAL_ERROR; + } + + USART1n_Config->Mode = USART1n_USRT_MODE; + USART1n_Config->Baudrate = 38400; + USART1n_Config->Databits = USART1n_DATA_BIT_8; + USART1n_Config->Parity = USART1n_PARITY_BIT_NONE; + USART1n_Config->Stopbits = USART1n_STOP_BIT_1; + + //only SPI & Sync. Mode + USART1n_Config->Order = USART1n_SPI_LSB; +#if 0 // CPOLn : 0, CPHAn : 0 (X) + USART1n_Config->ACK = USART1n_SPI_TX_RISING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; +#endif +#if 1 // CPOLn : 0, CPHAn : 1 (O) + USART1n_Config->ACK = USART1n_SPI_TX_RISING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SETUP; +#endif + +#if 0 // CPOLn : 1, CPHAn : 0 (X) + USART1n_Config->ACK = USART1n_SPI_TX_FALLING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; +#endif + +#if 0 // CPOLn : 1, CPHAn : 1 (O) + USART1n_Config->ACK = USART1n_SPI_TX_FALLING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SETUP; +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Fills each USART_InitStruct member with its default value: + * - 38400 bps + * - 8 Data Bit + * - No Parity Bit + * - 1 Stop Bit + * @param[out] USART1n_Config + * Pointer to a USART1n_CFG_Type structure which will be initialized. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_SPI_Mode_Config( USART1n_CFG_Type* USART1n_Config ) +{ + /* Check USART1n_Config */ + if( USART1n_Config == NULL ) + { + return HAL_ERROR; + } + + USART1n_Config->Mode = USART1n_SPI_MODE; + USART1n_Config->Baudrate = 38400; + USART1n_Config->Databits = USART1n_DATA_BIT_8; + USART1n_Config->Parity = USART1n_PARITY_BIT_NONE; + USART1n_Config->Stopbits = USART1n_STOP_BIT_1; + + //only SPI & Sync. Mode + USART1n_Config->Order = USART1n_SPI_LSB; +#if 1 // CPOLn : 0, CPHAn : 0 (X) + USART1n_Config->ACK = USART1n_SPI_TX_RISING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; +#endif +#if 0 // CPOLn : 0, CPHAn : 1 (O) + USART1n_Config->ACK = USART1n_SPI_TX_RISING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SETUP; +#endif + +#if 0 // CPOLn : 1, CPHAn : 0 (X) + USART1n_Config->ACK = USART1n_SPI_TX_FALLING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SAMPLE; +#endif + +#if 0 // CPOLn : 1, CPHAn : 1 (O) + USART1n_Config->ACK = USART1n_SPI_TX_FALLING; + USART1n_Config->Edge = USART1n_SPI_TX_LEADEDGE_SETUP; +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] USART1n_IntCfg + * Specifies the interrupt flag + * - USART1n_INTCFG_DR: DR Interrupt enable + * - USART1n_INTCFG_TXC: TXC Interrupt enable + * - USART1n_INTCFG_RXC: RXC interrupt enable + * - USART1n_INTCFG_WAKE: WAKE Interrupt enable + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_ConfigInterrupt( USART1n_Type* USART1x, USART1n_INT_Type USART1n_IntCfg, FunctionalState NewState ) +{ + uint32_t tmp = 0; + + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + + switch( USART1n_IntCfg ) + { + case USART1n_INTCFG_WAKE : + tmp = USART1n_IER_WAKEINT_EN; + break; + case USART1n_INTCFG_RXC: + tmp = USART1n_IER_RXCINT_EN; + break; + case USART1n_INTCFG_TXC: + tmp = USART1n_IER_TXCINT_EN; + break; + case USART1n_INTCFG_DR: + tmp = USART1n_IER_DR_EN; + break; + } + + if( NewState == ENABLE ) + { + USART1x->CR1 |= tmp; + } + else + { + USART1x->CR1 &= ~( tmp & USART1n_IER_BITMASK ); + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure Data Control mode for USART peripheral + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] Mode + * Data Control Mode + * - UST_CR_USTEN: Activate USART1n Block by supplying. + * - UST_CR_DBLS: Selects receiver sampling rate. (only UART mode) + * - UST_CR_MASTER: Selects master or slave in SPIn or Synchronous mode and controls the direction of SCKn pin. + * - UST_CR_LOOPS: Control the Loop Back mode of USART1n for test mode. + * - UST_CR_DISSCK: In synchronous mode operation, selects the waveform of SCKn output. + * - UST_CR_USTSSEN: This bit controls the SSn pin operation. (only SPI mode) + * - UST_CR_FXCH: SPIn port function exchange control bit. (only SPI mode) + * - UST_CR_USTSB: Selects the length of stop bit in Asynchronous or Synchronous mode. + * - UST_CR_USTTX8: The ninth bit of data frame in Asynchronous or Synchronous mode of operation. Write this bit first before loading the USART1n_DR register. + * - UST_CR_USTRX8: The ninth bit of data frame in Asynchronous or Synchronous mode of operation. Read this bit first before reading the receive buffer (only UART mode) + * @param[in] NewState + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_DataControlConfig( USART1n_Type* USART1x, USART1n_CONTROL_Type Mode, FunctionalState NewState ) +{ + uint16_t tmp = 0; + + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + + switch( Mode ) + { + case USART1n_CONTROL_USTRX8: + tmp = USART1n_CR2_USTnRX8; + break; + case USART1n_CONTROL_USTTX8: + tmp = USART1n_CR2_USTnTX8; + break; + case USART1n_CONTROL_USTSB: + tmp = USART1n_CR2_USTnSB; + break; + case USART1n_CONTROL_FXCH: + tmp = USART1n_CR2_FXCHn; + break; + case USART1n_CONTROL_USTSSEN: + tmp = USART1n_CR2_USTnSSEN; + break; + case USART1n_CONTROL_DISSCK: + tmp = USART1n_CR2_DISSCKn; + break; + case USART1n_CONTROL_LOOPS: + tmp = USART1n_CR2_LOOPSn; + break; + case USART1n_CONTROL_MASTER: + tmp = USART1n_CR2_MASTERn; + break; + case USART1n_CONTROL_DBLS: + tmp = USART1n_CR2_DBLSn; + break; + case USART1n_CONTROL_USTEN: + tmp = USART1n_CR2_USTnEN; + break; + default: + break; + } + + if( NewState == ENABLE ) + { + USART1x->CR2 |= tmp; + } + else + { + USART1x->CR2 &= ~( tmp & USART1n_CR2_BITMASK ); + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief USART1n enable control + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] state + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_Enable( USART1n_Type* USART1x, FunctionalState state ) +{ + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + + if( state == ENABLE ) + { + USART1x->CR2 |= ( 1 << USART1n_CR2_USTnEN_Pos ); // USTnEN + } + else + { + USART1x->CR2 &= ~( 1 << USART1n_CR2_USTnEN_Pos ); // USTnEN + } + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear Status register in USART peripheral. + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] Status + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_ClearStatus( USART1n_Type* USART1x, USART1n_STATUS_Type Status ) +{ + uint32_t tmp; + + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + + switch( Status ) + { + case USART1n_STATUS_WAKE: + tmp = USART1n_SR_WAKE; + break; + case USART1n_STATUS_RXC: + tmp = USART1n_SR_RXC; + break; + case USART1n_STATUS_TXC: + tmp = USART1n_SR_TXC; + break; + case USART1n_STATUS_DRE: + tmp = USART1n_SR_DRE; + break; + default: + return HAL_ERROR; + } + + USART1x->ST = tmp; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get current value of Line Status register in USART peripheral. + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @return Current value of Status register in USART peripheral. + *//*-------------------------------------------------------------------------*/ +uint8_t HAL_USART_GetStatus( USART1n_Type* USART1x ) +{ + return ( ( USART1x->ST ) & USART1n_SR_BITMASK ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Check whether if USART is busy or not + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @return RESET if USART is not busy, otherwise return SET. + *//*-------------------------------------------------------------------------*/ +FlagStatus HAL_USART_CheckBusy( USART1n_Type* USART1x ) +{ + if( USART1x->ST & USART1n_SR_DRE ) + { + return RESET; + } + else + { + return SET; + } +} + +/*-------------------------------------------------------------------------*//** + * @brief Transmit a single data through USART peripheral + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] Data + * Data to transmit (must be 8-bit long) + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_USART_TransmitByte( USART1n_Type* USART1x, uint8_t Data ) +{ + /* Check USART handle */ + if( USART1x == NULL ) + { + return HAL_ERROR; + } + + USART1x->DR = Data; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive a single data from USART peripheral + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @return Data received + *//*-------------------------------------------------------------------------*/ +uint8_t HAL_USART_ReceiveByte( USART1n_Type* USART1x ) +{ + return USART1x->DR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Send a block of data via USART peripheral + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[in] txbuf + * Pointer to Transmit buffer + * @param[in] buflen + * Length of Transmit buffer + * @param[in] flag + * Flag used in USART transfer + * - NONE_BLOCKING + * - BLOCKING + * @return Number of bytes sent. + * @note when using USART in BLOCKING mode, a time-out condition is used + * via defined symbol USART_BLOCKING_TIMEOUT. + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_USART_Transmit( USART1n_Type* USART1x, uint8_t* txbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ) +{ + uint32_t bToSend, bSent, timeOut; + uint8_t* pChar = txbuf; + + bToSend = buflen; + + // blocking mode + + if( flag == BLOCKING ) + { + bSent = 0; + while( bToSend ) + { + + HAL_USART_TransmitByte( USART1x, ( *pChar++ ) ); + + timeOut = USART1n_BLOCKING_TIMEOUT; + // Wait for THR empty with timeout + while( !( USART1x->ST & USART1n_SR_TXC ) ) + { + if( timeOut == 0 ) + { + break; + } + timeOut--; + } + // Time out! + if( timeOut == 0 ) + { + break; + } + HAL_USART_ClearStatus( USART1x, USART1n_STATUS_TXC ); + bToSend--; + bSent++; + } + } + + // Non-Blocking Mode + else + { + bSent = 0; + while( bToSend ) + { + if( !( USART1x->ST & USART1n_SR_DRE ) ) + { + break; + } + HAL_USART_TransmitByte( USART1x, ( *pChar++ ) ); + bToSend--; + bSent++; + } + } + + return bSent; +} + +/*-------------------------------------------------------------------------*//** + * @brief Receive a block of data via USART peripheral + * @param[in] USART1x + * Pointer to the target USART1 + * - USART10 ~ USART13 + * @param[out] rxbuf + * Pointer to Received buffer + * @param[in] buflen + * Length of Received buffer + * @param[in] flag + * Flag mode + * - NONE_BLOCKING + * - BLOCKING + * @return Number of bytes received + * @note when using USART in BLOCKING mode, a time-out condition is used + * via defined symbol USART_BLOCKING_TIMEOUT. + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_USART_Receive( USART1n_Type* USART1x, uint8_t* rxbuf, uint32_t buflen, TRANSFER_BLOCK_Type flag ) +{ + uint32_t bToRecv, bRecv, timeOut; + uint8_t* pChar = rxbuf; + + bToRecv = buflen; + + // Blocking Mode + if( flag == BLOCKING ) + { + bRecv = 0; + while( bToRecv ) + { + timeOut = USART1n_BLOCKING_TIMEOUT; + while( !( USART1x->ST & USART1n_SR_RXC ) ) + { + if( timeOut == 0 ) + { + break; + } + timeOut--; + } + // Time out! + + if( timeOut == 0 ) + { + break; + } + // Get data from the buffer + ( *pChar++ ) = HAL_USART_ReceiveByte( USART1x ); + + bToRecv--; + bRecv++; + } + } + + // Non-Blocking Mode + else + { + bRecv = 0; + while( bToRecv ) + { + if( !( USART1x->ST & USART1n_SR_RXC ) ) + { + break; + } + else + { + ( *pChar++ ) = HAL_USART_ReceiveByte( USART1x ); + bRecv++; + bToRecv--; + } + } + } + + return bRecv; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wdt.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wdt.c new file mode 100644 index 0000000..0d5236a --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wdt.c @@ -0,0 +1,243 @@ +/***************************************************************************//** +* @file A31G12x_hal_wdt.c +* @brief Contains all functions support for wdt firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_wdt.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the WDT peripheral with the specified parameters. + * @param[in] WDT_Config + * Pointer to a WDT_CFG_Type structure + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_Init( WDT_CFG_Type* WDT_Config ) +{ + uint32_t reg_val = 0; + + /* Check WDT_Config */ + if( WDT_Config == NULL ) + { + return HAL_ERROR; + } + + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_WDTCLKE, PPxCLKE_Enable ); + + WDT->DR = ( WDT_Config->wdtTmrConst & 0x00FFFFFF ); + WDT->WINDR = ( WDT_Config->wdtWTmrConst & 0x00FFFFFF ); + reg_val = WDT_Config->wdtClkDiv; + if( WDT_Config->wdtResetEn == ENABLE ) + { + reg_val &= ~( 0x3f << WDT_CR_RSTEN_Pos ); + } + else + { + reg_val |= ( 0x25 << WDT_CR_RSTEN_Pos ); + } + WDT->CR = ( 0x5A69 << WDT_CR_WTIDKY_Pos ) | ( 0x1a << WDT_CR_CNTEN_Pos ) | reg_val; // /w Write Identification Key + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Deinitialize WDT + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_DeInit( void ) +{ + WDT->CR = 0 + | ( 0x5A69 << WDT_CR_WTIDKY_Pos ) // Write Identification Key + | ( 0x25 << WDT_CR_RSTEN_Pos ) // Disable watch-dog timer reset + | ( 0x1A << WDT_CR_CNTEN_Pos ) // Disable watch-dog timer counter + ; + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] WDT_IntCfg + * Specifies the interrupt flag + * - WDT_INTCFG_UNFIEN: UNFIEN Interrupt enable + * - WDT_INTCFG_WINMIEN: WINMIEN Interrupt enable + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_ConfigInterrupt( WDT_INT_Type WDT_IntCfg, FunctionalState NewState ) +{ +#if 0 // before bug fix + uint32_t reg_val = 0; + uint32_t tmp = 0; + + reg_val = ( WDT->CR & 0xFFFF ); + + switch( WDT_IntCfg ) + { + case WDT_INTCFG_UNFIEN: + tmp = WDT_CR_UNFIEN; + break; + case WDT_INTCFG_WINMIEN: + tmp = WDT_CR_WINMIEN; + break; + } + + if( NewState == ENABLE ) + { + reg_val |= ( tmp & WDT_INTERRUPT_BITMASK ); + } + else + { + reg_val &= ( ( ~tmp ) & WDT_INTERRUPT_BITMASK ); // reg_val &= ~tmp; ... + } + + WDT->CR = ( 0x5A69 << WDT_CR_WTIDKY_Pos ) | reg_val; // Write Identification Key 0x5A69 +#else // after bug fix + uint32_t reg_val = 0; + uint32_t mask = 0; + + switch( WDT_IntCfg ) + { + case WDT_INTCFG_UNFIEN: + mask = WDT_CR_UNFIEN_Msk; + break; + case WDT_INTCFG_WINMIEN: + mask = WDT_CR_WINMIEN_Msk; + break; + } + + reg_val = WDT->CR + & ~( WDT_CR_WTIDKY_Msk ) + | ( ( uint32_t )WDT_CR_WTIDKY_Value << WDT_CR_WTIDKY_Pos ) + ; + if( NewState == ENABLE ) + { + reg_val |= mask; + } + else + { + reg_val &= ~mask; + } + + WDT->CR = reg_val; +#endif + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Reload WDT counter + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_ReloadTimeCounter( void ) +{ + WDT->CNTR = 0x6a; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable WDT activity + * @param[in] ctrl + * - DISABLE: wdt enable + * - ENABLE: wdt disable + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_Start( FunctionalState ctrl ) +{ + uint32_t tmp_reg; + + tmp_reg = WDT->CR & 0xFFFF; + tmp_reg |= ( 0x1a << WDT_CR_CNTEN_Pos ); // Disable watch-dog timer counter + + if( ctrl == ENABLE ) + { + tmp_reg &= ~( 0x3f << WDT_CR_CNTEN_Pos ); // Enable watch-dog timer counter, + } + + WDT->CR = ( 0x5A69 << WDT_CR_WTIDKY_Pos ) | tmp_reg; // Write Identification Key 0x5A69 + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear the timer status register of WDT + * @param[in] clrbit + * - WDT_SR_UNFIFLAG: UNFIFLAG Interrupt flag + * - WDT_SR_WINMIFLAG: WINMIFLAG Interrupt flag + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WDT_ClearStatus( uint32_t clrbit ) +{ + WDT->SR = clrbit; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get the timer status register of WDT + * @param None + * @return the status register of WDT + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_WDT_GetStatus( void ) +{ + return WDT->SR; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get the current value of WDT + * @param None + * @return current value of WDT + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_WDT_GetCurrentCount( void ) +{ + return WDT->CNT; +} + diff --git a/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wt.c b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wt.c new file mode 100644 index 0000000..f2aaa41 --- /dev/null +++ b/Project/SDK_V2_5_0/Drivers/Source/A31G12x_hal_wt.c @@ -0,0 +1,188 @@ +/***************************************************************************//** +* @file A31G12x_hal_wt.c +* @brief Contains all functions support for wt firmware library on A31G12x +* +* +* +* @version 1.00 +* @date 2020-05-29 +* @author ABOV Application Team +* +* Copyright(C) 2019, ABOV Semiconductor +* All rights reserved. +* +******************************************************************************** +* ABOV Disclaimer +* +*IMPORTANT NOTICE ? PLEASE READ CAREFULLY +*ABOV Semiconductor ("ABOV") reserves the right to make changes, corrections, enhancements, +*modifications, and improvements to ABOV products and/or to this document at any time without notice. +*ABOV does not give warranties as to the accuracy or completeness of the information included herein. +*Purchasers should obtain the latest relevant information of ABOV products before placing orders. +*Purchasers are entirely responsible for the choice, selection, and use of ABOV products and +*ABOV assumes no liability for application assistance or the design of purchasers' products. No license, +*express or implied, to any intellectual property rights is granted by ABOV herein. +*ABOV disclaims all express and implied warranties and shall not be responsible or +*liable for any injuries or damages related to use of ABOV products in such unauthorized applications. +*ABOV and the ABOV logo are trademarks of ABOV. +*All other product or service names are the property of their respective owners. +*Information in this document supersedes and replaces the information previously +*supplied in any former versions of this document. +*2020 ABOV Semiconductor All rights reserved +* +*//****************************************************************************/ + +/* Includes ----------------------------------------------------------------- */ +//****************************************************************************** +// Include +//****************************************************************************** + +#include "A31G12x_hal_wt.h" +#include "A31G12x_hal_scu.h" + +/* Public Functions --------------------------------------------------------- */ +//****************************************************************************** +// Function +//****************************************************************************** + +/*-------------------------------------------------------------------------*//** + * @brief Initialize the WT peripheral with the specified parameters. + * @param[in] WT_Config + * Pointer to a WT_CFG_Type structure + * that contains the configuration information for the specified peripheral. + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_Init( WT_CFG_Type* WT_Config ) +{ + /* Check WT_Config */ + if( WT_Config == NULL ) + { + return HAL_ERROR; + } + + // enable peripheral clock + HAL_SCU_Peripheral_EnableClock2( PPCLKEN2_WTCLKE, PPxCLKE_Enable ); + + WT->CR = 0 + | ( ( WT_Config->wtClkDiv & 0x03 ) << 4 ) // WTINTV[1:0] + | WT_CR_WTCLR + ; + WT->DR = ( WT_Config->wtTmrConst & 0xFFF ); + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief deinit for Watch Timer function + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_DeInit( void ) +{ + WT->CR = 0; + + // return + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Configure the peripheral interrupt. + * @param[in] NewState + * Next State of Interrupt Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_ConfigInterrupt( FunctionalState NewState ) +{ + uint32_t reg_val = 0; + + reg_val = ( WT->CR & 0xFF ); + + if( NewState == ENABLE ) + { + reg_val |= WT_CR_WTIEN; // WTIEN bit + } + else + { + reg_val &= ~WT_CR_WTIEN; + } + + WT->CR = reg_val | WT_CR_WTIFLAG; // (1<<1): clear for WTIFLAG + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Enable WT activity + * @param[in] ctrl + * Next State of Functional Operation + * - ENABLE, DISABLE + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_Start( FunctionalState ctrl ) +{ + uint32_t tmp_reg; + + tmp_reg = WT->CR & 0xFF; + tmp_reg &= ~( 0x1 << 7 ); // Disable watch-dog timer counter + + if( ctrl == ENABLE ) + { + tmp_reg |= ( 0x1 << 7 ); // Enable watch timer counter + } + + tmp_reg |= WT_CR_WTCLR; // clear the counter and divider + + WT->CR = tmp_reg; + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Set WT CR Register + * @param[in] u32WTSet + * Watch Timer Control Register Setting Data + * @return @ref HAL_Status_Type + * @details This function sets the mode, external clock edge, Timer out polarity, + * Capture Polarity and Timer match/capture interrupt. + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_SetRegister( uint32_t u32WTSet ) +{ + WT->CR = u32WTSet; // Setting Timer 1n Control Register + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Clear the timer status register of WT + * @param None + * @return @ref HAL_Status_Type + *//*-------------------------------------------------------------------------*/ +HAL_Status_Type HAL_WT_ClearStatus( void ) +{ + WT->CR |= WT_CR_WTIFLAG; // (1<<1): clear for WTIFLAG + + return HAL_OK; +} + +/*-------------------------------------------------------------------------*//** + * @brief Get the timer status register of WT + * @param None + * @return the status register of WT + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_WT_GetStatus( void ) +{ + return ( WT->CR & WT_STATUS_BITMASK ); +} + +/*-------------------------------------------------------------------------*//** + * @brief Get the current value of WT + * @param None + * @return current value of WT + *//*-------------------------------------------------------------------------*/ +uint32_t HAL_WT_GetCurrentCount( void ) +{ + return ( WT->CNT & 0xFFF ); +} + diff --git a/Project/SDK_V2_5_0/FlashLoader/A31G12x_CFG.FLM b/Project/SDK_V2_5_0/FlashLoader/A31G12x_CFG.FLM new file mode 100644 index 0000000..16fd340 Binary files /dev/null and b/Project/SDK_V2_5_0/FlashLoader/A31G12x_CFG.FLM differ diff --git a/Project/SDK_V2_5_0/FlashLoader/A31G12x_FLASH.FLM b/Project/SDK_V2_5_0/FlashLoader/A31G12x_FLASH.FLM new file mode 100644 index 0000000..46b844b Binary files /dev/null and b/Project/SDK_V2_5_0/FlashLoader/A31G12x_FLASH.FLM differ diff --git a/Project/SDK_V2_5_0/INI/RAM.ini b/Project/SDK_V2_5_0/INI/RAM.ini new file mode 100644 index 0000000..ef0ab99 --- /dev/null +++ b/Project/SDK_V2_5_0/INI/RAM.ini @@ -0,0 +1,25 @@ +/***********************************************************************/ +/* This file is part of the ARM Compiler package */ +/* Copyright KEIL ELEKTRONIK GmbH 1992-2006 */ +/***********************************************************************/ +/* */ +/* RAM.ini: RAM Initialization File */ +/* */ +/***********************************************************************/ + + + //*** <<< Use Configuration Wizard in Context Menu >>> *** + + FUNC void Setup( void ) + { + SP = _RDWORD( 0x20000000 ); // Setup Stack Pointer + PC = _RDWORD( 0x20000004 ); // Setup Program Counter + // _WDWORD( 0xE000ED08, 0x20000000 ); // Setup Vector Table Offset Register + } + + LOAD %L INCREMENTAL // Load The Application + + Setup(); // Setup for Running + +// g, main + diff --git a/Project/SDK_V2_5_0/INI/ROM.ini b/Project/SDK_V2_5_0/INI/ROM.ini new file mode 100644 index 0000000..224d47e --- /dev/null +++ b/Project/SDK_V2_5_0/INI/ROM.ini @@ -0,0 +1,25 @@ +/***********************************************************************/ +/* This file is part of the ARM Compiler package */ +/* Copyright KEIL ELEKTRONIK GmbH 1992-2006 */ +/***********************************************************************/ +/* */ +/* ROM.ini: ROM Initialization File */ +/* */ +/***********************************************************************/ + + + //*** <<< Use Configuration Wizard in Context Menu >>> *** + + FUNC void Setup( void ) + { + SP = _RDWORD( 0x00000000 ); // Setup Stack Pointer + PC = _RDWORD( 0x00000004 ); // Setup Program Counter + // _WDWORD( 0xE000ED08, 0x00000000 ); // Setup Vector Table Offset Register + } + + LOAD %L INCREMENTAL // Load The Application + + Setup(); // Setup for Running + +// g, main + diff --git a/Project/SDK_V2_5_0/Option/option_A31G12x.s b/Project/SDK_V2_5_0/Option/option_A31G12x.s new file mode 100644 index 0000000..b2520cf --- /dev/null +++ b/Project/SDK_V2_5_0/Option/option_A31G12x.s @@ -0,0 +1,268 @@ +;------------------------------------------------------------------------------- +; This file is used for configure option setting, Area 1/2/3. +;------------------------------------------------------------------------------- +;// <<< Use Configuration Wizard in Context Menu >>> +;/*****************************************************************************/ +;/* This file is part of the uVision/ARM development tools. */ +;/* Copyright (c) 2005-2008 Keil Software. All rights reserved. */ +;/* This software may only be used under the terms of a valid, current, */ +;/* end user licence from KEIL for a compatible version of KEIL software */ +;/* development tools. Nothing else gives you the right to use this software. */ +;/*****************************************************************************/ + + +;// Configure Option Page 1 +CONFIGURE_OPTION_1 EQU 1 + +;// Read Protection Configuration +;// Read Protection +;// <3=> Level 0 <2=> Level 1 <0=> Level 2 +;// +RPCNFIG EQU 0x69C8A273 + +;// Extra User Data +;// Word 0 <0x0000-0xFFFF> +;// Word 1 <0x0000-0xFFFF> +;// +EX0CNFIG EQU 0xFFFF +EX1CNFIG EQU 0xFFFF +nEX0CNFIG EQU EX0CNFIG:EOR:0xFFFF +nEX1CNFIG EQU EX1CNFIG:EOR:0xFFFF + +;// Watch-Dog Timer Configuration +;// WDTRC Enable Selection +;// <0x96D=> By S/W (CLKSRCR Register) <0x2A7=> Enable but disable at deep sleep <0xFFF=> Always Enable +;// Watch-Dog Timer Clock Selection +;// <0=> By S/W (PPCLKSR Register) <1=> WDTRC +;// Watch-Dog Timer Reset Enable Selection +;// <0=> Enable WDT Reset <1=> By S/W (WDTCR Register) +;// Watch-Dog Timer Counter Enable Selection +;// <0=> Enable WDT Counter <1=> By S/W (WDTCR Register) +;// +WDTCNFIG EQU 0xFFFF96DB + +;// Low Voltage Reset Configuration +;// LVR Operation Control Selection +;// <0xAA=> By S/W (LVRCR Register) <0xFF=> Always Enable +;// LVR Voltage Selection +;// <15=> 1.62V <11=> 2.00V <10=> 2.13V <9=> 2.28V <8=> 2.46V <7=> 2.67V <6=> 3.04V <5=> 3.20V <4=> 3.55V <3=> 3.75V <2=> 3.99V <1=> 4.25V <0=> 4.55V +;// +LVRCNFIG EQU 0xFFFFAAFF + +;// Configure Option Page Erase/Write Protection +;// Option Page 1, 0x1FFFF200 to 0x1FFFF27F +;// Option Page 2, 0x1FFFF400 to 0x1FFFF47F +;// Option Page 3, 0x1FFFF600 to 0x1FFFF67F +;// +OPTIONPAGE EQU 0x00000000 +CNFIGWTP1 EQU ~OPTIONPAGE + +;// Flash Memory Erase/Write Protection +;// Sector 0, 0x10000000 to 0x100007FF +;// Sector 1, 0x10000800 to 0x10000FFF +;// Sector 2, 0x10001000 to 0x100017FF +;// Sector 3, 0x10001800 to 0x10001FFF +;// Sector 4, 0x10002000 to 0x100027FF +;// Sector 5, 0x10002800 to 0x10002FFF +;// Sector 6, 0x10003000 to 0x100037FF +;// Sector 7, 0x10003800 to 0x10003FFF +;// Sector 8, 0x10004000 to 0x100047FF +;// Sector 9, 0x10004800 to 0x10004FFF +;// Sector 10, 0x10005000 to 0x100057FF +;// Sector 11, 0x10005800 to 0x10005FFF +;// Sector 12, 0x10006000 to 0x100067FF +;// Sector 13, 0x10006800 to 0x10006FFF +;// Sector 14, 0x10007000 to 0x100077FF +;// Sector 15, 0x10007800 to 0x10007FFF +;// Sector 16, 0x10008000 to 0x100087FF +;// Sector 17, 0x10008800 to 0x10008FFF +;// Sector 18, 0x10009000 to 0x100097FF +;// Sector 19, 0x10009800 to 0x10009FFF +;// Sector 20, 0x1000A000 to 0x1000A7FF +;// Sector 21, 0x1000A800 to 0x1000AFFF +;// Sector 22, 0x1000B000 to 0x1000B7FF +;// Sector 23, 0x1000B800 to 0x1000BFFF +;// Sector 24, 0x1000C000 to 0x1000C7FF +;// Sector 25, 0x1000C800 to 0x1000CFFF +;// Sector 26, 0x1000D000 to 0x1000D7FF +;// Sector 27, 0x1000D800 to 0x1000DFFF +;// Sector 28, 0x1000E000 to 0x1000E7FF +;// Sector 29, 0x1000E800 to 0x1000EFFF +;// Sector 30, 0x1000F000 to 0x1000F7FF +;// Sector 31, 0x1000F800 to 0x1000FFFF +;// +FLASHSECTOR EQU 0x00000000 +FMWTP0 EQU ~FLASHSECTOR +;// + +;// Configure Option Page 2 +CONFIGURE_OPTION_2 EQU 1 + +;// User Data Area 0 +;// Double 0 <0x00000000-0xFFFFFFFF> +;// Double 1 <0x00000000-0xFFFFFFFF> +;// Double 2 <0x00000000-0xFFFFFFFF> +;// Double 3 <0x00000000-0xFFFFFFFF> +;// Double 4 <0x00000000-0xFFFFFFFF> +;// Double 5 <0x00000000-0xFFFFFFFF> +;// Double 6 <0x00000000-0xFFFFFFFF> +;// Double 7 <0x00000000-0xFFFFFFFF> +;// Double 8 <0x00000000-0xFFFFFFFF> +;// Double 9 <0x00000000-0xFFFFFFFF> +;// Double 10 <0x00000000-0xFFFFFFFF> +;// Double 11 <0x00000000-0xFFFFFFFF> +;// Double 12 <0x00000000-0xFFFFFFFF> +;// Double 13 <0x00000000-0xFFFFFFFF> +;// Double 14 <0x00000000-0xFFFFFFFF> +;// Double 15 <0x00000000-0xFFFFFFFF> +;// Double 16 <0x00000000-0xFFFFFFFF> +;// Double 17 <0x00000000-0xFFFFFFFF> +;// Double 18 <0x00000000-0xFFFFFFFF> +;// Double 19 <0x00000000-0xFFFFFFFF> +;// Double 20 <0x00000000-0xFFFFFFFF> +;// Double 21 <0x00000000-0xFFFFFFFF> +;// Double 22 <0x00000000-0xFFFFFFFF> +;// Double 23 <0x00000000-0xFFFFFFFF> +;// Double 24 <0x00000000-0xFFFFFFFF> +;// Double 25 <0x00000000-0xFFFFFFFF> +;// Double 26 <0x00000000-0xFFFFFFFF> +;// Double 27 <0x00000000-0xFFFFFFFF> +;// Double 28 <0x00000000-0xFFFFFFFF> +;// Double 29 <0x00000000-0xFFFFFFFF> +;// Double 30 <0x00000000-0xFFFFFFFF> +;// Double 31 <0x00000000-0xFFFFFFFF> +;// +AREA0_0 EQU 0xe2e2e2e2 +AREA0_1 EQU 0xFFFFFFFF +AREA0_2 EQU 0xFFFFFFFF +AREA0_3 EQU 0xFFFFFFFF +AREA0_4 EQU 0xFFFFFFFF +AREA0_5 EQU 0xFFFFFFFF +AREA0_6 EQU 0xFFFFFFFF +AREA0_7 EQU 0xFFFFFFFF +AREA0_8 EQU 0xFFFFFFFF +AREA0_9 EQU 0xFFFFFFFF +AREA0_10 EQU 0xFFFFFFFF +AREA0_11 EQU 0xFFFFFFFF +AREA0_12 EQU 0xFFFFFFFF +AREA0_13 EQU 0xFFFFFFFF +AREA0_14 EQU 0xFFFFFFFF +AREA0_15 EQU 0xFFFFFFFF +AREA0_16 EQU 0xFFFFFFFF +AREA0_17 EQU 0xFFFFFFFF +AREA0_18 EQU 0xFFFFFFFF +AREA0_19 EQU 0xFFFFFFFF +AREA0_20 EQU 0xFFFFFFFF +AREA0_21 EQU 0xFFFFFFFF +AREA0_22 EQU 0xFFFFFFFF +AREA0_23 EQU 0xFFFFFFFF +AREA0_24 EQU 0xFFFFFFFF +AREA0_25 EQU 0xFFFFFFFF +AREA0_26 EQU 0xFFFFFFFF +AREA0_27 EQU 0xFFFFFFFF +AREA0_28 EQU 0xFFFFFFFF +AREA0_29 EQU 0xFFFFFFFF +AREA0_30 EQU 0xFFFFFFFF +AREA0_31 EQU 0xe2e2e2e2 +;// + +;// Configure Option Page 3 +CONFIGURE_OPTION_3 EQU 1 + +;// User Data Area 1 +;// Double 0 <0x00000000-0xFFFFFFFF> +;// Double 1 <0x00000000-0xFFFFFFFF> +;// Double 2 <0x00000000-0xFFFFFFFF> +;// Double 3 <0x00000000-0xFFFFFFFF> +;// Double 4 <0x00000000-0xFFFFFFFF> +;// Double 5 <0x00000000-0xFFFFFFFF> +;// Double 6 <0x00000000-0xFFFFFFFF> +;// Double 7 <0x00000000-0xFFFFFFFF> +;// Double 8 <0x00000000-0xFFFFFFFF> +;// Double 9 <0x00000000-0xFFFFFFFF> +;// Double 10 <0x00000000-0xFFFFFFFF> +;// Double 11 <0x00000000-0xFFFFFFFF> +;// Double 12 <0x00000000-0xFFFFFFFF> +;// Double 13 <0x00000000-0xFFFFFFFF> +;// Double 14 <0x00000000-0xFFFFFFFF> +;// Double 15 <0x00000000-0xFFFFFFFF> +;// Double 16 <0x00000000-0xFFFFFFFF> +;// Double 17 <0x00000000-0xFFFFFFFF> +;// Double 18 <0x00000000-0xFFFFFFFF> +;// Double 19 <0x00000000-0xFFFFFFFF> +;// Double 20 <0x00000000-0xFFFFFFFF> +;// Double 21 <0x00000000-0xFFFFFFFF> +;// Double 22 <0x00000000-0xFFFFFFFF> +;// Double 23 <0x00000000-0xFFFFFFFF> +;// Double 24 <0x00000000-0xFFFFFFFF> +;// Double 25 <0x00000000-0xFFFFFFFF> +;// Double 26 <0x00000000-0xFFFFFFFF> +;// Double 27 <0x00000000-0xFFFFFFFF> +;// Double 28 <0x00000000-0xFFFFFFFF> +;// Double 29 <0x00000000-0xFFFFFFFF> +;// Double 30 <0x00000000-0xFFFFFFFF> +;// Double 31 <0x00000000-0xFFFFFFFF> +;// +AREA1_0 EQU 0xe3e3e3e3 +AREA1_1 EQU 0xFFFFFFFF +AREA1_2 EQU 0xFFFFFFFF +AREA1_3 EQU 0xFFFFFFFF +AREA1_4 EQU 0xFFFFFFFF +AREA1_5 EQU 0xFFFFFFFF +AREA1_6 EQU 0xFFFFFFFF +AREA1_7 EQU 0xFFFFFFFF +AREA1_8 EQU 0xFFFFFFFF +AREA1_9 EQU 0xFFFFFFFF +AREA1_10 EQU 0xFFFFFFFF +AREA1_11 EQU 0xFFFFFFFF +AREA1_12 EQU 0xFFFFFFFF +AREA1_13 EQU 0xFFFFFFFF +AREA1_14 EQU 0xFFFFFFFF +AREA1_15 EQU 0xFFFFFFFF +AREA1_16 EQU 0xFFFFFFFF +AREA1_17 EQU 0xFFFFFFFF +AREA1_18 EQU 0xFFFFFFFF +AREA1_19 EQU 0xFFFFFFFF +AREA1_20 EQU 0xFFFFFFFF +AREA1_21 EQU 0xFFFFFFFF +AREA1_22 EQU 0xFFFFFFFF +AREA1_23 EQU 0xFFFFFFFF +AREA1_24 EQU 0xFFFFFFFF +AREA1_25 EQU 0xFFFFFFFF +AREA1_26 EQU 0xFFFFFFFF +AREA1_27 EQU 0xFFFFFFFF +AREA1_28 EQU 0xFFFFFFFF +AREA1_29 EQU 0xFFFFFFFF +AREA1_30 EQU 0xFFFFFFFF +AREA1_31 EQU 0xe3e3e3e3 +;// + + IF CONFIGURE_OPTION_1 <> 0 + AREA |.ARM.__AT_0x1FFFF200|, CODE, READONLY + DCD RPCNFIG + DCW EX0CNFIG, nEX0CNFIG, EX1CNFIG, nEX1CNFIG + DCD WDTCNFIG + DCD LVRCNFIG + DCD CNFIGWTP1 + FILL 40,0xFF,1 + DCD FMWTP0 + ENDIF + + IF CONFIGURE_OPTION_2 <> 0 + AREA |.ARM.__AT_0x1FFFF400|, CODE, READONLY + DCD AREA0_0, AREA0_1, AREA0_2, AREA0_3, AREA0_4, AREA0_5, AREA0_6, AREA0_7 + DCD AREA0_8, AREA0_9, AREA0_10, AREA0_11, AREA0_12, AREA0_13, AREA0_14, AREA0_15 + DCD AREA0_16, AREA0_17, AREA0_18, AREA0_19, AREA0_20, AREA0_21, AREA0_22, AREA0_23 + DCD AREA0_24, AREA0_25, AREA0_26, AREA0_27, AREA0_28, AREA0_29, AREA0_30, AREA0_31 + ENDIF + + IF CONFIGURE_OPTION_3 <> 0 + AREA |.ARM.__AT_0x1FFFF600|, CODE, READONLY + DCD AREA1_0, AREA1_1, AREA1_2, AREA1_3, AREA1_4, AREA1_5, AREA1_6, AREA1_7 + DCD AREA1_8, AREA1_9, AREA1_10, AREA1_11, AREA1_12, AREA1_13, AREA1_14, AREA1_15 + DCD AREA1_16, AREA1_17, AREA1_18, AREA1_19, AREA1_20, AREA1_21, AREA1_22, AREA1_23 + DCD AREA1_24, AREA1_25, AREA1_26, AREA1_27, AREA1_28, AREA1_29, AREA1_30, AREA1_31 + ENDIF + + END +