You can not select more than 25 topics Topics must start with a letter or number, can include dashes ('-') and can be up to 35 characters long.

37 lines
905 B

/** \file uart.h */
#if !defined(UART_H__06447B60_D695_412E_90C8_93147FA649B9__INCLUDED_)
#define UART_H__06447B60_D695_412E_90C8_93147FA649B9__INCLUDED_
#include "define.h"
#include "struct.h"
#include "ringbuffer.h"
void Uart1_Initialization(uint32_t Baudrate);
void Uart1_Receive_Process(void);
void Uart1_Transmit(uint8_t TxData);
void Usart10_Initialization(uint32_t Baudrate);
void Usart10_Receive_Process(void);
void Usart10_Transmit(uint8_t TxData);
void Usart10_Transmit_Process(void);
void Usart10_Transmit_DataPush(uint8_t TxData);
void Usart10_Recv_Complete_TimeCheck_Process(void);
void Usart10_Transmit_Check_Process(void);
void Usart11_Initialization(uint32_t Baudrate);
void Usart11_Receive_Process(void);
void Usart11_Transmit(uint8_t TxData);
void Usart13_Initialization(uint32_t Baudrate);
void Usart13_Receive_Process(void);
void Usart13_Transmit(uint8_t TxData);
#endif